JP7023376B2 - 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング - Google Patents
単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング Download PDFInfo
- Publication number
- JP7023376B2 JP7023376B2 JP2020545227A JP2020545227A JP7023376B2 JP 7023376 B2 JP7023376 B2 JP 7023376B2 JP 2020545227 A JP2020545227 A JP 2020545227A JP 2020545227 A JP2020545227 A JP 2020545227A JP 7023376 B2 JP7023376 B2 JP 7023376B2
- Authority
- JP
- Japan
- Prior art keywords
- gate
- layer
- etching
- semiconductor fins
- gate layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000005530 etching Methods 0.000 title claims description 234
- 238000000231 atomic layer deposition Methods 0.000 title claims description 85
- 230000005669 field effect Effects 0.000 title claims description 4
- 230000015572 biosynthetic process Effects 0.000 title description 8
- 239000004065 semiconductor Substances 0.000 claims description 257
- 238000000034 method Methods 0.000 claims description 161
- 239000000758 substrate Substances 0.000 claims description 77
- 230000000415 inactivating effect Effects 0.000 claims description 67
- 239000011810 insulating material Substances 0.000 claims description 57
- 239000007789 gas Substances 0.000 claims description 55
- 238000000151 deposition Methods 0.000 claims description 39
- 239000002243 precursor Substances 0.000 claims description 32
- 239000000376 reactant Substances 0.000 claims description 26
- 230000008021 deposition Effects 0.000 claims description 23
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 16
- 239000000460 chlorine Substances 0.000 claims description 13
- 229920005591 polysilicon Polymers 0.000 claims description 13
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 12
- 239000001301 oxygen Substances 0.000 claims description 12
- 229910052760 oxygen Inorganic materials 0.000 claims description 12
- 239000003795 chemical substances by application Substances 0.000 claims description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 10
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 8
- 229910052801 chlorine Inorganic materials 0.000 claims description 8
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 7
- 238000001179 sorption measurement Methods 0.000 claims description 7
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims description 6
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims description 6
- 229910052794 bromium Inorganic materials 0.000 claims description 6
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 claims description 5
- 239000010410 layer Substances 0.000 description 246
- 210000002381 plasma Anatomy 0.000 description 120
- 239000000463 material Substances 0.000 description 80
- 239000006227 byproduct Substances 0.000 description 50
- 238000012545 processing Methods 0.000 description 44
- 230000002779 inactivation Effects 0.000 description 15
- 150000002500 ions Chemical class 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 12
- 238000006243 chemical reaction Methods 0.000 description 11
- 238000001020 plasma etching Methods 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 238000012546 transfer Methods 0.000 description 10
- 238000004140 cleaning Methods 0.000 description 9
- 238000010586 diagram Methods 0.000 description 8
- 238000011065 in-situ storage Methods 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 6
- 239000011295 pitch Substances 0.000 description 6
- 239000012495 reaction gas Substances 0.000 description 6
- 230000000694 effects Effects 0.000 description 5
- 239000012705 liquid precursor Substances 0.000 description 5
- 238000000059 patterning Methods 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910003691 SiBr Inorganic materials 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- -1 oxides Substances 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 230000000670 limiting effect Effects 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- 229910018503 SF6 Inorganic materials 0.000 description 2
- 229910003902 SiCl 4 Inorganic materials 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 239000011241 protective layer Substances 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910020163 SiOCl Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910001423 beryllium ion Inorganic materials 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- KBDJQNUZLNUGDS-UHFFFAOYSA-N dibromosilicon Chemical compound Br[Si]Br KBDJQNUZLNUGDS-UHFFFAOYSA-N 0.000 description 1
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 230000005496 eutectics Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- CXQHBGCUHODCNP-UHFFFAOYSA-N indigane Chemical compound [InH3] CXQHBGCUHODCNP-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000005289 physical deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- AIFMYMZGQVTROK-UHFFFAOYSA-N silicon tetrabromide Chemical compound Br[Si](Br)(Br)Br AIFMYMZGQVTROK-UHFFFAOYSA-N 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 150000004772 tellurides Chemical class 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- KRCZLPQTJDWPKN-UHFFFAOYSA-N tribromosilicon Chemical compound Br[Si](Br)Br KRCZLPQTJDWPKN-UHFFFAOYSA-N 0.000 description 1
- PPDADIYYMSXQJK-UHFFFAOYSA-N trichlorosilicon Chemical compound Cl[Si](Cl)Cl PPDADIYYMSXQJK-UHFFFAOYSA-N 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32651—Shields, e.g. dark space shields, Faraday shields
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32899—Multiple chambers, e.g. cluster tools
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Ceramic Engineering (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Junction Field-Effect Transistors (AREA)
Description
本出願は、米国特許出願第15/820,263号、2017年11月21日出願、名称「ATOMIC LAYER DEPOSITION AND ETCH IN A SINGLE PLASMA CHAMBER FOR FIN FIELD EFFECT TRANSISTOR FORMATION」に対する優先権の利益を主張するものであり、その全体が全ての目的で参照により本明細書に組み込まれる。
以下の説明では、多数の特定の詳細を示し、本実施形態に対する完全な理解を提供する。開示する実施形態は、これら特定の詳細の一部又は全てを伴わずに実行してよい。他の例では、周知の工程動作は、開示する実施形態を不必要に曖昧にしないように、詳細に説明していない。開示する実施形態は、特定の実施形態と共に説明するが、開示する実施形態を限定する意図ではないことは理解されよう。
フィーチャのサイズが縮小し、ピッチがより小さくなり、相補型金属酸化物半導体(CMOS)技術のスケールがより小さなノードになるにつれて、薄型共形堆積技法は、重要性を増し続けている。原子層堆積法(ALD)は、膜形成技法であり、ALDが単一薄型材料層を堆積するため、薄型共形膜の堆積にかなり適している。薄型共形膜の厚さは、膜形成化学反応自体の前に、基板表面上に吸着し得る1つ又は複数の前駆体反応物の量によって制限される(即ち、吸着制限層)。ALDによって形成される各層は、薄く、共形であり、得られる膜は、下にあるデバイス構造体及びフィーチャの形状に実質的に適合する。
半導体デバイス内のデバイス・フィーチャが縮小し、ピッチがより小さくなるにつれて、半導体産業は、平面相補型金属酸化物半導体(CMOS)トランジスタから3次元(3D)FinFET半導体デバイス構成に移行している。FinFETは、チャネル制御の改善及び短チャネル効果の低減をもたらす。従来の平面トランジスタにおいてゲートをチャネルの上に配置するのではなく、FinFETは、ゲートをチャネルの周囲に有し、静電気の制御を両側にもたらす。3Dデバイス構成は、デバイス・フィーチャの縮小及びより小さいピッチに伴う制御の問題を生じさせるような寄生容量及び限界寸法をもたらす。このことにより、ゲート長及びピッチの規模縮小をもたらし、現在のパターニング方法に伴う問題を生じさせる。
図3Aは、従来のゲート・エッチング前の、ゲートの破断図、フィンの破断図、及び例示的な一部作製FinFET半導体デバイスの概略上面図である。図3Bは、従来のゲート・エッチング及び副産物再堆積後の、図3Aのゲートの破断図、フィンの破断図、及び一部作製FinFET半導体デバイスの概略上面図である。本明細書で使用するゲート破断図は、ゲート方向に平行に延びる眺めである。言い換えれば、ゲート構造体は、ゲート破断図の頁内に延在し、頁から外に延在する。本明細書で使用するフィン破断図は、フィン方向に平行に延びる眺めである。言い換えれば、半導体フィンは、フィン破断図の頁内に延在し、頁から外に延在する。
本開示の実装形態は、原位置でのALD及びエッチングを使用して、一部作製FinFET半導体デバイスを不活性化し、ゲート・エッチングを実施する方法に関する。FinFET半導体デバイスを不活性化し、エッチングする原位置でのALD及びエッチング技法は、前述のエッチング副産物再堆積を最小化するか又は回避する。本開示の不活性化技法は、ゲート・マスク、1つ又は複数のゲート構造体及び1つ又は複数の半導体フィンの表面及び側壁上に薄型共形不活性化層を形成する。薄型共形不活性化層は、ゲート層を1つ又は複数の対応する半導体フィンの1つ又は複数の上表面まで部分的にエッチングした後、ALDによって堆積してよい。次に、ゲート層は、薄型共形不活性化層を堆積した後、絶縁材料層の上表面までエッチングされる。ゲート・エッチング動作及びALD動作は、プラズマ室内で実施してよい。したがって、ALDステップ及びエッチング・ステップのサイクルは、同じ室又はツールで実施される。いくつかの実装形態では、ALDステップ及びエッチング・ステップのサイクルは、図1で説明したプラズマ処理装置内で実施される。
上記の実施形態は、理解を明快にする目的である程度詳細に説明してきたが、特定の変更及び修正を添付の特許請求の範囲内で行ってよいことは明らかであろう。本実施形態の方法、システム及び装置を実施する多くの代替様式があることに留意されたい。したがって、本実施形態は、限定的ではなく、例示的とみなすべきであり、実施形態は、本明細書で示す詳細に限定すべきではない。本開示は、以下の形態により実現されてもよい。
[形態1]
方法であって、
プラズマ室において、フィン電界効果トランジスタ(FinFET)半導体デバイスのゲート層を、前記FinFET半導体デバイスの1つ又は複数の対応する半導体フィンの1つ又は複数の上表面までエッチングすることと、
前記プラズマ室において、原子層堆積(ALD)によって、前記ゲート層及び前記1つ又は複数の半導体フィンの露出表面上に第1の不活性化層を堆積することと、
前記FinFET半導体デバイス内に1つ又は複数のゲート構造体を画定するため、前記プラズマ室において、前記ゲート層を前記FinFET半導体デバイスの絶縁材料層の上表面までエッチングすることと
を含み、前記1つ又は複数の半導体フィンは、前記1つ又は複数のゲート構造体に直交して延びる、方法。
[形態2]
形態1に記載の方法であって、
前記ゲート層は、ポリシリコンを含む、方法。
[形態3]
形態1に記載の方法であって、
前記ゲート層を前記絶縁材料層の上表面までエッチングすることは、
前記プラズマ室において、前記ゲート層の第1の部分を第1の深さまでエッチングすることと、
前記プラズマ室において、ALDによって、前記ゲート層及び前記1つ又は複数の半導体フィンの露出表面上に第2の不活性化層を堆積することと、
前記プラズマ室において、前記ゲート層の第2の部分を前記絶縁材料層の上表面までエッチングすることと
を含む、方法。
[形態4]
形態1に記載の方法であって、
前記ゲート層を前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面までエッチングする前、半導体基板から前記1つ又は複数の半導体フィンを画定することと、
前記ゲート層を前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面までエッチングする前、前記1つ又は複数の半導体フィンの上側部分にわたり及び前記上側部分の周囲に前記ゲート層を堆積することと
を更に含む、方法。
[形態5]
形態1に記載の方法であって、
前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面までエッチングする前、前記ゲート層にわたりゲート・マスクを形成すること
を更に含み、前記ゲート・マスクは、前記1つ又は複数のゲート構造体を形成する領域を画定する、方法。
[形態6]
形態5に記載の方法であって、
前記第1の不活性化層は、前記ゲート・マスクの下にある前記ゲート層の側壁上に共形に堆積される、方法。
[形態7]
形態1~6のいずれか一項に記載の方法であって、
前記第1の不活性化層の厚さは、約0.5nmから約3nmの間であり、前記ゲート構造体のそれぞれの幅は、約5nmから約50nmの間である、方法。
[形態8]
形態1~6のいずれか一項に記載の方法であって、
前記プラズマ室において、前記ゲート層を前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面までエッチングし、ALDによって堆積し、前記ゲート層を前記絶縁材料層の上表面までエッチングする動作は、前記動作の間に真空破壊を導入させずに実施される、方法。
[形態9]
形態1~6のいずれか一項に記載の方法であって、
前記ゲート層を前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面までエッチングする際、及び前記ゲート層を前記絶縁材料層の上表面までエッチングする際のそれぞれにおいて、エッチング剤は、酸素含有ガスを実質的に含有しない、方法。
[形態10]
形態9に記載の方法であって、
前記エッチング剤は、臭素含有反応物、塩素含有反応物、又はそれらの組合せを含む、方法。
[形態11]
形態1~6のいずれか一項に記載の方法であって、
前記1つ又は複数のゲート構造体の外形は、前記ゲート層を前記絶縁材料層の上表面までエッチングした後、実質的に垂直である、方法。
[形態12]
形態1~6のいずれか一項に記載の方法であって、
前記ゲート層を前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面までエッチングすること、及び前記ゲート層を前記絶縁材料層の上表面までエッチングすることは、側方限界寸法(CD)損失が最小である状態で行われる、方法。
[形態13]
形態1~6のいずれか一項に記載の方法であって、
前記ゲート層を前記絶縁材料層の上表面までエッチングすることにより、前記1つ又は複数のゲート構造体、前記1つ又は複数の半導体フィン及び前記絶縁材料層が交差する各隅から前記ゲート層を除去する、方法。
[形態14]
形態1~6のいずれか一項に記載の方法であって、
前記ゲート層を前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面までエッチングする前、前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面のそれぞれは、酸化物層を含む、方法。
[形態15]
形態1~6のいずれか一項に記載の方法であって、
前記1つ又は複数のゲート構造体は、互いに対して平行であり、前記1つ又は複数の対応する半導体フィンは、互いに対して平行であり、前記1つ又は複数の半導体フィンは、前記1つ又は複数のゲート構造体を通じて延在する、方法。
[形態16]
形態1から6のいずれか一項に記載の方法であって、
前記第1の不活性化層は、シリコン酸化物(SiO x )を含む、方法。
[形態17]
形態1から6のいずれか一項に記載の方法であって、
ALDによる前記第1の不活性化層の堆積は、
前記プラズマ室に、前記ゲート層及び前記1つ又は複数の対応する半導体フィンの1つ又は複数の上表面上に吸着する前駆体を導入することと、
ある吸着制限量の前記第1の不活性化層を形成するため、プラズマにより前記前駆体を変換することと、
所望の厚さの前記第1の不活性化層が、前記ゲート層及び前記1つ又は複数の半導体フィンの露出表面上に堆積されるまで、前記前駆体を導入し、前記前駆体を変換する動作を繰り返すことと
を含む、方法。
Claims (17)
- 方法であって、
プラズマ室において、フィン電界効果トランジスタ(FinFET)半導体デバイスのゲート層を、前記FinFET半導体デバイスの1つ又は複数の半導体フィンの上表面を露出するようにエッチングすることと、
前記ゲート層を前記1つ又は複数の半導体フィンの露出上表面までエッチングした後、前記プラズマ室において、原子層堆積(ALD)によって、前記ゲート層及び前記1つ又は複数の半導体フィンの露出表面上に第1の不活性化層を堆積することと、
ALDによって前記第1の不活性化層を堆積した後、前記FinFET半導体デバイス内に1つ又は複数のゲート構造体を画定するため、前記プラズマ室において、前記ゲート層を前記FinFET半導体デバイスの絶縁材料層の上表面を露出するようにエッチングし、前記1又は複数の半導体フィンの側壁を露出するようにエッチングすることと
を含み、前記1つ又は複数の半導体フィンは、前記1つ又は複数のゲート構造体に直交して延びる、方法。 - 請求項1に記載の方法であって、
前記ゲート層は、ポリシリコンを含む、方法。 - 請求項1に記載の方法であって、
前記ゲート層を前記絶縁材料層の上表面を露出するようにエッチングすることは、
前記プラズマ室において、前記絶縁材料層の前記上表面の上の前記ゲート層の第1の部分を第1の深さまでエッチングすることと、
前記プラズマ室において、ALDによって、前記ゲート層及び前記1つ又は複数の半導体フィンの露出表面上に第2の不活性化層を堆積することと、
前記プラズマ室において、前記ゲート層の第2の部分を前記絶縁材料層の上表面を露出するように第2の深さまでエッチングすることと
を含む、方法。 - 請求項1に記載の方法であって、
前記ゲート層を前記1つ又は複数の半導体フィンの上表面を露出するようにエッチングする前、半導体基板から前記1つ又は複数の半導体フィンを画定することと、
前記ゲート層を前記1つ又は複数の半導体フィンの上表面を露出するようにエッチングする前、前記1つ又は複数の半導体フィンの上側部分にわたり及び前記上側部分の周囲に前記ゲート層を堆積することと
を更に含む、方法。 - 請求項1に記載の方法であって、
前記1つ又は複数の半導体フィンの上表面を露出するようにエッチングする前、前記ゲート層にわたりゲート・マスクを形成すること
を更に含み、前記ゲート・マスクは、前記1つ又は複数のゲート構造体を形成する領域を画定する、方法。 - 請求項5に記載の方法であって、
前記第1の不活性化層は、前記ゲート・マスクの下にある前記ゲート層の側壁上に共形に堆積される、方法。 - 請求項1に記載の方法であって、
前記第1の不活性化層の厚さは、約0.5nmから約3nmの間であり、前記ゲート構造体のそれぞれの幅は、約5nmから約50nmの間である、方法。 - 請求項1に記載の方法であって、
前記プラズマ室において、前記ゲート層を前記1つ又は複数の半導体フィンの上表面を露出するようにエッチングし、ALDによって堆積し、前記ゲート層を前記絶縁材料層の上表面を露出するようにエッチングする動作は、前記動作の間に真空破壊を導入させずに実施される、方法。 - 請求項1に記載の方法であって、
前記ゲート層を前記1つ又は複数の半導体フィンの上表面を露出するようにエッチングする際、及び前記ゲート層を前記絶縁材料層の上表面を露出するようにエッチングする際のそれぞれにおいて、エッチング剤は、酸素含有ガスを実質的に含有しない、方法。 - 請求項9に記載の方法であって、
前記エッチング剤は、臭素含有反応物、塩素含有反応物、又はそれらの組合せを含む、方法。 - 請求項1に記載の方法であって、
前記1つ又は複数のゲート構造体の外形は、前記ゲート層を前記絶縁材料層の上表面を露出するようにエッチングした後、実質的に垂直である、方法。 - 請求項1に記載の方法であって、
前記ゲート層を前記1つ又は複数の半導体フィンの上表面を露出するようにエッチングすること、及び前記ゲート層を前記絶縁材料層の上表面を露出するようにエッチングすることは、側方限界寸法(CD)損失が最小である状態で行われる、方法。 - 請求項1に記載の方法であって、
前記ゲート層を前記絶縁材料層の上表面が露出するようにエッチングすることにより、前記1つ又は複数のゲート構造体、前記1つ又は複数の半導体フィン及び前記絶縁材料層が交差する各隅から前記ゲート層を除去する、方法。 - 請求項1に記載の方法であって、
前記ゲート層を前記1つ又は複数の半導体フィンの上表面を露出するようにエッチングする前、前記1つ又は複数の半導体フィンの上表面は、酸化物層を含む、方法。 - 請求項1に記載の方法であって、
前記1つ又は複数のゲート構造体は、互いに対して平行であり、前記1つ又は複数の対応する半導体フィンは、互いに対して平行であり、前記1つ又は複数の半導体フィンは、前記1つ又は複数のゲート構造体を通じて延在する、方法。 - 請求項1に記載の方法であって、
前記第1の不活性化層は、シリコン酸化物(SiOx)を含む、方法。 - 請求項1に記載の方法であって、
ALDによる前記第1の不活性化層の堆積は、
前記プラズマ室に、前記ゲート層及び前記1つ又は複数の半導体フィンの上表面上に吸着する前駆体を導入することと、
ある吸着制限量の前記第1の不活性化層を形成するため、プラズマにより前記前駆体を変換することと、
所望の厚さの前記第1の不活性化層が、前記ゲート層及び前記1つ又は複数の半導体フィンの露出表面上に堆積されるまで、前記前駆体を導入し、前記前駆体を変換する動作を繰り返すことと
を含む、方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/820,263 US10515815B2 (en) | 2017-11-21 | 2017-11-21 | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US15/820,263 | 2017-11-21 | ||
PCT/US2018/060739 WO2019103877A1 (en) | 2017-11-21 | 2018-11-13 | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2021504973A JP2021504973A (ja) | 2021-02-15 |
JP7023376B2 true JP7023376B2 (ja) | 2022-02-21 |
Family
ID=66533265
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2020545227A Active JP7023376B2 (ja) | 2017-11-21 | 2018-11-13 | 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング |
Country Status (6)
Country | Link |
---|---|
US (1) | US10515815B2 (ja) |
JP (1) | JP7023376B2 (ja) |
KR (1) | KR102370203B1 (ja) |
CN (1) | CN111630664A (ja) |
TW (1) | TWI773850B (ja) |
WO (1) | WO2019103877A1 (ja) |
Families Citing this family (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10727045B2 (en) * | 2017-09-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing a semiconductor device |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
US10781519B2 (en) * | 2018-06-18 | 2020-09-22 | Tokyo Electron Limited | Method and apparatus for processing substrate |
US11195759B2 (en) * | 2018-11-30 | 2021-12-07 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement and method for making |
US11532481B2 (en) * | 2020-06-30 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor device and method of forming |
CN114121678B (zh) * | 2022-01-27 | 2022-04-29 | 广东省大湾区集成电路与系统应用研究院 | 一种finfet的制造方法 |
WO2024203479A1 (ja) * | 2023-03-27 | 2024-10-03 | 東京エレクトロン株式会社 | エッチング方法及びプラズマ処理装置 |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2013111461A1 (ja) | 2012-01-26 | 2013-08-01 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP2014179604A (ja) | 2013-03-11 | 2014-09-25 | Renesas Electronics Corp | フィンfet構造を有する半導体装置及びその製造方法 |
JP2014209622A (ja) | 2013-04-05 | 2014-11-06 | ラム リサーチ コーポレーションLam Research Corporation | 半導体製造用の内部プラズマグリッドの適用 |
US20150102386A1 (en) | 2013-10-10 | 2015-04-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Passivated and Faceted for Fin Field Effect Transistor |
US20160093614A1 (en) | 2014-09-30 | 2016-03-31 | International Business Machines Corporation | Method and structure for improving finfet with epitaxy source/drain |
JP2016131238A (ja) | 2015-01-12 | 2016-07-21 | ラム リサーチ コーポレーションLam Research Corporation | 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合 |
Family Cites Families (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7758794B2 (en) | 2001-10-29 | 2010-07-20 | Princeton University | Method of making an article comprising nanoscale patterns with reduced edge roughness |
US7250371B2 (en) | 2003-08-26 | 2007-07-31 | Lam Research Corporation | Reduction of feature critical dimensions |
US7645707B2 (en) | 2005-03-30 | 2010-01-12 | Lam Research Corporation | Etch profile control |
US7459363B2 (en) | 2006-02-22 | 2008-12-02 | Micron Technology, Inc. | Line edge roughness reduction |
US8470715B2 (en) | 2007-12-21 | 2013-06-25 | Lam Research Corporation | CD bias loading control with ARC layer open |
US7998872B2 (en) | 2008-02-06 | 2011-08-16 | Tokyo Electron Limited | Method for etching a silicon-containing ARC layer to reduce roughness and CD |
JP5223364B2 (ja) * | 2008-02-07 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマエッチング方法及び記憶媒体 |
US8866254B2 (en) * | 2008-02-19 | 2014-10-21 | Micron Technology, Inc. | Devices including fin transistors robust to gate shorts and methods of making the same |
JP4972594B2 (ja) | 2008-03-26 | 2012-07-11 | 東京エレクトロン株式会社 | エッチング方法及び半導体デバイスの製造方法 |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US8298949B2 (en) | 2009-01-07 | 2012-10-30 | Lam Research Corporation | Profile and CD uniformity control by plasma oxidation treatment |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US8608852B2 (en) | 2010-06-11 | 2013-12-17 | Applied Materials, Inc. | Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
US8334083B2 (en) | 2011-03-22 | 2012-12-18 | Tokyo Electron Limited | Etch process for controlling pattern CD and integrity in multi-layer masks |
KR101923167B1 (ko) * | 2011-04-07 | 2018-11-29 | 피코순 오와이 | 플라즈마 소오스를 갖는 원자층 퇴적 |
US8298951B1 (en) | 2011-04-13 | 2012-10-30 | Asm Japan K.K. | Footing reduction using etch-selective layer |
US20130189845A1 (en) | 2012-01-19 | 2013-07-25 | Applied Materials, Inc. | Conformal amorphous carbon for spacer and spacer protection applications |
US8716149B2 (en) | 2012-05-29 | 2014-05-06 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having improved spacers |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US8815685B2 (en) | 2013-01-31 | 2014-08-26 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having confined epitaxial growth regions |
US9184233B2 (en) * | 2013-02-27 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for defect passivation to reduce junction leakage for finFET device |
US9412871B2 (en) | 2013-03-08 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with channel backside passivation layer device and method |
KR101674972B1 (ko) | 2013-12-26 | 2016-11-10 | 한국과학기술원 | 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자 |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9899234B2 (en) | 2014-06-30 | 2018-02-20 | Lam Research Corporation | Liner and barrier applications for subtractive metal integration |
CN105470132B (zh) * | 2014-09-03 | 2018-08-10 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应管的形成方法 |
US9214333B1 (en) * | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9818633B2 (en) * | 2014-10-17 | 2017-11-14 | Lam Research Corporation | Equipment front end module for transferring wafers and method of transferring wafers |
US9659929B2 (en) * | 2014-10-31 | 2017-05-23 | Infineon Technologies Dresden Gmbh | Semiconductor device with enhancement and depletion FinFET cells |
US9991132B2 (en) | 2015-04-17 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithographic technique incorporating varied pattern materials |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
CN108076667A (zh) * | 2015-09-18 | 2018-05-25 | 英特尔公司 | 非平面晶体管界面的基于氘的钝化 |
US10020304B2 (en) * | 2015-11-16 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor, semiconductor device and fabricating method thereof |
US9793407B2 (en) * | 2015-12-15 | 2017-10-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
KR102452999B1 (ko) | 2016-05-03 | 2022-10-07 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
US9997631B2 (en) * | 2016-06-03 | 2018-06-12 | Taiwan Semiconductor Manufacturing Company | Methods for reducing contact resistance in semiconductors manufacturing process |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10483169B2 (en) | 2016-09-29 | 2019-11-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET cut-last process using oxide trench fill |
US10546748B2 (en) | 2017-02-17 | 2020-01-28 | Lam Research Corporation | Tin oxide films in semiconductor device manufacturing |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10943830B2 (en) | 2017-08-30 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned structure for semiconductor devices |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10770354B2 (en) | 2017-11-15 | 2020-09-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming integrated circuit with low-k sidewall spacers for gate stacks |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
-
2017
- 2017-11-21 US US15/820,263 patent/US10515815B2/en active Active
-
2018
- 2018-11-13 KR KR1020207017863A patent/KR102370203B1/ko active IP Right Grant
- 2018-11-13 JP JP2020545227A patent/JP7023376B2/ja active Active
- 2018-11-13 WO PCT/US2018/060739 patent/WO2019103877A1/en active Application Filing
- 2018-11-13 CN CN201880087182.7A patent/CN111630664A/zh active Pending
- 2018-11-20 TW TW107141194A patent/TWI773850B/zh active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2013111461A1 (ja) | 2012-01-26 | 2013-08-01 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP2014179604A (ja) | 2013-03-11 | 2014-09-25 | Renesas Electronics Corp | フィンfet構造を有する半導体装置及びその製造方法 |
JP2014209622A (ja) | 2013-04-05 | 2014-11-06 | ラム リサーチ コーポレーションLam Research Corporation | 半導体製造用の内部プラズマグリッドの適用 |
US20150102386A1 (en) | 2013-10-10 | 2015-04-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Passivated and Faceted for Fin Field Effect Transistor |
US20160093614A1 (en) | 2014-09-30 | 2016-03-31 | International Business Machines Corporation | Method and structure for improving finfet with epitaxy source/drain |
JP2016131238A (ja) | 2015-01-12 | 2016-07-21 | ラム リサーチ コーポレーションLam Research Corporation | 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合 |
Also Published As
Publication number | Publication date |
---|---|
TW201932639A (zh) | 2019-08-16 |
WO2019103877A1 (en) | 2019-05-31 |
TWI773850B (zh) | 2022-08-11 |
CN111630664A (zh) | 2020-09-04 |
KR20200079345A (ko) | 2020-07-02 |
JP2021504973A (ja) | 2021-02-15 |
US10515815B2 (en) | 2019-12-24 |
KR102370203B1 (ko) | 2022-03-03 |
US20190157096A1 (en) | 2019-05-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7023376B2 (ja) | 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング | |
US11170997B2 (en) | Atomic layer deposition and etch for reducing roughness | |
JP7246547B2 (ja) | 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング | |
KR102675485B1 (ko) | 수평 표면들 상에 SiN의 선택적인 증착 | |
KR102627546B1 (ko) | 이방성 텅스텐 에칭을 위한 방법 및 장치 | |
KR102483741B1 (ko) | 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들 | |
JP7391830B2 (ja) | Tcpエッチングチャンバ内での統合原子層パッシベーションおよびインサイチュエッチング-alp方法 | |
KR20200105531A (ko) | 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어 | |
KR20210011493A (ko) | 고 종횡비 구조체들의 효율적인 세정 및 에칭 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20211112 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20211213 |
|
A871 | Explanation of circumstances concerning accelerated examination |
Free format text: JAPANESE INTERMEDIATE CODE: A871 Effective date: 20211213 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20220111 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20220208 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7023376 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |