JP2014209622A - 半導体製造用の内部プラズマグリッドの適用 - Google Patents

半導体製造用の内部プラズマグリッドの適用 Download PDF

Info

Publication number
JP2014209622A
JP2014209622A JP2014077139A JP2014077139A JP2014209622A JP 2014209622 A JP2014209622 A JP 2014209622A JP 2014077139 A JP2014077139 A JP 2014077139A JP 2014077139 A JP2014077139 A JP 2014077139A JP 2014209622 A JP2014209622 A JP 2014209622A
Authority
JP
Japan
Prior art keywords
plasma
etching
ion
grid
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014077139A
Other languages
English (en)
Other versions
JP6509495B2 (ja
JP2014209622A5 (ja
Inventor
アレックス・パターソン
Paterson Alex
ド−ヨン・キム
Do-Yeon Kim
ゴウリ・カマーシー
Kamarthy Gowri
プッポ エレーヌ・デル
Del Puppo Helene
プッポ エレーヌ・デル
ジェン−カン・ユ
Jen-Kan Yu
モニカ・タイタス
Titus Monica
ラディカ・マニ
Mani Radhika
ノエルユイ・サン
Yui-Sung Noel
ユイ・サン ノエル
ニコラス・ガニ
Gani Nicholas
啓恵 木村
Hiroe Kimura
啓恵 木村
ティン−イン・チュン
Chung Ting-Ying
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/082,009 external-priority patent/US20140302681A1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014209622A publication Critical patent/JP2014209622A/ja
Publication of JP2014209622A5 publication Critical patent/JP2014209622A5/ja
Application granted granted Critical
Publication of JP6509495B2 publication Critical patent/JP6509495B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】半導体基板をエッチングするための改良された方法および装置を提供する。
【解決手段】内部プラズマグリッド150が、反応チャンバ内に位置決めされて、チャンバを上部サブチャンバ102と下部サブチャンバ103とに分割する。プラズマグリッドアセンブリは、特定のアスペクト比のスロットを有する1つまたは複数のプラズマグリッドを含むことがあり、これは、特定の種が、上部サブチャンバから下部サブチャンバに通過できるようにする。一部の場合には、上部サブチャンバ内で、電子イオンプラズマが発生する。グリッドを通して下部サブチャンバに電子イオンプラズマを進める電子は、通過中に冷却される。一部の場合には、これは、下部チャンバ内でイオン−イオンプラズマを生じる。様々なエッチングプロセスでイオン−イオンプラズマを有利に使用することができる。
【選択図】図1

Description

[関連出願の相互参照]
本出願は、“INTERNAL PLASMA GRID APPLICATIONS FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッドの適用)”という名称で2014年2月19日に出願された米国特許出願第14/184,491号の優先権の利益を主張するものであり、この特許文献は、“INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)”という名称で2013年11月15日に出願された米国特許出願第14/082,009号の一部継続出願であり、その優先権の利益を主張し、この特許文献は、“INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)”という名称で2013年4月5日に出願された米国仮特許出願第61/809,246号の優先権の利益を主張するものである。これらの文献はそれぞれ、その全体がすべての目的のために参照により本明細書に組み込まれる。
半導体製造においてよく採用される工程の1つは、エッチング工程である。エッチング工程では、半製品の集積回路から1つ以上の材料が部分的または完全に除去される。特に、関わるジオメトリが小さいか、高いアスペクト比が採用されるか、または精密なパターン転写が要求される場合には、プラズマエッチングがよく用いられる。
一般に、プラズマは、電子と、さらに正・負イオン、およびいくつかのラジカルを含んでいる。ラジカル、正イオン、および負イオンは、基板と相互作用することで、基板上のフィーチャ、表面、および材料をエッチングする。誘導結合プラズマ源を用いてエッチングを実施する場合には、チャンバコイルが、変圧器の一次コイルと同様の機能を果たし、プラズマが、変圧器の二次コイルと同様の機能を果たす。
プレーナ型から3Dトランジスタ構造(例えば、論理デバイス用のFinFETゲート構造)に移行すると、プラズマエッチングプロセスは、良品を製造するためには、ますます精密かつ均一であることが要求される。精密なエッチングから利益を得る操作の例は、限定はしないが、FinFETの形成中に使用されるエッチング/除去プロセス(例えば、ソースドレインリセスエッチング、FinFETゲートエッチング、およびダミーポリシリコン除去)、シャロートレンチアイソレーションプロセス、およびフォトレジスト・リフロープロセスを含む。
プラズマエッチングプロセスは、数ある因子の中でも特に、良好な選択性、プロファイル角、疎/密ローディング、全面均一性を示すものでなければならない。エッチングプロセスは、エッチングされる材料と残される材料との間の選択性が良好であると有用である。FinFETゲート構造の文脈では、これは、窒化ケイ素マスクなど他の露出部品に対して、エッチングされるゲートの選択性が良好でなければならないことを意味する。プロファイル角は、直近にエッチングされた(略垂直な)側壁と水平面との間の角度として測定される。多くの応用において、理想的なプロファイル角は90度であり、垂直エッチングにより段差または開口が作製される。場合によって、ウェハ上の局所フィーチャ密度がエッチングプロセスに影響することがある。例えば、フィーチャが密なウェハ領域は、フィーチャがより疎なウェハ領域に比べて、(例えば、より高速の、より低速の、より等方的な、より異方的なエッチングになり得るなど)エッチングに若干違いが生じ得る。フィーチャ密度の違いに起因して生じる差異は、疎(Iso)/密(Dense)ローディング(I/Dローディング)と呼ばれる。製造の際に、このような差異を最小限に抑えることは有用である。これらの要件および他の可能性のあるデバイス固有の要件を満たすことに加えて、多くの場合、エッチングプロセスが基板の全面にわたって一貫して実施されることが要求される(例えば、エッチング条件ならびに結果は、半導体ウェハの中心からエッジまで均一でなければならない)。
FinFETゲートのような先進構造をエッチングする際に、上記のような複数の目的を達成することは難しいことが分かっている。
本明細書に開示する実施形態は、半導体を製造するための方法および装置を提供する。開示される実施形態の一態様では、プラズマを処理する方法であって、反応チャンバ内に基板を受け取るステップであって、反応チャンバが、反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、プラズマ発生ガスを上部サブチャンバに流すステップと、上部サブチャンバ内で、プラズマ発生ガスから、第1の電子密度を有する第1のプラズマを発生させ、下部サブチャンバ内で、第2のプラズマを発生させるステップであって、第2のプラズマが、第1の電子密度の約10分の1未満の第2の電子密度を有するイオン−イオンプラズマであるステップと、第2のプラズマを用いて基板を処理して、ソースドレインリセスエッチング、FinFETゲートエッチング、ダミーポリシリコン除去、シャロートレンチ・アイソレーション・エッチング、またはフォトレジスト・リフローでのステップを行うステップとを含む方法が提供される。
特定の実施形態では、第1のプラズマが、約2eV以上の第1の電子温度を有することがあり、第2のプラズマが、約1eV以下の第2の実効電子温度を有することがある。第2の電子密度は、約5×109cm-3以下でよい。一部の実施形態では、第2のプラズマ中の負イオン:正イオンの比が、約0.5〜1の間であることがある。
この方法は、ソースドレインリセスエッチングを行うために行われることがある。ソースドレインリセスエッチングは、垂直エッチングフィーチャを形成するために、基板を垂直方向にエッチングするために第1のエッチングプロセスを行うステップと、垂直エッチングフィーチャの内部で基板を水平方向にエッチングするために、第2のエッチングプロセスを行うステップと、垂直エッチングフィーチャ内部に酸化層を形成するために、酸化プロセスを行うステップと、垂直エッチングフィーチャ内にソースドレインリセスを形成するために上記の方法を繰り返すステップとを含むことがあり、第1のエッチングプロセス、第2のエッチングプロセス、および酸化プロセスがすべて、グリッド構造を有する反応チャンバ内で行われ、それにより、各プロセスでの第2のプラズマが、イオン−イオンプラズマとなる。第1のエッチングプロセスは、Cl2を含む第1のプラズマ発生ガスを用いて行われることがあり、第2のエッチングプロセスは、NF3およびCl2を含む第2のプラズマ発生ガスを用いて行われることがあり、酸化プロセスは、酸素を含む第3のプラズマ発生ガスを用いて行われることがある。この方法は、凹角形状を有する垂直エッチングフィーチャを形成するために繰り返されることがある。
他の実施形態では、この方法は、シャロートレンチ・アイソレーション・エッチングを行うために行われることがある。この場合、プラズマ発生ガスは、HBrを含むことがあり、基板は、エッチング中に約300〜1200Vの間にバイアスされることがある。プラズマ発生ガスは、約50〜500sccmの間の流量で流れることがある。プラズマ発生ガスは、さらに、Cl2を含むことがある。一部の実施形態では、エッチングプロセスが、少なくとも第1のフィーチャ形状と第2のフィーチャ形状を同時にエッチングするステップを含み、第1のフィーチャ形状が、約10以上のアスペクト比を有し、第2のフィーチャ形状が、約1以下のアスペクト比を有することがある。エッチング後、第1のフィーチャのエッチング深さが、第2のフィーチャのエッチング深さの少なくとも約95%であることがある。さらに、エッチング後、第1のフィーチャ形状が、少なくとも約88°のエッチングプロファイルを有することがあり、第2のフィーチャ形状が、少なくとも約85°のエッチングプロファイルを有することがある。
いくつかの場合には、この方法は、フォトレジスト・リフロープロセスを行うために行われることがある。これらの実施形態では、反応チャンバ内に受け取られる基板は、パターン形成されたフォトレジストを上に有する。フォトレジスト・リフロープロセスは、基板上のパターン形成されたフォトレジストをリフローするために、第1のプラズマプロセスを行うステップと、基板上のフット領域内のフォトレジストの一部分を除去するために、第2のプラズマプロセスを行うステップとを含むことがあり、第1のプラズマプロセスと第2のプラズマプロセスがどちらも、グリッドを有する反応チャンバ内で行われ、第1のプラズマプロセスおよび第2のプラズマプロセス中の第2のプラズマが、イオン−イオンプラズマである。第1のプラズマプロセス中のプラズマ発生ガスは、Hを含むことがあり、第2のプラズマプロセス中のプラズマ発生ガスは、不活性ガスを含むことがある。不活性ガスは、Arでよい。いくつかの場合には、第1および第2のプラズマプロセス後のパターン形成されたフォトレジストの最終的な高さが、第1および第2のプラズマプロセス前のパターン形成されたフォトレジストの初期高さの少なくとも約50%である。第1および第2のプラズマプロセス後の最終的な線幅粗さは、第1および第2のプラズマプロセス前の初期線幅粗さの約75%以下であることがある。例えば、最終的な線幅粗さは、初期線幅粗さの約65%以下であることがある。
開示される実施形態の別の態様では、FinFETゲート領域を画定するために、半製造された集積回路上のポリシリコンをエッチングする方法であって、上にポリシリコンの層を有する基板を反応チャンバ内に受け取るステップであって、反応チャンバが、反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を含むステップと、プラズマ発生ガスを上部サブチャンバに流すステップと、上部サブチャンバ内でプラズマ発生ガスから第1のプラズマを発生させ、下部サブチャンバ内で第2のプラズマを発生させるステップであって、第2のプラズマが、イオン−イオンプラズマであるステップと、基板に提供されたポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップとを含む方法が提供される。
いくつかの場合には、ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップが、ポリシリコンをエッチングして、完成された集積回路においてFinFETゲートの近傍となる位置にキャビティを形成するステップを含む。マスク層が、ポリシリコン層の上に位置決めされることがあり、このマスク層の厚さは、エッチング中に約10%未満だけ減少することがある。エッチング中の反応チャンバ内の圧力は、約5〜20mTorrの間でよい。いくつかの場合には、エッチング中に、オンフィン領域とオフフィン領域との間のプロファイルローディングが、実質的に存在しない。様々な実施形態で、エッチングされた領域に近いフィンは、エッチング中に凹まされない。
ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップは、ポリシリコンをエッチングして、完成された集積回路においてFinFETゲートが配される位置にキャビティを形成するステップを含むことがある。この方法は、HBrを含む第1のプラズマ発生ガスを用いた第1の繰返しと、Cl2を含む第2のプラズマ発生ガスを用いた第2の繰返しとで行われることがある。いくつかの場合には、他の化学物質が使用されることもある。様々な実施形態において、第1のプラズマ発生ガスと第2のプラズマ発生ガスはどちらも、実質的に酸素含有反応物を含まない。反応チャンバ内の圧力は、第1の繰返し中には約20〜80mTorrの間でよく、第2の繰返し中には約4〜80mTorrの間でよい。異なるフィーチャ密度で配されたエッチングされたフィーチャの間のエッチングプロファイル・ローディングは、実質的に存在しないことがある。
様々な実施形態で、第2のプラズマでの実効電子温度は、約1eV以下であり、第1のプラズマでの実効電子温度未満であることがある。さらに、第2のプラズマでの電子密度は、約5×109cm-3以下であり、第1のプラズマ内での電子密度よりも小さいことがある。特定の実施形態において、グリッド構造は、2つ以上のグリッドを含み、グリッドの少なくとも1つが、他のものに対して移動可能であることがある。
これらおよび他の特徴について、関連する図面を参照して以下で説明する。
図1は、本明細書で開示するいくつかの実施形態により、エッチング工程で使用されるプラズマ処理システムを示す断面模式図である。
図2Aは、本明細書で開示するいくつかの実施形態によるグリッド構造の簡略上視図である。
図2Bは、本明細書で記載するいくつかの実施形態によるグリッド構造の写真である。
図3Aおよび3Bは、下部サブチャンバにおけるプラズマ条件を径方向に調整するために用いることができる一対のプラズマグリッドを示している。 図3Aおよび3Bは、下部サブチャンバにおけるプラズマ条件を径方向に調整するために用いることができる一対のプラズマグリッドを示している。
図3Cおよび3Dは、本明細書で記載する一実施形態によるC形スロットを有する一対のプラズマグリッドを示している。 図3Cおよび3Dは、本明細書で記載する一実施形態によるC形スロットを有する一対のプラズマグリッドを示している。
図3Eおよび3Fは、回転可能なグリッドのアパーチャを通るイオンの軌跡を示している。 図3Eおよび3Fは、回転可能なグリッドのアパーチャを通るイオンの軌跡を示している。
図4は、本明細書で記載する一実施形態により、可動プラズマグリッドの上方に配置される固定プラズマグリッドを有する処理チャンバの簡略図を示している。
図5は、本明細書で記載する一実施形態により、固定プラズマグリッドの上方に可動プラズマグリッドを有する処理チャンバの簡略図を示している。
図6A〜6Cは、エッチング副生成物の解離によって生じるいくつかの問題を示している。 図6A〜6Cは、エッチング副生成物の解離によって生じるいくつかの問題を示している。 図6A〜6Cは、エッチング副生成物の解離によって生じるいくつかの問題を示している。
図7A〜7Cは、ソース−ドレインリソスエッチング中に基板にエッチングすることができる様々な形状をまとめて示している。 図7A〜7Cは、ソース−ドレインリソスエッチング中に基板にエッチングすることができる様々な形状をまとめて示している。 図7A〜7Cは、ソース−ドレインリソスエッチング中に基板にエッチングすることができる様々な形状をまとめて示している。
図8は、ソース−ドレインリセスエッチング中の様々なエッチングおよび酸化段階を受けたときの基板を示している。
図9は、FinFETポリシリコンゲートエッチングを受けたときの、半製造された半導体デバイスを示している。
図10は、FinFETポリシリコンゲートエッチング後の、半製造された半導体デバイスの断面図および上面図を示している。
図11A〜11Gは、ダミーポリシリコン除去プロセス中を含めた、様々な製造段階中の、半製造された半導体デバイスの断面図を示している。 図11A〜11Gは、ダミーポリシリコン除去プロセス中を含めた、様々な製造段階中の、半製造された半導体デバイスの断面図を示している。 図11A〜11Gは、ダミーポリシリコン除去プロセス中を含めた、様々な製造段階中の、半製造された半導体デバイスの断面図を示している。 図11A〜11Gは、ダミーポリシリコン除去プロセス中を含めた、様々な製造段階中の、半製造された半導体デバイスの断面図を示している。 図11A〜11Gは、ダミーポリシリコン除去プロセス中を含めた、様々な製造段階中の、半製造された半導体デバイスの断面図を示している。 図11A〜11Gは、ダミーポリシリコン除去プロセス中を含めた、様々な製造段階中の、半製造された半導体デバイスの断面図を示している。 図11A〜11Gは、ダミーポリシリコン除去プロセス中を含めた、様々な製造段階中の、半製造された半導体デバイスの断面図を示している。
図12は、イオン−イオンプラズマおよび従来のプラズマに関する電子エネルギー分布関数を示している。
図13は、フォトレジスト・リフロープロセス中の、上にフォトレジストを有する基板を示している。
図14は、シャロートレンチアイソレーションプロセス中にエッチングされた基板に関する様々なエッチングプロファイルを示している。
図15は、従来のプラズマを使用して、およびイオン−イオンプラズマを使用して、シャロートレンチアイソレーションプロセス中に実現されるエッチングプロファイルを示している。
図16Aおよび16Bは、高圧の従来の技法に従って(16A)、およびプラズマグリッドを使用する一実施形態に従って(16B)エッチングしたFinFET構造のSEM像を示している。 図16Aおよび16Bは、高圧の従来の技法に従って(16A)、およびプラズマグリッドを使用する一実施形態に従って(16B)エッチングしたFinFET構造のSEM像を示している。
図17Aおよび17Bは、低圧の従来の技法に従って(17A)、およびプラズマグリッドを使用する本明細書で開示される実施形態に従って(17B)エッチングしたフィーチャのSEM像を示している。 図17Aおよび17Bは、低圧の従来の技法に従って(17A)、およびプラズマグリッドを使用する本明細書で開示される実施形態に従って(17B)エッチングしたフィーチャのSEM像を示している。
図18は、プラズマグリッドを使用せずに、様々なレジームに従ってエッチングしたフィーチャの様々なSEM像を示している。
図19は、ソース−ドレインリセスエッチング中の、従来のプラズマおよびイオン−イオンプラズマを用いて実現される様々なエッチング形状を示している。
図20は、ソース−ドレインリセスエッチングプロセス中に実現される様々なエッチング形状を示している。
図21は、従来のプラズマレジームおよびイオン−イオンプラズマレジームで実行されるシャロートレンチアイソレーションプロセスに関するエッチングプロファイルおよびローディングの効果を示している。
本出願において、「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、および「半製品の集積回路」という用語は、区別なく用いられる。「半製品の集積回路」という用語が、集積回路がその上に作製される多くの段階のいずれかにおける半導体ウェハ上のデバイスを指し得ることは、当業者であれば理解できるであろう。以下の詳細な説明では、本発明がウェハ上で実施されることを想定している。ただし、本発明はこれに限定されない。ワークピースは、様々な形状、サイズ、材質のものであり得る。
以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示する実施形態は、それら特定の詳細の一部または全部がなくても実施することができる。また、開示する実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示する実施形態は、具体的な実施形態に関連させて説明されるが、当然のことながら、開示する実施形態を限定するものではない。
開示するのは、半導体デバイスの製造において半導体基板およびその上に形成された層のエッチングに用いられる装置である。装置は、エッチングが実施されるチャンバによって画成される。一部の実施形態では、チャンバは、平面窓と、略平面状の励起コイルと、エッチング中に半導体基板を保持するためのペデスタルまたはチャックと、を備える。当然のことながら、本開示は、特定のタイプのプラズマ源に限定されない。平面状の励起コイルの他に、ドーム型および平板型のプラズマ源を採用することができる。プラズマ源には、誘導結合プラズマ(ICP)源、容量結合プラズマ(CCP)源、ならびにその他、当業者に周知のものが含まれる。本明細書で記載する実施形態では、チャンバ内に配置されて、チャンバを2つのサブチャンバに分割するグリッドを利用する。いくつかの実施形態において、「グリッドアセンブリ」と呼ばれることもある2つ以上のグリッドからなる積層群が用いられる。作動中には、サブチャンバはそれぞれ、異なる特性のプラズマを収容している。プラズマは、主として、または専ら、上部サブチャンバにおいて生成され、一部の種は、影響なくグリッドもしくはグリッドアセンブリを通り抜けて、下部サブチャンバに入ることができる。グリッドは、グリッドの厚さを貫通したスロットを有する。いくつかの実現形態では、これらのスロットは、略径方向外向きに延びている。本明細書で用いられる場合の「略径方向外向きに延びる」とは、その説明される特徴部が、少なくともある程度、径方向に向いた成分を有することを意味する。つまり、その特徴部の一部が、略中心からエッジへの方向に延びていればよく、特徴部全体が、すべて径方向に向いている必要はない。また、「中心からエッジへの方向」は、中心からエッジへの真の方向の周囲のある角度範囲(例えば、中心からエッジへの真の方向の約20°の範囲内)を含むものと定義される。
グリッドもしくはグリッドアセンブリは、グリッドの厚さを貫通した複数の径方向スロットを含むことができる。グリッドおよびスロットは、上部サブチャンバ内のほんの一部の高エネルギー電子のみがグリッドを通過し得るように設計されている。一般に、より高エネルギーの電子は、集合的に、グリッドを通過して下部サブチャンバに入るときに、より低エネルギーの「より低温の」電子になる。高エネルギー電子は、グリッドを通過するのに十分なエネルギーを有し得るものの、それらの多くは、グリッドと衝突する角度でグリッドに接近して、エネルギーを損失する。実際にグリッドを通り抜ける高エネルギー電子は、励起源から既に切り離されているため、集合的に、グリッドの下でプラズマを維持するのに十分なエネルギーを持たない。高温電子が下部チャンバで低温となるメカニズムには、グリッドとの衝突と、グリッドの下での中性種との衝突と、グリッドの下の電子がグリッドの上方の励起源から遮蔽されることと、が含まれる。このようにして、グリッドにより、下部サブチャンバにおいて、低電子密度(ne)および低平均実効電子温度(Te)を有するプラズマを生成することができる。グリッドもしくはグリッドアセンブリの上方では、一般に、プラズマは、通常の電子‐イオンプラズマであり、その中の負に帯電した種のうち極めて多くの部分が電子である。グリッドもしくはグリッドアセンブリの下方では、プラズマは、はるかに高い割合で負イオンを含み、実際には、イオン‐イオンプラズマであり得る。イオン‐イオンプラズマのいくつかの特性については、後述する。大まかには、イオン‐イオンプラズマは、電子‐イオンプラズマと比較して、負に帯電した種として(電子よりも)イオンを、はるかに高い割合で含むものである。
[反応器内のグリッドの位置]
グリッドもしくはグリッドアセンブリは、プラズマチャンバ内に配置されて、これにより、チャンバを上部サブチャンバと下部サブチャンバとに分割している。本明細書で記載するようなグリッドを備えるように改良するのに適したチャンバの一例は、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corporation)による反応器Kiyoである。文脈として、以下の説明では図1を参照することを想定することができ、これについてはさらに後述する。いくつかの実現形態において、グリッドは、反応チャンバの内部底面の上方、約1〜6インチの間に、またはペデスタルなどの基板支持部の上方、約1〜6インチの間(例えば、約1.5〜3インチの間)に配置される。これらまたは他の実現形態において、グリッドは、反応チャンバの内部天井の下方、約1〜6インチの間(例えば、約1.5〜3インチの間)に配置することができる。多くの場合、天井には、誘電体窓が装備されている。
一部の実施形態では、上部サブチャンバと下部サブチャンバの高さは、略同じ(例えば、約5%以内)であり、一方、他の実施形態では、それらの高さは、より顕著に異なり得る。サブチャンバ高さ比とも呼ばれる、下部チャンバの高さに対する上部チャンバの高さの比率(hu/hl)は、約0.1〜10の間、または約0.2〜5の間とすることができる。いくつかの実施形態において、サブチャンバ高さ比は、約1/6よりも大きい。
グリッドは、ウェハに近すぎると、ウェハ面にグリッドの跡が生じる原因となり得るので、そのように配置されてはならない。すなわち、処理後のウェハ面に、望ましくないグリッドのスロットパターンが生じて、基板表面上で深刻なエッチング不均一性を引き起こすことがある。多くの応用において、基板の頂面からグリッドまでの離間距離が少なくとも約1インチであると十分である。
[グリッド設計]
グリッドを実現するために、様々な設計を採用することができる。いくつかの実施形態では、グリッドは、比較的単純な薄板材であって、一部の電子が上部サブチャンバから下部サブチャンバへ通過することを可能にするスロット、略円形の孔、または他の穿孔部を有する。他の実施形態では、グリッドは、より複雑な、複数の構成要素を有するグリッドアセンブリで構成することができる。例えば、グリッドアセンブリは、複数のグリッド、支持要素、および/または駆動要素を有することができる。
単純な実装形態では、グリッドは、スロットを有する比較的薄い板である。加えて、一部の実施形態では、グリッドは、孔を有することができる。この場合、グリッドは、孔とスロットとを併せて有する。グリッド構造の非限定的な例を、図2A、2Bおよび3A〜3Dに示している。グリッドに含まれる材料は、絶縁体、導体、またはそれらの組み合わせとすることができる。いくつかの実現形態において、グリッドは1つ以上の材料を含み、それらの材料には、限定するものではないが、金属と、ステンレス鋼、アルミニウム、チタンなどの金属合金と、セラミック、シリコン、炭化ケイ素、窒化ケイ素、およびそれらの組み合わせが含まれる。材料は、例えば耐食性のための陽極酸化または他の不動態化が施されていても、または施されていないものであってもよい。一実施形態において、グリッドは、セラミックコーティングを有する金属材料で構成される。他のコーティングを用いることもできる。コーティングされたグリッドを用いることは、特に被エッチング層が揮発性である場合に有効である。いくつかの実現形態において、グリッドは、純粋なコーティングで被覆することができ、それには、例えば、Y23、YF3、YAG、窒化チタン、またはCeO2のコーティングが含まれるが、ただし、これらに限定されない。また、グリッドは、接地するか、フローティングとするか、またはバイアスすることができる。いくつかの実現形態において、接地されたグリッドは、陰極の拡張バイアス電流帰路として機能する。
グリッドは、一般に、チャンバの水平断面全体に及ぶものである。チャンバが(上から見て)円形である場合、グリッドも円形となる。これによって、グリッドは、反応チャンバを2つのサブチャンバに効果的に分割することが可能となる。いくつかの設計において、グリッドの円形形状は、典型的には円形ウェハである基板のジオメトリによって規定される。周知のように、ウェハは、一般に、200mm、300mm、450mmなど、様々なサイズで提供される。正方形もしくは他の多角形の基板、またはより小さな基板の場合には、チャンバ内で実施されるエッチング工程に応じて、他の形状が可能である。この場合、グリッドの断面は、様々な形状およびサイズとすることができる。平坦な平面状のグリッド断面は、一部の実施形態には適している。しかしながら、他の実施形態では、皿状、ドーム状、周期的形状(例えば、正弦波形状、矩形波形状、山形状)、傾斜状などのグリッド断面が適切である。これらの断面形状のいずれかを貫通したスロットまたは孔は、(本明細書の別項に記載するようなアスペクト比を含む)特性を有することになる。
グリッドは、平均して、約1〜50mmの間の厚さ、好ましくは約5〜20mmの間の厚さとすることができる。グリッドは、厚すぎると、適正に機能しない場合がある(例えば、通過を阻まれる種が多すぎること、質量が大きすぎること、反応チャンバで占めるスペースが大きすぎること、などがある)。グリッドは、薄すぎると、プラズマ処理に耐えることができない場合があり、かなり頻繁な交換が必要となり得る。一般に、スロットの高さはグリッドの厚さによって決まるので、グリッドの厚さは、後述するように、グリッドにおけるスロットの所望のアスペクト比によっても制限される。
一部の実施形態において、グリッドは、上流プラズマと下流プラズマとの間の分離器として機能し、この場合、下流プラズマは、下部サブチャンバ内にあって、ラジカルリッチとなり得る。このように、グリッドを装備したプラズマチャンバによって、現在はカリフォルニア州フリーモントのラムリサーチ社であるノベラスシステムズ社(Novellus Systems)から入手可能なGAMMA(登録商標)プラットフォームツールなどの既存のリモートプラズマ・ツールで達成されるのと同様の結果を得ることができる。この目的で機能させる場合には、グリッドは、比較的厚く、例えば約20〜50mm厚とすることができる。
いくつかの実施形態において、グリッドは、長くて薄い形状のスロットを有する。スロットは、グリッドの中心から径方向外向きに延びている。スロットは、高さ、幅、および長さを有する(幅と長さを、図2Aにおいてラベルで明示している)。スロット高さは、グリッド面に垂直な軸に沿って測定され(すなわち、スロット高さは、ほとんどの作動構成において垂直な向きとなる)、この高さは、グリッドの厚さに略等しい。スロットの幅は、スロットの径方向範囲にわたって可変または一定とすることができる。いくつかの例において、スロットは、扇形と(すなわち、グリッドの中心に向かってより薄く、エッジに向かってより厚く)することができる。いくつかの実施形態において、スロットは、その長さ方向がグリッドの中心から外向きに(すなわち、径方向に)延びている。いくつかの実施形態において、スロット幅は、約25mm以下である。スロットの長さは、グリッドの方位角方向範囲の周りで可変または一定することができる。径方向スロットの分離角は、グリッドの周りで可変または一定することができる。
もしグリッドにスロットがない場合には、プラズマ生成中に、グリッドに電流が誘導されることになる。この電流は、グリッドの周りに略環状に流れるか、または局所渦電流を形成し、そして、電力消費が増加することになる。ところが、スロットがあることによって、そのような寄生電流の発生が防止され、これにより電力が節約されて、その結果、より効率的なプロセスとなる。略円形の孔などのような形状の開口部は、このような電流の発生を防ぐ効果が比較的低い。そこで、上述のように、円形開口部は、スロット状開口部と併せて用いることができる。
スロットのアスペクト比は、スロットの幅に対するその高さの比(h/w)と定義される。通常、このアスペクト比のジオメトリは、スロットの長さ方向(多くの場合、径方向)に垂直な断面として見ることができる。スロットの幅は可変とすることができるので、アスペクト比は、同様に可変とすることができる。いくつかの実施形態において、(グリッド全体にわたって可変または一定とすることができる)スロットのアスペクト比は、約0.01〜5の間、または約0.3〜5の間、または約1〜4の間、または約0.5〜2の間である。多くの実施形態では、このようなアスペクト比を有するグリッドによって、上部サブチャンバと比較して、下部サブチャンバにおける電子密度および実効電子温度を低下させる。上述のように、電子がスロットを通過する際に、多くの高温電子がグリッドに衝突することを少なくとも一因として、実効電子温度が低下すると考えられる。また、下部サブチャンバの電子はグリッドによって遮蔽されることで、プラズマコイル(または他のプラズマ源)からの誘導加熱を受けないため、下部サブチャンバにおける実効電子温度は、上部サブチャンバと比較して低下する。
孔をスロットと共に用いる場合には、孔は、スロットと同じ目的を果たすことができる。従って、それらは、通常、上記のようなアスペクト比を有する。いくつかの実施形態において、孔は、約0.05インチ〜約0.2インチの範囲の直径を有する。それらは、グリッドの厚さ全体を貫通している。
グリッドにより得られる更なる効果は、メイン注入器からの対流効果を緩和することができることである。これによって、ウェハ面へのガス流を、より均一とすることが可能である。ウェハと上部チャンバ内のガス注入器(複数の場合もある)との間にグリッドもしくはグリッドアセンブリがあることによって、グリッドがガス流を妨げることで、ウェハ上で、より拡散性の高いフローレジームが得られるので、ガス注入器から送出されるガスの対流の影響を著しく軽減することが可能である。
一部の実施形態では、グリッドは、ガス吐出孔を含んでいる。このような実施形態では、グリッドは、上部サブチャンバおよび/または下部サブチャンバのためのシャワーヘッドであるという付加的な目的を果たすことができる。このような実施形態では、1つ以上のグリッドに、1つ以上のチャネルを含むことができる。これらのチャネルに、注入口(または複数の注入口)からガスを供給して、そのガスをグリッド(複数の場合もある)の複数の出口孔に送ることができる。出口孔は、上部サブチャンバおよび下部サブチャンバのいずれかまたは両方に処理ガスを送出するガス分配シャワーヘッドを形成することができる。
いくつかの実現形態において、グリッドは、グリッドを貫通してプロービング装置を配置することを可能にする特徴部を含む中心領域などの領域を有する。プロービング装置は、作動中のプラズマ処理システムに関連するプロセスパラメータをプローブするために設けることができる。プロービングプロセスには、発光終点検出、干渉法による終点検出、プラズマ密度測定、イオン密度測定、および他の計量プロービング操作を含むことができる。一部の実施形態では、グリッドの中心領域は、開口している。他の実施形態では、グリッドの中心領域は、光がグリッドを透過することを可能とするため、光学的に透明な材料(例えば、石英、サファイアなど)を含んでいる。
いくつかの実施形態では、300mmウェハ用エッチャの場合に、グリッドの外縁付近で約15mm〜40mm毎に、グリッドにスロットを有することが好ましい場合がある。これは、方位隣接スロットが、それぞれ約18°または約48°で隔てられていることに相当する。このように、いくつかの実施形態において、方位隣接スロットは、少なくとも約10°または少なくとも約15°で隔てられている。これらまたは他の実施形態において、方位隣接スロットは、約40°以下、または約50°以下、または約60°以下で隔てられている。
一部の実施形態では、プラズマグリッドは、グリッドに埋め込まれた冷却チャネルを有することができ、これらの冷却チャネルは、流動性または非流動性の冷却材で満たすことができる。いくつかの実施形態において、冷却材は、ヘリウムもしくは他の不活性ガス、またはDI水、プロセス冷却水、フロリナートなどの液体といった流体、あるいはパーフルオロカーボン、ハイドロフルオロカーボン、アンモニア、CO2などの冷媒である。これらまたは他の実施形態において、プラズマグリッドは、埋め込まれた発熱体および/または温度測定装置を有することができる。冷却チャネルと埋め込みヒータとによって正確な温度制御を可能とし、これにより、粒子および壁の条件に対する精密制御が可能となる。このような制御は、一部の例において、下部ゾーン・プラズマにおける条件を調整するために用いることができる。例えば、プラズマグリッドがより低い温度に維持される場合には、ウェハからのエッチング副生成物をグリッドに選択的に沈着させて、これにより、下部サブチャンバにおけるエッチング副生成物の気相密度を抑える。あるいは、グリッドもしくはグリッドアセンブリを高温(例えば、80℃超)に維持することができ、これにより、グリッドへの沈着を抑えて、チャンバを比較的清浄に保つことができるようにし、さらに/またはウェハレス自動クリーニング(WAC:Waferless Auto Clean)でチャンバをクリーニングするのに要する時間を削減できるようにする。
いくつかの実施形態に含まれ得る他の特徴は、グリッドが、上部サブチャンバおよび下部サブチャンバのいずれかまたは両方に対して、処理ガスを送出するためのシャワーヘッドとして機能し得るということである。この場合、グリッドは、ガス供給源を上部サブチャンバおよび/または下部サブチャンバに接続する複数のチャネルを含むことができる。シャワーヘッド孔は、サブチャンバ内へのガス送出が均一となるように配置することができる。
また、一部の実施形態では、複数のガス供給源が用いられる。例えば、(1つまたは複数のシャワーヘッド型グリッドによるか、または他のガス送出手段によるか、いずれかにより)上部サブチャンバと下部サブチャンバに、異なる処理ガスを送出することができる。具体的な実現形態では、不活性ガスが上部サブチャンバに送出され、プラズマエッチング化学物質が下部サブチャンバに送出される。他のいくつかの実施形態では、上部サブチャンバに送出されるガスは、H2、N2、O2、NF3、またはC48もしくは他のフルオロカーボンであるが、ただし、実施形態はこのように限定されない。これらまたは他の実現形態において、下部サブチャンバに送出されるガスは、N2、CO2、またはCF4もしくは他のフルオロカーボンとすることができるが、ただし、先と同じく実施形態はこのように限定されない。
場合によっては、ワーク基板に隣接するプラズマ条件を幅広く可能とするプラズマ処理反応器を用いることが、有用である。そのような条件には、プラズマ密度、プラズマの実効電子温度、およびプラズマ中のイオンに対する電子の比率が含まれる。in‐situ処理では、チャンバ内で複数の層が処理されている場合に、それぞれの層の処理条件に変更することが必要となり得る。位置固定されたグリッドによって、反応器の動作ウィンドウが制限される場合があり、例えば、下部サブチャンバでイオン‐イオンプラズマを発生させるようにグリッドが最適化されると、高プラズマ密度が実現可能とならないことがある。そこで、一部の実施形態では、回転および/または並進により照準開口領域のグリッド線を調整可能なグリッドおよびグリッドアセンブリを設ける。
一部の実施形態では、プラズマグリッドを、プラズマコイルまたは他のプラズマ源に対して上昇または下降させることが可能である。これは、例えば、可動ステージ上にグリッドを取り付けることによって実現することができる。いくつかの実現形態において、この上下移動により、オペレータまたはコントローラは、上部ゾーン・プラズマおよび下部ゾーン・プラズマにおける実効電子温度、電子密度またはプラズマ密度、イオンに対する電子の比率、ラジカル濃度などを変更することが可能となる。また、ラジカル濃度は、プラズマグリッドの高さの影響を受けるので、可動プラズマグリッドを用いることにより、多段階プロセス全体にわたってラジカル濃度を調整できるプロセスが可能となる。ラジカル種は化学反応性が高く、負イオンは電子と異なるエッチング特性を有するので、このパラメータは、所望の反応を得るように調整/制御するのに、特に有用である。
また、いくつかの実現形態において、反応チャンバ内で、複数のプラズマグリッドを単一のグリッドアセンブリで用いることができる。複数のグリッドを用いる場合のグリッド数は、典型的には約2〜5の間である。複数のプラズマグリッドを用いる場合には、プラズマグリッドのうち少なくとも1つを、他のプラズマグリッドの少なくとも1つに対して可動とすることが多い。一般に、そのような動きは、グリッドを回転させるか、または引き離すか、いずれかによって達成される(場合によっては、両タイプの動きが用いられる)。グリッドアセンブリにおいて回転可能グリッドを用いることで、異なるプロセス/ウェハ間と、単一のプロセス/ウェハでそのウェハを処理する過程内と、その両方で、グリッド開口面積を変更することが容易に可能となる。重要なことは、下部サブチャンバにおける実効電子温度および電子密度は、グリッド開口面積に依存するということである。
複数のグリッドを用いる場合には、いくつかの追加パラメータを規定すると有用である。アセンブリ・スロットまたは他の開口部は、上から見て、1つのグリッドの開口部が他のグリッド(複数の場合もある)の開口部と位置が揃うと、これによって、図3Eに示すように、プラズマグリッドアセンブリを通り抜ける明確な照準線が形成されるような、アセンブリの領域である。グリッドのスロット/孔の位置が揃っていない場合には、図3Fに示すように、グリッドアセンブリを通り抜ける明確な照準線がない。図3Eおよび3Fについて、上側グリッド302が、下側グリッド304の上方に配置されている。グリッド302および304のそれぞれの下の暗い領域は、そこを通って種が移動することができる開放領域である。具体的な実施形態では、下側グリッド304の下の暗い領域は、下部サブチャンバの上側部分である。典型的には、単一のプラズマグリッドアセンブリに、複数のアセンブリ開口部がある。個々のグリッドが相互に動くと、アセンブリ開口部のジオメトリは変化する。例えば、第1のグリッドが第2のグリッドに対して回転すると、アセンブリ・スロットの幅が変化し得る。同様に、グリッドが回転または他の動きを相互にすると、最上グリッドの上面と最下グリッドの底面との間の総距離を照準開口幅で除算したものとして定義されるアセンブリ・スロットのアスペクト比が、変化し得る。いくつかの実施形態において、アセンブリ・スロットのアスペクト比は、約0.1〜5の範囲内とすることができる。
グリッドアセンブリ開口面積は、(グリッド面に平行な平面から見て)スロットの位置が揃っている場合のグリッドアセンブリのスロットの総面積と定義される。図3Fに示すようにスロットの位置がずれている場合には、プラズマ中の一部の種(特に、イオンおよび電子などの荷電種)が、下部サブチャンバに移動することは実質的にない。このようにスロットの位置をずらした配置によって、アセンブリにおけるスロットのアスペクト比を効果的に増加させるか、またはスロットの重なりがない場合にはアセンブリ・スロットが完全になくなることで、上部サブチャンバから下部サブチャンバに移動する高温電子の割合を減少させる。一方、図3Eにあるようにスロットの位置が揃っている場合には、上述のようにプラズマ種がスロットを通り抜けることができる。一例では、2つの同一のプラズマグリッドが用いられ、それぞれが約50%の開口(スロット)面積を有する。本例では、グリッドアセンブリ開口面積は、0%(個々のプラズマグリッドを完全にずらした場合)と約50%(個々のプラズマグリッドの位置を正確に揃えた場合)との間で変化し得る。別の例では、それぞれのプラズマグリッドは、約75%の開口面積を有する。この場合、グリッドアセンブリ開口面積は、約50〜75%の間で変化し得る。グリッドアセンブリ開口面積を変化させることにより、下部サブチャンバにおけるプラズマ条件を調整することができる。例えば、グリッドアセンブリ開口面積がより大きいと、グリッドアセンブリ開口面積がより小さい場合と比較して、下部ゾーン・プラズマの実効電子温度が高く、下部ゾーン・プラズマの電子密度が高く、下部ゾーン・プラズマ中のイオンに対する電子の比率が高く、下部ゾーン・プラズマ中のラジカル濃度が低い。
複数のグリッドを用いることは、それによって、単一の処理ステーションでウェハ上のプラズマ密度および他のプラズマ条件の広いプロセスウィンドウが得られることから、特に効果的である。このような効果は、多層および/または多種の露出材料を有する複雑な構造を処理する場合に、特に有用である。上述のように、処理される各層で処理条件を変更することが、しばしば必要となる。
各プラズマグリッドのスロットパターンは、他のプラズマグリッドのスロットパターンと同じであっても、または異なっていてもよい。また、スロットパターンは、ウェハの特定の領域の上方で開口領域を提供するように設計されていてもよい。例えば、スロットは、ウェハのエッジと比べてウェハの中心付近に、より多くの開口領域があるように(またはその逆に)設計することができる。さらに、スロットは、グリッドアセンブリ開口領域が、プロセスにおける異なる時点でウェハの異なる部分に集中するように設計することができる。例えば、スロットは、グリッドアセンブリ開口領域が、プロセスの開始に向けてウェハの中心付近に集中し、プロセスの終わりに向けてウェハのエッジ付近に集中するように(またはその逆に)設計することができる。このような回転によって、いくつかのパラメータの例として、ガス流、プラズマ密度、プラズマの種類(例えば、イオン‐イオンプラズマ)、および実効電子温度などを、プロセスの過程でウェハの径方向にわたって調整することが可能となる。このような調整機能は、ウェハ全面にわたって均一なエッチング結果を得るのに有用となり得るものであり、また、そうでなければ処理の際に生じるような中心からエッジへの不均一性に対処する上で、特に有用となり得るものである。このような径方向の調整効果を得るために、プラズマグリッドアセンブリで用いることができる一対の電子グリッドの一例を、図3A‐3Bに示している。これらの図では、スロット(開口領域)を灰色で示し、グリッドの実体部分を白色で示している。
引き離し可能なグリッドを用いることで、いくつかの距離を制御および調整することが可能となる。例えば、調整され得る距離には、ウェハと下側グリッドとの間の距離、上部サブチャンバの上端と上側グリッドとの間の距離、および/またはグリッド間の距離が含まれる。これらの可変距離によって、単一の固定グリッドと比較して、ウェハ上方で、より幅広い電子温度およびプラズマ密度の調整が可能となる。
一部の実現形態では、可動と固定の両方のプラズマグリッドを有するプラズマグリッドアセンブリを利用する。グリッドは、接地するか、または電気的にフローティングとすることができ、また、回転アクチュエータまたはリフタなどの駆動要素に接続された支持脚または他の機能によって支持することができる。いくつかの実施形態において、駆動要素は、ウェハおよびウェハ支持台の下方に配置されるが、ただし、他の配置を採用することもできる。支持脚は、支持されるグリッドが接地されるか、または電気的にフローティングとされるかに応じて、導電性または絶縁性とすることができる。
一般に、固定グリッドを接地することが有効である。図4に示すように、固定グリッドが可動グリッドの上方に配置される場合には、固定グリッドの接地接続は、上部チャンバの励起源からグリッドに流れるRF電流のための良好な接地経路を提供する。これは、上部チャンバが、ICP源で励起され、かつ約5cm未満の高さである場合か、またはVHF CCP源を用いて上部ゾーン・プラズマを発生させる場合に、特に有用となり得る。図5に示すように、固定グリッドが可動グリッドの下方に配置される場合には、接地接続は、下部ゾーン・プラズマのバイアス電流用の大きな接地帰路面を提供する。これは、エッチングプロセスでウェハ上に大きなバイアス電圧(例えば、約100V超)を必要とする場合に、特に望ましいことがある。
可動グリッドの最適な電気的接続は、固定グリッドと可動グリッドの相対位置に依存し得る。固定グリッドが可動グリッドの上方に配置される場合には、可動グリッドを電気的にフローティングとすると有効であり得る。一方、固定グリッドが可動グリッドの下方に配置される場合には、可動グリッドを接地するか、またはフローティングとするか、いずれかとすることができる。可動グリッドが接地される場合には、支持構造は、導電性(例えば、金属)でなければならない。可動グリッドが電気的にフローティングである場合には、支持構造は、絶縁性でなければならない。
固定グリッドが可動グリッドの下方に配置される場合には、固定グリッドは、可動グリッドサポートが該サポートを駆動要素と接続するために固定グリッドを貫通して延びることを可能とするための、弧状スロット(または、そのスロットに沿って弧状の動きを可能とする他のスロット)を有することができる。上述のように、この実現形態を図5に示している。あるいは、可動グリッドは、反応チャンバの周囲から内側に延出する可動支持構造によって支持することができ、または反応チャンバの上端に接続する構造によって支持することができる。どのような実現形態を用いる場合でも、支持構造は、所望の上部ゾーン・プラズマおよび下部ゾーン・プラズマの形成を妨げることがないように設計されなければならない。また、グリッドを動かすためのアクチュエータは、アクチュエータからウェハへの粒子付着のリスクを最小限とするように、ウェハ平面から十分下方に保持されることが望ましい。
グリッドアセンブリは、DC源またはRF源を用いてバイアスすることができる。グリッドアセンブリが複数の導電性グリッドを有する場合は、それらをまとめて同電位にバイアスすることが望ましい。あるいは、グリッドアセンブリを、1つのみの導電性グリッドと1つ以上のフローティング/絶縁性グリッドで構成することができ、その場合、導電性グリッドのみがバイアスされる。
複数の構成要素からなるグリッドアセンブリは、“INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION(半導体製造用の内部プラズマグリッド)”という名称で2013年6月12日に出願された米国特許出願第13/916,318号でさらに論じられて説明されており、その文献の全体は参照により本明細書に組み込まれる。
[プラズマ特性]
グリッドは、チャンバのプラズマを、2つのゾーン、すなわちプラズマ生成用のコイルに近接した上部ゾーンと、基板ホルダに近接した下部ゾーンとに、効果的に分割する。いくつかの実施形態において、上部ゾーンのプラズマは、比較的「高温」の高エネルギー電子を含んでいる。このプラズマは、しばしば電子‐イオンプラズマと特徴付けられる。いくつかの実施形態において、下部ゾーンのプラズマは、比較的「低温」の低エネルギー電子を含んでいる。この下部ゾーン・プラズマは、しばしばイオン‐イオンプラズマと特徴付けられる。
プラズマは、主として、または専ら、上部サブチャンバにおいて生成することができる。一実施形態では、上部サブチャンバの上方に位置するコイルに電流を流すことによって、上部サブチャンバにおいて誘導結合プラズマが生成される。シングルコイルまたは多重コイルを採用することができる。他の実施形態では、例えば、VHF CCP源を用いて、容量結合プラズマが生成される。グリッドがあることによって、上部サブチャンバのプラズマは、下位サブチャンバのプラズマとは明確に異なる特性を有する。
多くの実施形態において、上部ゾーン・プラズマは、通常の電子‐イオンプラズマである。この種のプラズマでは、正に帯電した種のほとんどは正イオンであり、負に帯電した種のほとんどは電子である。負イオンは存在するものの、それらは比較的低濃度にすぎない。これに対し、下部サブチャンバのプラズマは、イオンリッチ・プラズマであり、多くの場合、イオン‐イオンプラズマである。イオン‐イオンプラズマは、電子‐イオンプラズマと比較して、負イオンである負に帯電した種の割合がより大きく、電子である負に帯電した種の割合がより低い。いくつかの実現形態において、イオン‐イオンプラズマ中の電子濃度に対する正イオン濃度の比率(電子に対する正イオンの比ni/neとも呼ばれる)は、約2以上であり、いくつかの例では、約5以上であるか、さらには約10以上である。一部の例では、電子に対する正イオンの比は、下部プラズマでは、上部プラズマよりも少なくとも約2倍大きい(例えば、少なくとも5倍大きい)。
2つのプラズマ間の関連差異は、上部ゾーン・プラズマのほうが、著しく高い電子密度を有することである。例えば、下部ゾーン・プラズマの電子密度は、約5×109cm-3以下(例えば、約1×109cm-3以下)とすることができる。このような範囲は、特に電子負性処理ガスに適用可能である。上部ゾーン・プラズマは、下部ゾーン・プラズマのそれよりも少なくとも約10倍大きい(例えば、少なくとも約100倍大きい、または少なくとも約1000倍大きい)電子密度を有することができる。いくつかの例において、下部サブチャンバは、イオン‐イオンプラズマを有し、その場合の電子密度は、負イオン密度および正イオン密度よりも、少なくとも一桁小さい。具体的な一例では、Neは〜108cm-3、Ni+は〜109cm-3、Ni−は〜109cm-3である。
上部ゾーン・プラズマと下部ゾーン・プラズマとの間の追加的な差異は、電子:イオン比に間接的に多少基づくものであるが、通常、下部ゾーン・プラズマのほうが、正イオンに対する負イオンの比率がより高いということである。上部ゾーンの電子‐イオンプラズマは、通常、主として正イオンと電子を含み、負イオンは比較的少ないので、負イオン:正イオン比は低くなる。下部ゾーン・プラズマにおける負イオン:正イオン比は、約0.5〜1の間(例えば、約0.8〜0.95の間)とすることができる。
下部ゾーン・プラズマ中の比較的低い電子濃度についての、限定的ではない1つの説明は、最初に下部ゾーンにある電子(例えば、上部ゾーンから下部ゾーンにグリッドを通り抜けた電子)は、一般に、RF電場により加熱されず、ガス分子との非弾性衝突によって急速にエネルギーを損失し、その結果、低実効電子温度となるということである。これらの低エネルギー電子は、中性種と相互作用して負イオンを生成する可能性が、(上部ゾーン・プラズマ中の高エネルギー電子と比較して)より高い。電子は、中性粒子に付着して負イオンを生成するためには、比較的低エネルギーでなければならない。このような負イオンの生成は、高エネルギー電子では発生せず、それらは、中性種と衝突したときに、結合して負イオンを生成するのではなく、他の電子を「蹴り出す」ことがある。
指摘したように、実効電子温度は、下部ゾーン・プラズマに比べて、上部ゾーン・プラズマで高い。電子は、グリッドのスロットを通過する際に冷却され得る。典型的には、下部ゾーン・プラズマの実効電子温度は、約1eV以下である。いくつかの例において、下部ゾーン・プラズマの実効電子温度は、約0.1〜1eVの間(例えば、約0.2〜0.9eVの間)とすることができる。実効電子温度は、電子ボルトで測定した場合、下部ゾーン・プラズマにおけるよりも、上部ゾーン・プラズマにおいて、少なくとも約2倍高く(例えば、少なくとも約3倍高く)なり得る。具体的な実現形態では、上部ゾーン・プラズマは、約2.5eVの実効電子温度を有し、下部ゾーン・プラズマは、約0.8eVの実効電子温度を有する。いくつかの実施形態において、このような実効電子温度の違いは、全面的または部分的に、グリッドがあることに起因して生じる。
グリッドの役割は、特定の理論またはメカニズムに制限されることなく、以下のように説明することができる。グリッドは、下部サブチャンバを部分的に遮蔽して、その中の荷電種がプラズマコイルからの電力を直接受けることがないようにすることができる。さらに、グリッドのスロットの特定のアスペクト比によって、高エネルギー電子の一部がスロットを通過するときにグリッドと衝突するようにする。これによって、2つのプラズマゾーンで、2つの質的に異なるプラズマを発生させる。
上部ゾーン・プラズマと下部ゾーン・プラズマのもう1つの区別される特徴は、それらのプラズマ電位である。一般に、下部チャンバにおけるよりも、上部チャンバにおけるプラズマ電位は高い。例えば、上部プラズマにおけるプラズマ電位は、約8〜35Vの間(例えば、約10〜20Vの間)とすることができ、下部プラズマにおけるプラズマ電位は、約0.4〜10Vの間(例えば、約0.5〜3Vの間)とすることができる。これは、電子エネルギーが低下しているため、プラズマは電子を失うことを防ぐことに積極的である必要がないからである。
また、2つのプラズマは、通常、異なるエネルギー分布関数(例えば、イオンエネルギー分布関数および電子エネルギー分布関数)を有する。電子エネルギー分布関数とイオンエネルギー分布関数は、どちらも、下部プラズマでは幅がより狭く、上部プラズマでは幅がより広い。グリッドを用いることにより、波形発生器による高度な制御を用いることなく、極めて狭いイオンエネルギー分布関数を得ることができる。例えば、下部プラズマのイオンエネルギー分布関数は、わずか約5Vの半値全幅を有し得る。その結果、負イオンから負電流を引き出すことが可能であり、これが基板表面に達して(電子がこの目的を果たす代わりに)電気的中性を維持する。こうして、独特なエッチング機構が得られる。
下部ゾーン・プラズマ中のラジカル濃度は、約1%の全中性密度〜約70%の全中性密度の範囲、または約10%〜約70%の全中性密度の範囲、または約10%〜約50%の全中性密度の範囲とすることができる。
エッチング工程中のチャンバ圧力は、約1〜2000mTorrの間(例えば、約2〜200mTorrの間)など、約2000mTorr未満とすることができる。具体的な一例では、チャンバ圧力は約20mTorr以下に維持される。このような圧力は、約0.5eV以下の実効電子温度および/または約5×108cm-3以下の電子密度を有する下部ゾーン・プラズマで用いる場合に、特に有用である。また、これらの圧力は、下部ゾーンのイオン‐イオンプラズマで用いる場合に特に有用である。
上述したように、特定の実施形態では、プラズマは、変圧器結合プラズマである。プラズマを発生させるために、1つまたは複数のTCPコイルが使用されることがある。一部の例では、プラズマは、内側TCPコイルおよび外側TCPコイルによって発生され、これらのコイルはそれぞれ、反応チャンバの誘電体窓を通るRFパワーを分散させる。内側TCPコイルと外側TCPコイルは、実質的に同一平面にあることがあり、外側コイルが内側コイルを取り囲む。内側コイルと外側コイルはそれぞれ、プラズマの一部を維持するための電流を伝導するために使用されることがある。内側コイルと外側コイルそれぞれを通る電流のアンペア数を調節するために、並列回路が使用されることがある。すなわち、内側コイルと外側コイルに送給される電力を調整することができる。並列回路は、電源とコイルの間に電気的に結合されることがあり、互いに並列に電気的に接続されたインダクタと可変コンデンサを含むことがある。外側コイルに送給される電力に対する内側コイルに送給される電力の比は、変圧器結合容量性同調(TCCT)と呼ばれる。例えば、0.75のTCCTは、内側コイルに送給される電力が外側コイルに送給される電力の値の約75%のみであることを意味する。特定の実施形態では、TCCTは、約0.25〜1.5の間、例えば約0.25〜0.75の間または約0.5〜1.5の間でよく、しかし、これらの例は限定するものではない。変圧器結合容量性同調は、“ADJUSTING CURRENT RATIOS IN INDUCTIVELY COUPLED PLASMA PROCESSING SYSTEMS(誘導結合プラズマ処理システムにおける電力の比の調整)”という名称で2010年3月19日に出願された米国特許出願第12/728,112号でさらに論じられて説明されており、その文献の全体は参照により本明細書に組み込まれる。
イオン‐イオンプラズマは、半導体処理において、いくつかの効果が得られると考えられる。例えば、イオン‐イオンプラズマでエッチングされた半製品の半導体デバイスは、被エッチング基板の面にわたり、極めて良好な選択性、プロファイル角、I/Dローディング、および全面均一性を示す。従来の技術では、これらの効果をすべて得ることはできなかった(すなわち、プロセス設計者は、例えば、良好な全面エッチング均一性を達成することと、他の効果を得ることとの間で、選択しなければならなかった)。従って、本明細書に記載の実施形態は、エッチング方法における大きな進歩を示すものである。
図6A〜6Cは、エッチング副生成物の分解が、エッチングされているフィーチャに及ぼす影響を示している。最初に、図6Aは、3層がその上に堆積された基板を示している。最下層はゲート酸化物を表し、中間層はポリシリコンを表し、(3つの個々のブロックとして示す)最上層はハードマスクを表す。従来のエッチングプロセスでは、チャンバ内にあるプラズマが、図6Bに示すように、エッチング副生成物を一部解離するように作用すると考えられる。これらの副生成物は、多くの場合、揮発性成分(例えば、SiBr)であり、条件が整えば、基板から掃去される。ところが、電子‐イオンプラズマに特徴的である高電子密度のプラズマが、ウェハに接触すると、プラズマ中の高エネルギー電子が、揮発性副生成物と反応することで、それらを物理化学的に「粘着性」の解離生成物(例えば、SiBr2)に解離させる可能性がある。これらの解離生成物は、図6Bに示すように、基板に付着し、多くの場合、エッチングされているフィーチャの側壁に付着して、図6Cに示すように、エッチングプロセスを、非垂直または他の望ましくない形で発生させる。このような解離生成物の付着/再付着は、結果的に非垂直エッチングとなるローカルローディング効果につながる。
これらの望ましくない効果は、被エッチング基板に近接したプラズマの実効電子温度を抑えるためのグリッドを用いることによって、軽減される。イオン‐イオンプラズマの生成は、それに応じて電子密度および実効電子温度が低下することで、これらの望ましくない効果を著しく軽減させる。一般に、イオンは電子よりもかなり低いエネルギーを有するので、本発明の実施形態のイオン‐イオンプラズマ中のイオンは、このような副生成物の解離を引き起こすことはない。本発明の実施形態では、電子‐イオンプラズマを生成し得るものの、この高電子密度/高実効電子温度プラズマを上部サブチャンバに留めることができる。このため、エッチング副生成物は、下部ゾーン・プラズマのみに接触する傾向にあり、高実効電子温度の上部ゾーン・プラズマとは接触しない。また、イオン‐イオンプラズマ中には多少の電子は存在するものの、それらの電子は一般に、低Tを有し、従って、通常、副生成物の解離を引き起こすのに十分なエネルギーを持たない。このように、エッチング副生成物は、「粘着性」の問題を引き起こす化合物に解離されることはない。
[ウェハバイアス]
いくつかの実現形態において、ウェハは処理中にバイアスされる。これは、ウェハを保持/支持するのに用いられる静電チャックにバイアスを印加することにより実現される。ウェハは、下部サブチャンバにおいて(イオン‐イオンプラズマなどの)低Tの低電子密度プラズマに暴露されるので、そのイオン‐イオンプラズマに特有の効果が享受/促進されるように、チャックにバイアスを印加することができる。さらに、下部サブチャンバにおいて電子‐イオンプラズマの形成が回避されるように、バイアスを印加することができる。例えば、バイアスは、イオン‐イオンプラズマから電子‐イオンプラズマへの変換を防ぐのに適した周波数および電力とすることができる。
いくつかの実施形態において、基板へのバイアス電力の印加により発生する電子加熱量を抑えるように、RFバイアスは、30MHz未満の周波数、好ましくは約100kHz〜約13.56MHzの間の周波数とすることができる。一部の実施形態では、(周波数にかかわらず)バイアスは、約1%〜99%の間のデューティサイクルで、約1Hz〜約10kHzの範囲でパルス化される。
上述のように、通常の電子‐イオンプラズマでは、プラズマ電位は、かなり高い正電位である。このようなプラズマ電位によって、プラズマから逃げ出す電子の能力は効果的に制限される。一方、下部ゾーン・プラズマは、一般に、通常とは異なる低い電子密度および温度を有し、よって、その電子を効果的に留めるのに必要なプラズマ電位ははるかに低い。この低プラズマ電位によって、動作ウィンドウの制限が緩和され、任意選択的に、バイアス波形の正サイクル期間に、イオン‐イオンプラズマ中に負イオンを存在させることで、ウェハに向けた衝突を加速させることが可能となる。このようなエッチングレジームは、従来の連続波プラズマでは得ることができなかったものである。
静電チャックに印加されるバイアスの周波数は、イオン‐イオンプラズマ中のイオン(限定されないが、特に負イオン)の形成および引力を最適化するように設計することができる。この観点から、静電チャックに印加されるバイアスの周波数は、約0.1〜15MHzの間(例えば、約400kHz〜13.56MHzの間)である。具体的な一例では、バイアスは、約8MHzである。このような周波数は、イオン移動周波数に相当するので、特に有用となり得る。他の周波数を用いてもよいが、効果が低くなる場合がある。例えば、約100kHz〜1MHzの間の周波数は、ある程度は機能し得るが、より高い上記周波数よりも効果は低くなる場合がある。
注目すべきことは、グリッドを使用し、かつ静電チャック/ウェハに適切な周波数のACバイアスを印加する場合には、プラズマから負イオンと正イオンを交互に引き出してウェハ面に向けて加速させるように、ウェハ上方のプラズマシースを機能させることができるということである。すなわち、プラズマシースによって、正サイクルで負イオンを、そして負サイクルで正イオンを引き付けて、これらのサイクルをACバイアスによって繰り返す。上述のように、このような(ウェハへの)負イオンの引き付けは、本発明の実施形態の実現より以前には、プラズマ電位が高すぎるために、これによってACバイアス・サイクルの当該半分の引き付け効果を打ち消すことで、不可能であったものである。
前述のように、バイアスは、パルスで印加することができる。しかしながら、パルス化の必要がない多くのケースある。本発明の実施形態では、エッチングの全過程において、ウェハ上方に安定したイオン‐イオンプラズマが得られる。従って、本明細書に記載の効果を得るためには、チャック/ウェハへのバイアスをパルス化する必要はない。ところが、いくつかの実施形態では、それでもバイアスがパルスで印加される場合があり、それはエッチング速度または基板のイオン衝撃量を抑えるためであって、これにより下層に対するエッチング選択性を向上させる。イオン‐イオンプラズマにおけるバイアスのパルス化は、イオンとラジカルを交互に用いて選択性を向上させることにより、特に有用とすることができる。すなわち、パルス化によって、基板表面へのイオンとラジカルの流れを分けることができる(パルスオン:ラジカル+イオン‐パルスオフ:ラジカルのみ)。
[プロセス/応用]
本明細書で開示する装置およびプラズマ条件は、シリコン(多結晶、アモルファス、単結晶、および/または微結晶シリコンを含む)、金属(TiN、W、TaNなどを含むが、これらに限定されない)、酸化物および窒化物(SiO、SiOC、SiN、SiONなどを含むが、これらに限定されない)、有機物(フォトレジスト、アモルファスカーボンなどを含むが、これらに限定されない)など、様々な材料のいずれかをエッチングするために用いることができ、さらに他の様々な材料として、限定するものではないが、W、Pt、Ir、PtMn、PdCo、Co、CoFeB、CoFe、NiFe、W、Ag、Cu、Mo、TaSn、Ge2Sb2Te2、InSbTe Ag‐Ge‐S、Cu‐Te‐S、IrMn、Ruが含まれる。本コンセプトは、NiOx、SrTiOx、ペロブスカイト(CaTiO3)、PrCaMnO3、PZT(PbZr1xTix3)、(SrBiTa)O3などの材料に拡張することができる。本装置は、現今の製造設備で使用可能な任意のガスの組み合わせ(HBr、CO、NH3、CH3OHなどを含む)で用いることが可能である。
本明細書で開示する装置およびプラズマ条件は、任意のテクノロジノードのデバイスまたは他の構造においてフィーチャをエッチングするために採用することができる。一部の実施形態では、エッチングは、20‐10nmノードまたはそれ以降のものの製造において用いられる。エッチングは、製造手順のフロントエンドと製造手順のバックエンドの両方で行うことができる。エッチングによって、優れた垂直プロファイル、材料選択性、I/Dローディング、および/または約2%よりも良好なウェハの中心からエッジへの均一性を提供することができる。好適なエッチング用途のいくつかの例には、シャロートレンチ・アイソレーション、ゲートエッチング、スペーサエッチング、ソース/ドレインリセスエッチング、酸化物リセス、およびハードマスク開口エッチングが含まれる。
FinFETのためのソースドレインリセスエッチング
FinFETの形成における1つのステップは、ソースドレインリセスをエッチングすることである。様々な用途に関して、エッチングしながら、ソーストレインリセス内に凹角形状を作成することが望ましい。この凹角プロファイルは、ソースドレインリセスエッチングによって作成された凹んだ空間内でのSiGeまたはSiCのエピタキシャル堆積後に、FinFETのチャネル領域内の望ましい応力レベルを促す助けとなることがある。エッチング形状は、より広いエッチング部分の上により狭いエッチング部分がある場合に、凹角とみなされる。ソースドレインリセス形成は、プラズマエッチングプロセスによって達成されることがある。従来のプラズマエッチング条件で処理することによって、垂直エッチングプロファイルおよびテーパ状エッチングプロファイルを作成することが可能となっている。また、従来の方法は、円形の等方性リセスを形成することもできる。しかし、ソースドレインリセスに関してより複雑な形状が望まれる場合には、従来のプラズマエッチング技法は良い結果が出ていない。
イオン−イオンプラズマ条件下でエッチングすることにより、様々な新たな凹形状を実現することができる。図7Aは、ソースドレインリセスエッチングプロセスが行われる前の、基板フィン構造701上の、スペーサ堆積後の半製造された半導体デバイスゲート構造700を示す。デバイス700は、フィンの形状を定める助けとなる特徴を含む。例えば、マスク層705が、ポリ層703の上方にあることがある。スペーサ層707が、マスク705およびポリまたはSiゲート構造703を取り囲むことがある。スペーサ層707は、ソースドレインリセスがエッチングされるときに、下にある層703、705、および構造701の一部分を保護することがある。図7Bは、従来のプラズマ処理で実現可能なリセス形状の例を示し、図7Cは、イオン−イオンプラズマ処理を使用して形成されることがあるさらなるリセス形状の例を示す。エッチングされた形状は、ソースドレインリセス領域を成し、この領域は、後で、例えばエピタキシャルSiGeで充填される。開示される技法を使用して形成されることがあるリセス形状のさらなる例が、図19および図20に提供されており、これらは、実施例の項で説明する。
ソースドレインリセス領域を形成するための一方法は、(1)垂直エッチング、(2)横方向エッチング、および(3)酸化ステップを含むマルチステッププロセスを行うものである。このプロセスは、図8に示されている。これらのプロセスの1つ、2つ、またはすべてが、イオン−イオンプラズマ条件下で行われることがある。エッチングと酸化の繰り返しが2回だけ図示されているが、任意の回数の繰り返しが使用されることがある。このマルチステッププロセスは、凹形状が比較的ゆっくりと基板701にエッチングされることを可能にし、この基板701は、しばしば単結晶シリコンである。垂直エッチングステップおよび横方向エッチングステップは、それぞれ垂直方向および水平方向で材料を除去するために行われる。酸化ステップは、酸化物層810を形成するために行われ、酸化物層810は、エッチングされたリセスの側壁をさらなる横方向エッチングから保護する。従来のプラズマが使用される場合、このマルチステッププロセスは、凹形フィーチャの側壁に、認識できるスキャロップ形状を形成し、これらの形状は、異なる酸化エッチングステップおよび横方向エッチングステップに対応する。図8は、イオン−イオンプラズマが使用される場合に実現される形状を示し、従来のプラズマ処理で生じるスキャロップを示してはいない。
スキャロップの形成を促す1つの要因は、酸化ステップ中に使用される従来の比較的活発なプラズマが厚い/硬い酸化物を形成することであり、この酸化物は、後続のエッチングで再成形するのが非常に難しい。酸化物形成の速度は、基板に対する酸素ラジカルの流束に比例する。従来のプラズマは、より高い電子密度および電子温度に相関するかなり高いラジカル密度により、厚い/硬い酸化物を生じる。それに対し、イオン−イオンプラズマでは、電子温度、電子密度、およびラジカル密度はすべてかなり低い。したがって、酸化ステップ中のイオン−イオンプラズマレジームでの処理は、より薄く、より加工しやすい酸化物を形成する。このより薄い酸化物は、従来のプラズマを使用して形成される厚い酸化物に比べて、後続のエッチングステップでの再成形が容易である。有利には、イオン−イオンプラズマ条件下で形成される酸化物は、依然として、凹形フィーチャをオーバーエッチングから適切に保護するのに十分に厚く、高品質である。さらに、イオン−イオンプラズマレジームは、穏やかなプラズマ条件が、例えば表面への低いイオン流束により、比較的低い垂直エッチングレートおよび横方向エッチングレートをもたらすので、エッチングプロセス中に有益である。
イオン−イオンプラズマ条件下で垂直エッチングを行うために、上部サブチャンバ内で、Cl2(またはHBr、CF4、SF6、CHF3、それらの何らかの組み合わせ、またはシリコンをエッチングするガスの任意の他の組み合わせ)などのエッチャントから、プラズマが発生する。グリッドの下で、イオン−イオンプラズマが生じ、基板(例えば単結晶シリコン)を垂直方向にエッチングする。エッチャントの流量は、約1〜1000sccmの間でよい。サブチャンバ圧力は、約10〜200mTの間でよい。チャンバ温度は、約40〜60℃の間でよい。基板温度は、約0〜120℃の間でよい。プラズマを発生させるために使用される電力は、約100〜1100ワット/ステーションの間でよい。プラズマを発生させるために使用されるRF周波数は、13.56MHzである。基板は、約0〜850Vの間でバイアスされることがある。1回の垂直エッチングプロセスは、約10〜120秒の間の継続時間を有することがある。垂直エッチングレートは、約10〜120nm/minの間でよい。
イオン−イオンプラズマ条件下で横方向エッチングステップを行うために、上部サブチャンバ内で、NF3とCl2の混合物などのエッチャントからプラズマが発生する。一部の場合には、SF6が使用されることもある。グリッドの下で、イオン−イオンプラズマが生じ、ここでは主に横方向に基板をエッチングする。エッチャントの総流量は、約50〜500sccmの間でよい。NFとCl2の混合物が使用される場合、NF3の流量は、約5〜50sccmの間でよく、Clの流量は、約20〜300sccmの間でよい。チャンバ圧力は、約5〜100mTの間でよい。チャンバ温度は、約40〜60℃の間でよい。基板温度は、−5℃〜120℃の間でよい。プラズマを発生させるために使用される電力は、約200〜1000ワット/ステーション(TCP)の間でよい。プラズマを発生させるために使用されるRF周波数は、13.56MHzである。一部の実施形態では、基板は、バイアスされないことがある。1回の横方向エッチングプロセスは、約5〜120秒の間の継続時間を有することがある。
イオン−イオンプラズマレジームの下で酸化ステップを行うために、上部サブチャンバ内で、酸素ベースのプラズマ発生ガスからプラズマが発生する。一部の場合には、プラズマ発生ガスは、O2である。他の場合には、ソースガスは、追加または代替として、N2など他の成分を含むことがある。グリッドの下で、イオン−イオン酸素ベースプラズマが生じて、基板と相互作用して、凹形領域内に酸化層を形成する。この酸化層は、後続のエッチング操作でのオーバーエッチングを防止する助けとなる。プラズマ発生ガスの流量は、約10〜800sccmの間でよい。チャンバ圧力は、約10〜100mTの間でよい。チャンバ温度は、約40〜60℃の間でよい。基板温度は、約0〜120℃の間でよい。プラズマを発生させるために使用される電力は、約0〜1500ワット/ステーションの間、例えば約100〜1500W/ステーションの間でよい。プラズマを発生させるために使用されるRF周波数は、13.56MHzである。基板は、約0〜300Vの間、例えば約50〜300Vの間でバイアスされることがある。1回の酸化プロセスは、約5〜60秒の間の継続時間を有することがある。
FinFETゲートエッチング
イオン−イオンプラズマレジームの下での処理から利益を得ることができる用途の次の例は、FinFETのためのゲート構造をエッチングすることである。様々な用途において、このプロセスは、最終的なゲート構造の外形を形成するために、上に重なったマスク(例えば、SiNまたは酸化物材料)を有するポリシリコンをエッチングすることを含むことがある。したがって、FinFETゲートエッチング後に残るポリシリコンは、最終的なゲートが成形/位置決めされる場所に成形/位置決めされる。ポリシリコンは、ポリシリコンのダミー層でよく、これは、最終的なゲートが位置決めされる領域形状を画定する助けとなるように使用される一時的な材料であることを意味する。後の処理ステップで、ポリシリコンは除去され、所望の最終的なゲート材料で置き換えられる。FinFETゲートエッチングプロセスを表す別の方法は、ポリシリコン層がエッチングされ、それにより、完成された集積回路においてFinFETゲートの近傍となる位置にキャビティを形成することによって、FinFETゲート領域を画定するというものである。上記の位置は、(ポリシリコン層全体、またはエッチングされたキャビティ間の位置ではなく)エッチングされた実際のキャビティである。
FinFETポリシリコンゲートエッチングは、典型的には、特に20〜10nmテクノロジノードに関して、難しい性能目標を含む。そのような性能目標の例は、(1)約3よりも大きいアスペクト比のための垂直ポリシリコンエッチングプロファイル;(2)約0nm以下のフィンのシリコンリセス;(3)エッチング後に残る少なくとも50nmのマスク(例えば、SiNマスク);(4)約0nmの疎/密ローディング;(5)基板にわたる約0nmの中心からエッジへの不均一性を含む。第2の性能目標に関して、下方向に垂直にエッチングしてゲートプロファイルを画定するとき、フィンと呼ばれるフィーチャが現れる。フィンは、ゲートに垂直に延びる。フィンの高さは、約30nmであり、ゲートエッチングの最後の30nmに現れる。フィンは、シリコンから形成されるが、約3〜5nmの厚さを有する薄い酸化物層を上に有する。ゲートエッチングプロセスは、高い選択性を有さなければならず、そうでないと、フィンをエッチングし始める。これは、フィンリセスと呼ばれる。ゲートエッチングプロセスは、典型的には、ゲートを垂直にするために、ゲートの底部テーパ内にオーバーエッチングを引き込む必要がある。FinFETポリシリコンゲートエッチング中にフィンがエッチングされないことが重要である。
従来のプラズマ処理では、これらの目標を同時に実現することは非常に難しかった。従来、FinFETポリシリコンゲートエッチングのために、3ステップエッチングプロセスが使用されている。これらのステップは、(1)低圧メインエッチング、(2)高圧ソフトランディングエッチング、および(3)高圧オーバーエッチングを含んでいた。これらのプロセスは、ゲートプロファイル、選択性、疎/密ローディング、および中心からエッジへの不均一性の間の妥協点をもたらす。
しかし、イオン−イオンプラズマが使用される場合、FinFETポリシリコンゲートエッチングは、1回のエッチングで達成することができ、プロセス目標間の妥協は実質的に少ない。本明細書で述べるグリッドは、イオン−イオンプラズマが下部サブチャンバで生成されるようにプラズマ処理環境を効果的に変える。この処理環境は、従来のプラズマで処理するときに得られるのとは異なるプラズマ−ウェハ表面相互作用をもたらす。具体的には、ラジカルの多いイオン−イオンプラズマ環境は、利用可能なプロセス窓を、より低い圧力レジーム(例えば5〜20mTorr)に開き、このような低い圧力レジームは、従来は、ポリシリコンと酸化物との間の不十分な選択性、および大きな疎/密ローディングによって制限されていた。従来、所望のマスク選択性およびエッチングプロファイルを実現するためには高圧エッチングレジーム(例えば、>80mTorr)が必要であったが、これはまた、中心からエッジへの比較的高い不均一性をもたらした。対照的に、イオン−イオンプラズマレジームでの処理によって、上に列挙した目標の全てを、1ステップエッチングによって実現することができる。具体的には、イオン−イオンプラズマレジームは、無限のポリシリコン:酸化物選択性を有し、(ポリシリコンをエッチングするが、酸化物は全くエッチングしない)、垂直エッチングゲートプロファイルを有し、中心からエッジへの不均一性がなく、または実質的になく、かつ疎/密ローディングがない、または実質的にないエッチングプロセスをもたらす。
図9は、FinFETポリシリコンゲートエッチングを受けたときの、半製造された半導体デバイス901を示している。デバイス901は、シリコン基板902上にフィン910を含み、これらはどちらも、薄い保護酸化物層904によってカバーされる。保護酸化物904は、例えばSiO2でよい。保護酸化物904の上に、ポリシリコン906の層がある。このポリシリコン層906は、FinFETポリシリコンゲートエッチング中にエッチングされる層である。ポリシリコン層906の上に、パターン形成マスクの層908があり、この層908は、様々な場合に、窒化ケイ素、酸化物、または窒化ケイ素の層を有する酸化物でよい。エッチングプロセス中、上部サブチャンバ内でプラズマが発生し、下部サブチャンバ内でイオン−イオンプラズマが生じる。イオン−イオンプラズマは、デバイス901と相互作用して、フォトレジストマスク908によって保護されていないポリシリコン層906のいくつかの部分を垂直にエッチングする。
図10は、従来のFinFETポリシリコンゲートエッチング後の、半製造された半導体デバイス1001の断面図(上図)および上面図(下図)を示している。図10は、デバイスのオンフィン領域とオフフィン領域の間の不均一なプロファイルローディングの問題を示している。下図での点線は、上図で用いられる断面を表す。デバイス1001は、シリコン基板1002の上にフィン1010を含む。フィン1010とシリコン基板1002は、保護酸化物層1004によってカバーされる。図10の上図に示されるフィン1010は、図面の紙面よりも奥にある。フィンの前に、紙面の平面内に、上に重なったマスク層1008を有するポリシリコン1006から形成されたエッチングされたゲート構造がある。保護酸化物層1004は、ポリシリコンゲート構造1006の下では紙面の平面内に示され、フィン構造1010の上では紙面の平面よりも奥に示されている。上述したように、マスク層1008は、様々な場合に窒化ケイ素でよい。フィン1010の位置は、オンフィン領域1012と、オフフィン領域1014とを画定する。
FinFETポリシリコンゲートエッチングを行うときに生じる1つの問題は、不揮発性の副生成物(例えば、SiBrxおよびSiOxBry)の生成であり、これらの不揮発性の副生成物は、エッチングされた領域の側壁に付着し、それにより、側壁を幾分不動態化する。これらの副生成物は、1つには、基板の離散領域と密集領域とに異なる形で影響を及ぼすので問題となる。例えば、図10の文脈で、不均一な副生成物の生成および吸着は、オンフィン領域1012とオフフィン領域1014との大きなエッチングプロファイルの相違を生じることがある。この図に示されるように、オンフィン領域1012内のポリシリコン1006は、オーバーエッチングされることがあり、それにより、残ったポリシリコン1006は、所望の垂直エッチングプロファイルではなく、湾曲したエッチングプロファイルを有する。対照的に、オフフィン領域1014でのエッチングプロファイルは垂直である。
オンフィン領域とオフフィン領域の相違を減少させるための一方法は、エッチング中に、チャンバをパージするために非常に高いガス流量を使用することである。しかし、ウェハ全体にわたってそのようなパージを効果的に行うことができる現在利用可能なポンプは存在しない。別の方法は、副生成物の生成の速度がより低くなるようにエッチングレートを減少させ、それにより、高流量パージガスを用いて副生成物をより良くパージできるようにすることである。この方策は、スループットを減少させるので望ましくない。オンフィン領域とオフフィン領域の相違を最小限に抑える、またはなくすための別の方法は、イオン−イオンプラズマでエッチングすることである。この技法を使用することによって、エッチングによって生成される副生成物は揮発性のままとなる。なぜなら、それらの副生成物は、上部サブチャンバ内に存在する厳しいプラズマ条件から遮蔽され、したがって、下部サブチャンバ内で分解されて不揮発性の副生成物にならないからである。したがって、不揮発性の副生成物が生じず、側壁が不動態化されず、揮発性の副生成物を効果的に除去することができ、ウェハのすべての領域にわたって均一なエッチングプロファイルが得られる。
イオン−イオンプラズマレジームの下でFinFETポリシリコンゲートエッチングを行うために、上部サブチャンバ内で、例えばHBrとO2またはHBrとCO2を含有するプラズマ発生ガスからプラズマが発生する。プラズマ発生ガスは、さらに、HeSTGを含むことがある。グリッドの下で、イオン−イオンプラズマが生じ、基板と相互作用して、ポリシリコンをエッチングしてゲート構造を形成する。HBrの流量は、約100〜500sccm、例えば約100〜300sccmの間でよい。O2の流量は、約2〜12sccmの間、例えば約3〜6sccmの間でよい。HeSTGの流量は、約200〜400sccmの間でよい。チャンバ圧力は、約5〜25mTorrの間、例えば約10〜20mTorrの間でよい。基板温度は、約20〜100℃の間でよい。プラズマを発生させるために使用される電力は、約300〜1800ワット/ステーションの間、例えば約1000〜1600W/ステーションの間でよい。基板は、約100〜500Vの間、例えば約200〜400Vの間にバイアスされることがある。TCCTは、約0.25〜0.75の間、例えば約0.4〜0.6の間でよい。
ポリゲートFinFETは、提案された1ステップ化学反応を用いてエンドポイント(EP)までエッチングされ、その後の時間で、数パーセント、オーバーエッチングされる。エッチング性能をさらに改良するために、以下のパラメータを調整することができる。圧力(5〜20mT)、総流量(1x〜3x)、O2流量、静電チャック温度(20℃〜100℃)、TCPパワー(300W〜1800W)、バイアス電圧、バイアス電圧パルスデューティサイクル(100〜200Hz、25〜50%)、CO2流量。
代替のプロセス条件は、低いTCPおよびバイアスパルシングを利用することがある。バイアスは、約100〜500Hzの間、例えば約150〜300Hzの間の周波数でパルスされることがある。
別の代替条件は、低いTCP、低いバイアス、およびCO2を利用する。CO2の流量は、約2〜12sccmの間、例えば約5〜10sccmの間でよい。
様々な実施形態で、グリッドは、チャンバ壁に接地されることがある。他の実装形態では、本明細書の別の場所に記載するように、グリッドはバイアスされることがある。
実験結果は、従来のエッチングで生じるオンフィンとオフフィンとのプロファイルの相違など望ましくない結果を生み出すことなく、FinFETゲートをエッチングするためにイオン−イオンプラズマを使用することができることを示している。非常に小さなCD空間(例えば、<2nm)でさえ、エッチング後にシリコン残渣は見られない。さらに、ゲートは、最小限のマスク損失でエッチングすることができ、例えば、約70nmよりも多くのマスクが残り、約5nm以下のマスク高さが失われる(約10%未満の損失)。また、これらの結果は、オンフィン領域とオフフィン領域との間の最小のローディングを示し、どちらの場合にも垂直エッチングプロファイルとなる。
ダミーポリシリコン除去
図11A〜図11Gは、製造の様々な段階での、半製造された半導体デバイスを示す。上の例で説明したFinFETポリシリコンゲートエッチング後、デバイス1101は、図11Aに示されるようになっており、フィン1110がシリコン基板1102の上に位置決めされている。フィン1110と基板1102はどちらも、保護酸化物層1104によってカバーされることがあり、保護酸化物層1104は、SiO2などの材料から形成されることがある。次いで、図11Bに示されるように、プラズマエッチングによってマスク層1108が除去されることがある。次に、図11Cに示されるように、前のポリシリコンゲートエッチング中にエッチングされた領域内に誘電体材料1112が堆積される。次いで、最終的なゲートが形成されるキャビティを形成するために、ダミーポリシリコン材料1106が除去されることがある。図11Dは、ダミーポリシリコン材料1106の除去後のデバイス1101を示す。この除去プロセスは、ダミーポリシリコン除去と呼ばれることがあり、この例の焦点である。ダミーポリシリコンが除去された後、ウェットエッチングを行って、図11Eに示されるように、下にある保護酸化物層1104(例えば、二酸化ケイ素層)を除去することができる。ウェットエッチング後、新たな保護酸化物層1114(例えば、酸化ハフニウム層1114)が堆積されることがある。次いで、図11Gに示されるように、ダミーポリシリコンが除去されたときに形成されたキャビティ内に、最終的な所望のゲート材料1116(例えば、タンタル、チタン、タングステン、およびそれらの組み合わせの1つまたは複数)が堆積されることがある。ダミーポリシリコン除去を表す別の方法は、ポリシリコンがエッチングされて、完成された集積回路においてFinFETゲートが位置される位置にキャビティを形成するというものである。上記の位置は、(ポリシリコン層全体、またはエッチングされたキャビティの間の空間ではなく)エッチングされたキャビティの実際の位置である。
FinFETポリシリコンゲートエッチングに関して上述したのと同様の不揮発性副生成物の生成の問題が、ダミーポリシリコン除去プロセスでも生じる。特に、従来のプラズマプロセスは、HBr/O2化学物質を利用してダミーポリシリコンを除去する。これは、揮発性副生成物を生成させる。しかし、揮発性副生成物が従来のプラズマと接触すると、分子の多くは分解して、より小さな不揮発性の分子になり、これらの分子が、半製造されたデバイスの側壁および他の部分に付着する。これらの不揮発性の分子は、側壁を実質的に不動態化して、垂直でないエッチングプロファイルの一因となる。
代わりにイオン−イオンプラズマレジームでこのエッチングを行うことによって、不揮発性副生成物の生成が2つの様式で避けられる。第1に、イオン−イオンプラズマの低いイオン濃度および低い電子密度の特性により、異なる化学物質を使用して、フィンを覆っていることがある下にある保護酸化ケイ素材料を除去することなく、ダミーポリシリコンを除去できるようになる。様々な場合に、ダミーポリシリコン除去は、2ステッププロセスで達成されることがあり、各ステップがイオン−イオンプラズマを採用する。第1のステップは、第1のプラズマ(例えば、HBrベースのプラズマ)で基板をエッチングして、ダミーポリシリコンのバルクを除去することを含むことがある。この第1のエッチングステップ後、基板上、特にフィンの近くのフィーチャの隅に、いくらかの材料が残ることがある。しばしば、この残りの材料は、ポリシリコン残渣である。プロセスの第2のステップは、隅の残渣を除去するために、第2のプラズマ(例えば、NF3/Cl2ベースのプラズマ)で基板をエッチングすることを含むことがある。
様々な場合に、ダミーポリシリコンは、酸素含有エッチャントを含まない、または実質的に含まないプロセスガスを使用して除去されることがある。本明細書で使用するとき、「酸素含有反応物を実質的に含まない」は、微量以下の酸素含有反応物を意味する。第2のステップでCl2ベースの化学物質を使用することによって、特定の不揮発性副生成物(例えば、SiOxBry)の生成を回避することができる。なぜなら、そのような副生成物を生成するために利用可能な酸素がないからである。
さらに、イオン−イオンプラズマは、従来のHBr/O2化学物質が使用される場合でさえ、不揮発性副生成物の生成を減少または回避する。なぜなら、下部サブチャンバ内で生じる副生成物(例えば、揮発性副生成物)が、上部サブチャンバの厳しいプラズマ条件に露出されないからである。下部サブチャンバ内のイオン−イオンプラズマは、十分に穏やかであり(すなわち、低い電子温度、低い電子密度など)、それにより、揮発性副生成物は、実質的に分解されて不揮発性物質になることがなく、したがって、副生成物は、基板上に再び堆積することなく、反応チャンバから除去することができる。
図12は、従来のプラズマおよびイオン−イオンプラズマに関する電子エネルギー分布関数を示している。イオン−イオンプラズマは、従来のプラズマよりも実質的に低い電子密度(約3桁低い)、および低いエネルギーを有する。これらの穏やかな条件は、揮発性副生成物が分解して不揮発性副生成物になるのを防止する助けとなる。
ダミーポリシリコン除去の文脈で、不揮発性副生成物の再付着が特に問題である。なぜなら、そのような副生成物の存在は、上述したように、後続のウェットエッチングプロセスを大きく妨害することがあるからである。このウェットエッチングプロセスは、酸化ケイ素材料を除去するために行われ、周囲の/隣接するシリコン材料を除去すべきではない。様々な場合に、ウェットエッチングは、フッ化水素酸を用いて行われる。HFは、純粋なSiO2を除去するのには非常に良好であるが、ダミーポリシリコンの除去中に生じる不揮発性副生成物物質など、十分な量の酸素を有さないシリコンベースの物質を除去するには効果的でない。したがって、副生成物が存在する場合、それらは、ウェットエッチングによって除去されないことが多い。簡潔に言うと、デバイス性能のために必要とされる、(例えばフィン内で)周囲のシリコン材料を除去することなくシリコンベースの不揮発性副生成物を除去することができる既知の化学物質/プロセスは存在しない。したがって、まず、そのような不揮発性副生成物の生成を減少または回避することが望ましい。
上述したように、特定の実施形態では、ダミーポリシリコン除去は、2つのステップで達成される。すなわち、メインエッチングと、オーバーエッチングとである。メインエッチングは、HBr/Heプラズマを用いたエッチングを含むことがあり、オーバーエッチングは、NF3/Cl2プラズマを用いたエッチングを含むことがある。メインエッチング後に、基板上、例えばエッチングされた領域の底部の隅に、いくらかの量のポリシリコンベースの残渣が存在することがある。ポリシリコンベースの残渣をすべて除去するために、オーバーエッチングが行われることがある。イオン−イオンプラズマの低いプラズマ密度により、基板の表面へのイオン流束は低い。したがって、オーバーエッチングプロセスは、主に、化学的に駆動されるプロセスでよく、これは、非常に高い選択性を有し、したがって、フィンを損傷することなく残渣を効果的に除去するために使用することができる。
イオン−イオンプラズマレジームでのメインエッチング中、HBrは、約300〜850sccmの間、例えば約400〜600sccmの間の流量で提供されることがあり、Heは、約500〜1000sccmの間、例えば約700〜900sccmの間のHe流量で提供されることがある。上部サブチャンバ内で、HBr/Heからプラズマが発生する。イオン−イオンプラズマが、下部サブチャンバ内で生じ、基板と相互作用して、ポリシリコンを除去して、最終的なゲート構造が位置されるキャビティを形成する。チャンバ圧力は、約20〜80mTorrの間、例えば約60〜80mTorrの間でよい。チャンバ温度は、約40〜60℃の間でよい。基板温度は、約30〜80℃の間、例えば約50〜75℃の間でよい。プラズマを発生させるために使用される電力は、約200〜1500ワット/ステーションの間、例えば約1000〜1500W/ステーションの間でよい。プラズマを発生させるために使用されるRF周波数は、約13.56MHzでよい。基板は、約40〜150Vの間、例えば約80〜130Vの間にバイアスされることがある。FinFETダミーポリシリコン除去プロセスのための1回のメインエッチングは、約30〜100秒の間の継続時間を有することがある。
ダミーポリシリコン除去のオーバーエッチング部分において、上部サブチャンバ内で、NF3およびCl2などのエッチング化学物質からプラズマが発生する。また、プラズマ発生ガスの一部として、不活性ガスが反応チャンバに提供されることもある。イオン−イオンプラズマが、下部サブチャンバ内で生じ、基板と相互作用して、エッチングされた領域内に存在するポリシリコン残渣を除去する。NF3は、約0〜60sccmの間、例えば約20〜50sccmの間の流量で流れることがあり、Cl2は、約10〜100sccmの間、例えば約40〜70sccmの流量で流れることがある。Arなどの不活性ガスは、約30〜200sccmの間、例えば約40〜100sccmの間のAr流量で流れることがある。チャンバ圧力は、約4〜80mTorrの間、例えば約30〜60mTorrの間でよい。チャンバ温度は、約40〜60℃の間でよい。基板温度は、約30〜80℃の間、例えば約50〜70℃の間でよい。プラズマを発生させるために使用される電力は、約200〜1000ワット/ステーションの間、例えば約200〜400W/ステーションの間でよい。プラズマを発生させるために使用されるRF周波数は、約13.56MHzでよい。基板は、バイアスされないままであることがある。FinFETダミーポリシリコン除去プロセスに関する1回のオーバーエッチングは、約10〜60秒、例えば約30〜60秒の間の持続期間を有することがある。
フォトレジスト・リフロー
フォトレジスト・リフロープロセスは、フロントエンド(FEOL)プロセスとバックエンド(BEOL)プロセスとの両方で使用され、これらのプロセスは、幅が約30nm未満のフィーチャ寸法(例えば、ライン、空間)を要求する。従来の193nmフォトレジストを使用する現在のフォトリソグラフィパターン形成技法は、これらの寸法で良好なパターン形成を実現することができなかった。したがって、極端紫外線(EUV)フォトレジストが、従来の193nmフォトレジストの代わりとなりつつある。EUVフォトレジストは、より小さなフィーチャをより良くパターン形成するために使用することができるが、EUVフォトレジストプロセスは、依然として、193nmフォトレジストで見られる他の問題を抱えている。例えば、FEOL用途でのエッチングされたフォトレジストは、典型的には、約5〜10nmの範囲内の比較的大きな初期線幅粗さ(LWR)を示す。フォトレジスト・リフローの1つの目標は、エッチング後にこのLWRを約3.0nm未満に減少させることである。FEOL用途に伴う別の問題は、しばしば、下層に対する不十分なエッチング抵抗/選択性しか存在しないことである。この不十分なエッチング抵抗は、EUVフォトレジストが使用される場合、193nmフォトレジストで使用される厚さよりもフォトレジストの厚さが50〜70%小さいことにより、さらに悪くなる。すなわち、下にある層を保護するために利用可能なフォトレジストがあまり存在しないので、下にある層のエッチング抵抗が不十分になる。
BEOL用途は、小さなフィーチャ寸法を有することに加えて、凹/凸パターンの同時転写を要求することがある。上記のFEOL用途と同様に、エッチング後にライン/空間のLWRが約3.0nm以下に減少されることが望まれる。さらに、ウェハ上のすべてのパターンが、約10〜30%縮小されることがしばしば望まれ、かつそのような縮小がすべてのパターンに関して均一に行われることが重要である。ライン/凸/凹パターンの不均等な縮小は、xローディングと呼ばれる。従来のプラズマで処理するとき、LWRの改良とxローディングの改良との間の大きな妥協が存在する。
図13は、フォトレジスト・リフロープロセスを受けたときの半導体デバイスの一部を示している。フォトレジスト1302が、下にある材料1301の上に位置決めされる。プラズマフォトレジスト・リフロー方法は、この図に示されるように、典型的には、2ステッププラズマ前処理プロセスを含む。プロセスの開始時、フォトレジストは、比較的粗い。フォトレジストを平滑化するために、リフロープロセスの第1のステップは、(例えばH2から)プラズマを発生させて、フォトレジストをリフローすることを含む。フォトレジスト・リフロープロセスでの次のステップは、(例えばArから)プラズマを発生させて、フット領域内に流れた余剰のフォトレジストを除去することである。その結果、比較的滑らかなフォトレジストが得られ、フォトレジストフットはほとんどまたは全く残らない。
また、フォトレジストは、第1のプラズマ処理で、より滑らかになるにつれて、ゆっくりと溶融しているかのように下方向に流れ/弛み始める。これは、フォトレジストでの粗さを平滑化し、それによりLWRを改良するのに有益であるが、この流れ/弛みにより、フォトレジストがより短く/より薄くなり、図13に示されるように、レジストのフットに流れ出る。従来のプラズマによって実現されるフォトレジスト・リフローの比較的高い速度は、マスク高さを大幅に減少させ、これは、下にある層に対する不十分なエッチング選択性、下にある層への改良されたLWRの良好でない転写、および凹/凸フィーチャの良好でないパターン転写をもたらす。これらの因子はすべて、高いxローディングを促し、これは望ましくない。
代わりにイオン−イオンプラズマレジームで処理することによって、フォトレジスト・リフローの速度を遅くすることができ、LWRとxローディングとの間の妥協を最小限にすることができる。イオン−イオンプラズマ処理は、従来のプラズマで見られるのと同等のLWR改良を実現するが、より遅いリフローの速度、フォトレジスト高さのより少ない消耗(すなわちより少ない弛み)、および異なるパターン形状間のより小さいCDバイアスローディングを含む。イオン−イオンプラズマによって消耗されるフォトレジスト高さがより少ないので、残っているフォトレジストの量が多くなり、下にある層の選択性が改良される。これは、より多くのフォトレジストが残っており、下にある層を保護する助けとなるからである。イオン−イオンプラズマは、EUVフォトレジストと193nmフォトレジストとの両方、ならびに適切であれば他のタイプのフォトレジストをリフローするために使用されることがある。
イオン−イオンプラズマの低い電子密度は、フォトレジスト材料の局所加熱を防止する助けとなる。これは、フォトレジスト・リフローの速度を遅くし、フォトレジストフットが比較的穏やかに除去されるようにし、フォトレジスト損失の量を最小限にする。さらに、イオン−イオンプラズマの低い電子密度が、低い総イオン密度を可能にし、これは、表面に対する低いイオン流束に相関する。これは、イオン衝撃から生じるフォトレジスト損失の量を減少させる助けとなる。バイアスパルシングを使用して、イオン流束のさらなる制御を提供することができる。
イオン−イオンプラズマを使用するとき、(下側電極を介して)基板に印加される総バイアス電圧の範囲を高めることができる。低いイオン流束は、基板表面への総エネルギー流束を減少させる。したがって、従来は、<30Vのバイアス電圧(および合計のエネルギー流束)に制限されていた。バイアス電圧がイオンサイズにも依存することに留意されたい。イオンが大きければ大きいほど、印加することができるバイアスが小さくなる。バイアス電圧は、フォトレジストフットを切削する役割をする。しかし、バイアスが高すぎる場合、フォトレジストでの架橋を誘発することになる。架橋は、総エネルギー流束が増加するにつれて、時間と共にフォトレジストを硬化させて崩す。したがって、高イオンエネルギー流束のシステムでは、バイアス電圧を低く保たなければならない。しかし、イオン−イオンプラズマシステムでは、総イオン流束が低く、したがって、印加される総バイアス電圧を増加させることができる。バイアス電圧(Vb)が高ければ高いほど、フォトレジストフットを切削するのに効果的になり、フォトレジスト架橋効果を誘発しない。バイアス電圧は、イオン−イオンプラズマを使用するとき、200Vb程の高さになることがある。
上述したように、フォトレジスト・リフロープロセスは、2つの主な操作を含むことがある。第1の操作は、リフローステップであり、第2の操作は、フット切削ステップである。イオン−イオンプラズマレジームで第1のステップを行うために、上部サブチャンバ内で、例えばH2を含有するプラズマ発生ガスからプラズマが発生する。いくつかの他の場合には、プラズマ発生ガスは、Ar、H2/HBr、Ar/HBr、またはHBrでよい。さらに、プラズマ発生ガスは、N2および/またはHeSTGなどの不活性ガスを含むこともある。H2の流量は、約100〜500sccm、例えば約100〜300sccmの間でよい。N2の流量は、約0〜300sccmの間でよく、HeSTGの流量は、約0〜100sccmの間でよい。グリッドの下で、イオン−イオンプラズマが生じ、基板と相互作用して、フォトレジストをリフローさせる。プラズマ発生ガスの総流量は、約100〜500sccmの間でよい。チャンバ圧力は、約5〜20mTの間でよい。基板温度は、約20〜60℃の間でよい。プラズマを発生させるために使用される電力は、約300〜1000ワット/ステーションの間でよい。基板は、約0〜200Vの間、例えば約50〜200Vの間でバイアスされることがある。1回のフォトレジスト・リフロー操作は、約5〜45秒の間、例えば、約5〜30秒の間の継続時間を有することがある。TCCTは、約0.5〜1.5の間、例えば約1〜1.5の間でよい。
エッチング性能をさらに改良するために、以下のパラメータを調整することができる。圧力(5〜20mT)、総流量(100〜500sccm)、H2流量(0〜300sccm)、静電チャック温度(20℃〜60℃)、TCPパワー(300W〜1000W)、基板上でのバイアス電圧(0〜200V)、バイアス電圧パルスデューティサイクル(100〜200Hz、250=−50%)、プロセス時間(5〜30s)。
代替方法は、フォトレジスト・リフローとフット切削との両方を行うために、1ステップのH2条件を利用する。この場合、プラズマ発生ガスは、例えば、H2、N2、およびHeSTGを含むことがある。
2ステップリフロープロセスの実施形態に戻ると、フォトレジスト・リフロープロセスの第2のステップは、イオン−イオンプラズマレジームで行われることがある。上部サブチャンバ内で、例えばArを含有するプラズマ発生ガス(いくつかの場合には、このステップに関するプラズマ発生ガスは、HBrまたはHBr/Arを含む)から、プラズマが発生する。他のプロセス条件は、第1のフォトレジスト・リフロープロセスに関して上述したものと同じでよい。
一例では、基板は、最初は、パターン形成されたEUVフォトレジストを上に有する。パターン形成されたフォトレジストのLWRは、約6nmであり、フォトレジストは、約60nmの高さを有する。H2から発生するイオン−イオンプラズマで、フォトレジスト・リフロー操作が行われる。第2の操作では、Arから発生するイオン−イオンプラズマで、フォトレジストフット除去が行われる。これら2つのプラズマ処理により、LWRは、約3.7nmに減少され、フォトレジスト高さは、約40nmに減少される。
シャロートレンチ・アイソレーション・エッチング
シャロートレンチアイソレーション(STI)を使用して、隣接するトランジスタの間で電流が漏れるのを防止することができる。トレンチは、トランジスタを互いに絶縁する働きをする。STI構造を形成する際の重要なステップは、トランチのパターンを基板(例えば、シリコン)にエッチングするステップと、トレンチを充填するために誘電体材料を堆積するステップと、化学機械研磨(CMP)などの技法を使用して余剰の誘電体を除去するステップとを含む。小さなフィーチャ寸法で、STIエッチングプロセスは、アスペクト比に依存するエッチング深さおよびプロファイル結果を示す。すなわち、高いアスペクト比の構造(しばしば、密集フィーチャ領域内に存在する)は、低いアスペクト比の構造(しばしば、より離散された領域内に存在する)とは異なるエッチング結果を示す。このアスペクト比依存性は、2つのタイプのフィーチャでの異なる濃度のエッチャントおよび副生成物により生じると考えられる。
図14は、プロファイルローディングの問題を示している。図14の左図は、基板1401に関する所望のエッチングプロファイルを示している。基板1401は、トレンチがエッチングされる場所を画定するために使用されるマスク層1403を有する。高アスペクト比フィーチャ1405と低アスペクト比フィーチャ1407とは、どちらも垂直エッチングプロファイルを有するべきである。図14の右図は、従来のプラズマエッチングによって典型的に実現されるエッチングプロファイルを示す。高アスペクト比のフィーチャ1405は、垂直エッチングプロファイルを示すが、低アスペクト比のフィーチャ1407は、はるかに大きなテーパ状のプロファイルを示す。これらの相違は、プロファイルローディングと呼ばれる。さらに、低アスペクト比のフィーチャ1407は、より大きな度合いでエッチングされ、離散されたフィーチャ1407に関して、エッチング深さはより低くなる。このエッチング深さの差は、エッチング深さローディングまたは深さローディングと呼ばれる。プロファイルローディングとエッチング深さローディングとの両方を最小限にすべきである。
高アスペクト比の密集フィーチャと低アスペクト比の離散フィーチャとの間のエッチング結果の不均一性を最小限にするための1つの技法は、バイアスパルシングを使用するものである。基板に印加されるバイアスをパルスさせることによって、フィーチャへのエッチャントの拡散が、2つのタイプのフィーチャの間でより均一になることがあり、これは、2つのタイプのフィーチャに対して、より同等のラジカル:イオン流束をもたらす。また、バイアスパルシングは、ウェハからバルクプラズマへの副生成物の総流束を減少させることができ、これは、チャンバ内の副生成物の総量を最小限にする。チャンバ内での副生成物の存在は、高いアスペクト比の密集フィーチャと、低いアスペクト比の離散フィーチャとの間のエッチングプロファイル差(すなわちプロファイルローディング)の一因であるので、チャンバ内の副生成物の量の減少は、有利には、プロファイルローディングの量を最小限にする。
優れたSTIエッチング結果は、イオン−イオンプラズマレジームでエッチングプロセスを行うことによって実現することができる。イオン−イオンプラズマは、いくつかの理由から有益である。第1に、イオン−イオンプラズマは、従来のプラズマよりも低い総イオン密度を有する。この低いイオン密度は、基板表面に対するより高いラジカル:イオン流束比を実現することによって、エッチング深さのアスペクト比依存性を少なくとも一部減少させる。イオン−イオンプラズマの文脈で、バイアスパルシングを使用することができ、高いアスペクト比の密集構造と低いアスペクト比の離散構造との間でのより均一なラジカル:イオン流束比を実現する。ラジカル:イオン流束は、イオン−イオンプラズマが使用される場合、従来のプラズマに比べて、これら2つのタイプの構造の間で均一である。
さらに、イオン−イオンプラズマは、イオン−イオンプラズマの低いイオン流束が副生成物の生成の速度を最小限にするので有益である。副生成物がよりゆっくりと生成される場合、それらは、解離されて不揮発性の副生成物になる前に、反応チャンバからより完全に除去することができる。これらの不揮発性の副生成物は、2つのタイプのフィーチャの間で見られるエッチングプロファイル差の一因となる。したがって、より遅い副生成物の生成が、より効率的な副生成物除去をもたらし、これはさらに、高アスペクト比と低アスペクト比のフィーチャとの間でのより均一なエッチングプロファイルをもたらす。
イオン−イオンプラズマの別の利点は、エッチング中に揮発性の副生成物が生じるとき、それらの副生成物が、イオン−イオンプラズマの低い電子密度および低い電子温度により、問題となる不揮発性の副生成物に解離する可能性がはるかに低いことである。これにより、揮発性の副生成物は、解離されて不揮発性の(「粘着性の」)副生成物になる前に、はるかに効率的に除去されるようになる。副生成物をチャンバからより良く除去することができるので、高アスペクト比の密集フィーチャと低アスペクト比の離散フィーチャとの間でのプロファイルローディングが実質的に小さくなり、エッチング結果がより均一になる。
図15は、従来のプラズマ(左図)およびイオン−イオンプラズマ(右図)の下でのSTIエッチングプロセスを示す。従来のプラズマが使用される場合、比較的高い電子密度および高い電子温度により、例えば、揮発性のSiBr4が不揮発性のSiBr3に解離する。次いで、不揮発性のSiBr3は、基板1501上、しばしば低アスペクト比のフィーチャ1507内に付着する。対照的に、イオン−イオンプラズマが使用される場合、電子の温度および密度は、SiBr4が不揮発性の副生成物に実質的に解離しないほど十分に低く、揮発性の状態でチャンバから効果的に除去することができる。したがって、不揮発性の副生成物の問題がはるかに小さくなり、側壁があまり不動態化されず、エッチングプロファイルは、高アスペクト比のフィーチャ1505と低アスペクト比のフィーチャ1507との両方に関して垂直である。
一部の実施形態では、STIエッチングプロセスは、少なくとも2つの異なるタイプのフィーチャ、すなわち高アスペクト比のフィーチャと低アスペクト比のフィーチャとを同時にエッチングすることを含むことがある。高アスペクト比フィーチャは、約10以上のアスペクト比を有することがあり、一方、低アスペクト比フィーチャは、約1以下のアスペクト比を有することがある。プラズマ発生ガスは、HBrおよびCl2を含むことがある。イオン−イオンプラズマでのエッチング後、高アスペクト比のフィーチャのエッチング深さは、約150nmとなり、低アスペクト比のフィーチャのエッチング深さは、約155nmとなることがある。高アスペクト比のフィーチャのエッチング深さは、低アスペクト比のフィーチャのエッチング深さの少なくとも約95%となることがある。すなわち、2つのエッチング深さは、ほぼ同じとなることがある。一部の場合には、高アスペクト比のフィーチャと低アスペクト比のフィーチャのエッチング深さの差は、約5nm以下である。さらに、高アスペクト比のフィーチャのエッチングプロファイルは、少なくとも約88°(90°が、完全に垂直を表す)であることがあり、低アスペクト比のフィーチャのエッチングプロファイルは、少なくとも約85°であることがある。
イオン−イオンレジームでのシャロートレンチ・アイソレーション・エッチングプロセスを行うために、上部サブチャンバ内で、例えばHBrを含有するプラズマ発生ガスからプラズマが発生する。グリッドの下で、イオン−イオンプラズマが生じ、基板と相互作用して、基板材料をエッチングする。プラズマ発生ガスの流量は、約50〜500sccmの間でよい。チャンバ圧力は、約2〜100mTorrの間、例えば約5〜90mTorrの間でよい。チャンバ温度は、約50〜130℃の間でよい。基板温度は、約20〜100℃の間でよい。プラズマを発生させるために使用される電力は、約300〜1500ワット/ステーションの間でよい。プラズマを発生させるために使用されるRF周波数は、約13MHzでよい。基板は、約300〜1200Vの間でバイアスされることがある。1回のSTIエッチング操作は、約30〜100秒の間の継続時間を有することがある。
[装置]
本明細書で記載する方法は、任意の適切な装置によって実施することができる。適切な装置は、チャンバと、本明細書で記載するようなエッチング条件を提供および維持するための電子ハードウェアと、を備えるものである。適切な装置は、さらに、そのような条件を達成するようにハードウェアを制御するため、さらにはFETのゲート電極をエッチングするなどの応用に適した一連のプロセス工程を実行するための、命令を有するシステムコントローラを備える。いくつかの実施形態において、ハードウェアには、プロセスツールに含まれる1つ以上の処理ステーションを含むことができる。
図1に戻ると、これは、いくつかの実施形態による誘導結合型プラズマエッチング装置100の断面図を示している。前述のように、本明細書に記載の実施形態は、同じく誘導結合型以外のプラズマを用いて実施することもできる。誘導結合型プラズマエッチング装置100は、チャンバ壁101と窓111によって構造的に画成される全体エッチングチャンバを備える。チャンバ壁101は、典型的には、ステンレス鋼またはアルミニウムで製造される。窓111は、典型的には、石英または他の誘電材料で製造される。内部プラズマグリッド150によって、全体エッチングチャンバを、上部サブチャンバ102と下部サブチャンバ103とに分割している。他のいくつかの実現形態では、より複雑なプラズマグリッドアセンブリが用いられる。例えば、プラズマグリッドアセンブリは、図4および5に示すように、複数のグリッドと、さらに支持構造および駆動要素を含むことができる。図1の実施形態に戻って、下部サブチャンバ103内の内部底面の近くに、チャック117が配置されている。チャック117は、エッチングプロセスがその上で実施される半導体ウェハ(すなわち「ウェハ」)119を受けて、保持するように構成されている。チャック117は、ウェハがある場合にこれを支持するための静電チャックとすることができる。いくつかの実施形態において、エッジリング(図示せず)がチャック117を取り囲んでおり、それは、チャック117上にウェハがある場合にそのウェハ表面と略同一平面にある上面を有する。チャック117は、さらに、ウェハのチャッキングおよびデチャッキングを可能にするために、静電電極を有する。この目的のために、フィルタおよびDCクランプ電源を設けることができる。また、チャック117からウェハを持ち上げるための他の制御システムを設けることもできる。チャック117は、RF電源123を用いて帯電させることが可能である。RF電源123は、接続127を介して整合回路121に接続されている。整合回路121は、接続125を介してチャック117に接続されている。このようにして、RF電源123は、チャック117に接続されている。
窓111の上方に、コイル133が配置されている。コイル133は、導電性材料で製造されており、少なくとも1ターンの完全なターンを含んでいる。図1に示す例示的なコイル133は、3ターンを含んでいる。コイル133の断面の記号「X」は、回転して紙面に入るようにコイル133が延びていることを示している。逆に、コイル133の記号「・」は、回転して紙面から出るようにコイル133が延びていることを示している。RF電源141は、コイル133にRF電力を供給するように構成されている。通常、RF電源141は、接続145を介して整合回路139に接続されている。整合回路139は、接続143を介してコイル133に接続されている。このようにして、RF電源141は、コイル133に接続されている。オプションのファラデーシールド149が、コイル133と窓111との間に配置される。ファラデーシールド149は、コイル133に対して離間した関係に維持される。ファラデーシールド149は、窓111の直ぐ上に配置される。コイル133、ファラデーシールド149、および窓111は、それぞれ、相互に略平行となるように構成されている。金属またはその他の種がプラズマチャンバの誘電体窓に付着することを、ファラデーシールドによって防ぐことができる。
上部チャンバに配置されたメイン注入口160を介して、さらに/またはSTGとも呼ばれるサイド注入口170を介して、処理ガスを供給することができる。ガス排出口は図示していない。また、操作的プラズマ処理中の、真空制御、およびチャンバからのガス状副生成物の除去を可能にするために、チャンバ101に接続されたポンプも、図示していない。
装置の作動中には、注入口160および/または170を介して、1種以上の反応ガスを供給することができる。いくつかの実施形態において、ガスは、メイン注入口のみを介して、またはサイド注入口のみを介して供給することができる。いくつかの例では、注入口は、シャワーヘッドで置き換えることができる。ファラデーシールド149および/またはグリッド150は、チャンバへの処理ガスの送出を可能にする内部チャネルおよび孔を有することができる。すなわち、ファラデーシールド149およびグリッド150のいずれかまたは両方は、処理ガスを送出するためのシャワーヘッドとして機能することができる。
高周波電力がRF電源141からコイル133に印加され、これにより、RF電流がコイル133に流れる。コイル133に流れるRF電流によって、コイル133の周りに電磁場が発生する。この電磁場によって、上部サブチャンバ102内に誘導電流が発生する。この誘導電流が、上部サブチャンバ102内にあるガスに作用することで、上部サブチャンバ102内に電子‐イオンプラズマが発生する。内部プラズマグリッド150によって、下部サブチャンバ103内の高温電子の量が制限される。いくつかの実施形態において、装置は、下部サブチャンバ内にあるプラズマがイオン‐イオンプラズマとなるように、設計され、操作される。
上部の電子‐イオンプラズマと、下部のイオン‐イオンプラズマは、どちらも、正イオンと負イオンを含むが、イオン‐イオンプラズマのほうが、負イオン:正イオン比が大きい。種々のイオンおよびラジカルとウェハ119との物理的および化学的相互作用によって、ウェハのフィーチャが選択的にエッチングされる。揮発性のエッチング副生成物は、排出口(図示せず)を介して下部サブチャンバから除去される。重要なことは、このような揮発性副生成物が高温電子に曝されることは実質的にないので、それらが不揮発性の「粘着性」解離生成物に解離される恐れはない。
典型的には、本明細書で開示するチャックは、約30℃〜約250℃の範囲の、好ましくは約30〜150℃の範囲の高温で作動する。この温度は、エッチングプロセス処理および具体的なレシピに依存する。また、チャンバ101は、約1mTorr〜約95mTorrの範囲、または約5〜20mTorrの範囲の圧力で作動する。
図示はしていないが、チャンバ101は、通常、クリーンルームまたは製造施設に設置されると、様々な設備に連結される。それらの設備には、処理ガス、真空、温度制御、環境粒子制御を提供する配管設備が含まれる。チャンバ101がターゲット製造施設に設置されると、このような設備が連結される。さらに、チャンバ101を移送室に連結することができ、これによって、ロボット技術により、通常の自動操作を用いて、半導体ウェハをチャンバ101に出し入れする移送が可能となる。
図2A、2Bおよび3A〜3Dは、本明細書で記載する実施形態による内部プラズマグリッドの例を示している。いくつかの例では、各グリッドは、径方向外向きまたは略径方向外向きに延びるスロットを有することができる。これらまたは他の例において、スロットは、図3C、3Dに示すように、より特異な非直線形状とすることができる。図2Bの実施形態では、3種類のスロットがある。3種類のスロットはそれぞれ、異なるスロット長を有する。図2Bに示すスロットは、上述のように、下部サブチャンバにおいてイオン‐イオンプラズマを生成するのに適したアスペクト比を有する。図2Aおよび3A〜3Dに示すスロットは、縮尺通りに描かれていない場合がある。
[システムコントローラ]
いくつかの実施形態において、システムコントローラ(1つ以上の物理コントローラまたは論理コントローラを含むことができる)により、エッチングチャンバの動作の一部またはすべてを制御する。システムコントローラは、1つ以上のメモリデバイスと、1つ以上のプロセッサとを備えることができる。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータ・コントローラボード、および他の同様の構成要素を含むことができる。適切な制御動作を実現するための命令が、プロセッサ上で実行される。これらの命令は、コントローラに関連付けられたメモリデバイスに格納されていてもよいし、あるいはネットワークを介して提供されるものであってもよい。いくつかの実施形態において、システムコントローラは、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、以下のチャンバ動作条件のうち1つ以上の適用のタイミングおよび/または大きさを制御するための命令を含むことができる:ガスの混合および/または組成、チャンバ圧力、チャンバ温度、ウェハ温度、ウェハに印加するバイアス、コイルまたは他のプラズマ発生要素に印加する周波数および電力、ウェハ位置、ウェハ移動速度、グリッド位置、グリッド移動速度、ならびにツールによって実施される具体的なプロセスのその他パラメータ。システム制御ソフトウェアは、任意の適切な方法で構成することができる。例えば、種々のプロセスツール・プロセスを実施するために必要なプロセスツール構成要素の動作を制御するための、各種プロセスツール構成要素サブルーチンまたは制御オブジェクトを作成することができる。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコーディングすることができる。
いくつかの実施形態において、システム制御ソフトウェアは、上記の各種パラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含む。例えば、半導体製造プロセスの各段階は、システムコントローラで実行するための1つ以上の命令を含むことができる。例えば、エッチング段階のプロセス条件を設定するための命令は、対応するエッチングレシピ段階に含むことができる。一部の実施形態では、それらのレシピ段階は、あるプロセス段階のすべての命令がそのプロセス段階と同時に実行されるように、順に配列することができる。
いくつかの実施形態において、他のコンピュータソフトウェアおよび/またはプログラムを採用することができる。本目的のためのプログラムまたはプログラム部分の例には、基板位置決めプログラム、グリッドアセンブリ位置決めプログラム、処理ガス組成制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびRF電源制御プログラムが含まれる。
一部の例において、コントローラは、ガス濃度、ウェハの移動、グリッドの移動、さらに/またはコイルおよび/もしくは静電チャックに供給される電力、を制御する。コントローラは、例えば、必要な反応物質(複数の場合もある)を適切な濃度で供給する1種以上の流入ガス流を発生させるように、関連する弁を開閉することにより、ガス濃度を制御することができる。ウェハの移動は、例えば、所望の移動をウェハ位置決めシステムに指示することにより、制御することができる。グリッドの移動は、グリッドアセンブリの所望の位置決めを駆動要素(例えば、回転アクチュエータ、リフタ、および/または他の駆動要素)に指示することにより、制御することができる。一例では、コントローラは、下部ゾーン・プラズマにおいて、いくつかのプラズマ条件(電子温度、電子密度、イオン密度、電子に対する正イオンの比率などが含まれるが、これらに限定されない)を達成するために、1つまたは複数のプラズマグリッドの回転を、回転アクチュエータに指示する。いくつかの実現形態において、コントローラは、ウェハの異なる部分で異なるプラズマ条件を実現するように構成される(例えば、径方向にわたって、プラズマ条件を調整することができる)。コイルおよび/またはチャックに供給される電力は、上部サブチャンバ内で所望の電子‐イオンプラズマを発生させるための特定のRF電力レベルを与えるように制御することができる。さらに、下部サブチャンバ内で電子‐イオンプラズマが形成されないような条件で、静電チャックに電力が供給されるように、コントローラを構成することができる。すなわち、コントローラは、下部サブチャンバ内でイオン‐イオンプラズマ(または、少なくとも、適切な低実効電子温度および密度を有するプラズマ)を維持するように構成される。コントローラは、これらまたはその他の側面を、センサ出力(例えば、電力、電位、圧力などが所定の閾値に達したとき)、操作のタイミング(例えば、プロセスの所定の時点で弁を開放する)に基づいて、またはユーザから受ける指示に基づいて、制御することができる。
上記の種々のハードウェアおよび方法の実施形態は、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたはプロセスとともに用いることができる。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。
リソグラフィによる膜のパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールによって実施可能となる。(1)例えばシリコン窒化膜がその上に形成された基板であるワークピースの上に、スピン式またはスプレー式のツールを用いて、フォトレジストを塗布する;(2)ホットプレートまたは炉または他の適切な硬化ツールを用いて、フォトレジストを硬化させる;(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;(4)ウェットベンチまたはスプレー式現像装置などのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する;(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。一部の実施形態では、フォトレジストを塗布する前に、アッシャブル・ハードマスク層(アモルファスカーボン層など)および他の適切なハードマスク(反射防止層など)を成膜することができる。
本明細書で記載した構成および/または手法は、当然のことながら、本質的に例示的なものであり、これらの具体的な実施形態または例は、限定的な意味で解釈されるべきではなく、数多くの変形が可能である。本明細書に記載の具体的なルーチンまたは方法は、多数の処理戦略のうちの1つまたは複数を提示し得るものである。従って、例示した種々の処理動作は、例示した順序で、他の順序で、並列に、実行することができ、あるいは場合によって、省略することもできる。同様に、上記のプロセスの順序を変更することができる。
本開示の対象には、本明細書で開示した様々なプロセス、システムおよび構成の新規かつ非自明なすべての組み合わせおよび部分的組み合わせ、さらには、その他の特徴、機能、処理動作、および/または特性、ならびにそれらのあらゆる均等物が含まれる。
[実験]
本開示の方法および装置によって、半導体基板上の半製品のデバイスのエッチングが改善されることを、実験により確認した。プラズマグリッドを使用した場合には、エッチングされた製品は、良好な選択性、プロファイル角、疎/密ローディング、および全面エッチング均一性を示している。
図16Aおよび図16Bは、従来の高圧技術(16A)と、プラズマグリッドを用いた本発明の実施形態(16B)により、エッチングされたFinFET構造の走査型電子顕微鏡(SEM:Scanning Electron Microscope)像を示している。図16Aに示すように、従来技術によると、ウェハの中心とエッジとの間に深刻な不均一性が認められる結果となる。I/Dローディングが大きく、材料間の選択性が低かった。一方、図16Bに示すように、プラズマグリッドを使用することで、中心からエッジへの均一性は、実質的に向上する。また、I/Dローディングは、はるかに低く、選択性が向上した。本実験は、FinFETの高さを表す厚さまで薄厚化し、フルパターンウェハのエッチングをシミュレートするために50%SiNクーポンで覆ったSiキャリアウェハ上で、実施した。FinFET構造は、プロファイルのテーパを最小限に抑えるように、65%でオーバーエッチングされた。
図17Aおよび図17Bは、従来の低圧技術(17A)と、プラズマグリッドを用いた本発明の実施形態(17B)により、エッチングされたフィーチャのSEM像を示している。従来技術は、シリコンと酸化物との間の比較的低い選択性を示し、エッチングされたフィーチャはテーパ状のプロファイルを有し、また、I/Dローディングは良好ではなかった。一方、図17Bに示すように、ソースグリッドによって、向上した選択性(無限大の選択性)、より垂直なプロファイル角が得られ、また、I/Dローディングは略なくなった。本実験は、パターンウェハから切り離されてキャリアウェハの中心に配置されたチップ上で、実施した。本実験は、FinFETの高さを表す厚さまで薄厚化し、フルパターンウェハのエッチングをシミュレートするために50%SiNクーポンで覆ったSiキャリアウェハ上で、実施した。
図18は、プラズマグリッドを用いることなく、いくつかのレジームに従ってエッチングされたフィーチャの様々なSEM像を示している。2通りの異なる圧力と、4通りの異なる総流量とを用いた。実効電子温度(Te)は、圧力の増加に伴って低下する。滞留時間は、総流量の増加に伴って減少する。それぞれの圧力で、総流量を増加させると、エッチング結果が向上する。特に、高流量のケースは、より良好な(より垂直な)プロファイル角、および向上した選択性(より多いマスク残り)を示している。しかしながら、これらの改善は、より良好ではないI/Dローディングおよび中心からエッジへの均一性により、軽減される。この高流量での結果は、ガスの形態で掃去されない場合のいくつかの副生成物および/または解離生成物が、図6A〜6Cに示すようにフィーチャの側壁および/または底部に付着し得ることで不良なエッチング結果となるという考えを裏付けるものである。総流量がより高いと、これらの副生成物が、より効果的に反応チャンバから掃去されて、エッチング不良が生じる可能性がより低くなる。
図19は、ソース−ドレインリセスエッチングプロセスによってエッチングされたフィーチャのSEMを表す。実施例1に関して上述したように、ソース−ドレインリセスエッチングは、(1)垂直エッチング、(2)横方向エッチング、および(3)酸化ステップを含むマルチステッププロセスである。上図は、従来のプラズマを使用して処理した基板を示す。この場合、エッチング形状は、明瞭なスキャロップエッジを示す。これらのスキャロップエッジは、さらなる横方向エッチングを防止する厚い酸化層により生じる。対照的に、下図は、イオン−イオンプラズマを使用して処理した基板を示す。ここで、エッチングされた領域は、はるかに滑らかであり、非常に不明瞭なスキャロップ、およびより丸まった/滑らかなエッジを有する。イオン−イオンプラズマは、より加工しやすい酸化物層を生じ、これは、依然として側壁をオーバーエッチングから保護しながら、側壁を平滑化し、所望の形状を形成するためにいくらかの量のエッチングを可能にする。イオン−イオンプラズマでの低い電子密度は、シリコン材料の比較的穏やかな酸化を可能にする。これは、スキャロップを最小にし、プロファイル制御を向上させる。
図20は、ソース−ドレインリセスエッチングプロセスによってイオン−イオンプラズマでエッチングしたフィーチャの様々なSEMを示す。図示されるように、イオン−イオンプラズマが使用される場合、多くの異なるフィーチャ形状を実現することができる。これは、多くの新規の異なるソース−ドレインリセス形状の可能性を開く。
図21は、様々なプラズマレジームを使用して、シャロートレンチ・アイソレーション・エッチングプロセスの下で形成したフィーチャのSEMを表す。図の上側部分および中央部分は、従来のプラズマの下で処理した基板に関する。上側の基板は、約75%DCの基板バイアスパルシングを有し、一方、中央の基板は、約25%DCの基板バイアスパルシングを有していた。図の下側部分は、イオン−イオンプラズマレジームの下で処理した基板に関し、約60%DCの基板バイアスパルシングを有していた。すべての基板が、高アスペクト比のフィーチャに関して良好な垂直プロファイルを示した。イオン−イオンプラズマで処理した基板は、低アスペクト比のフィーチャでの改良されたエッチングプロファイルを示した(従来のプラズマに関して見られる84°に対して85°)。さらに、イオン−イオンプラズマレジームで処理した基板は、はるかに良いエッチング深さローディングを示した。従来のプラズマは、約25nmおよび16nmのエッチング深さローディングを生じたが、イオン−イオンプラズマは、わずか約5nmのエッチング深さローディングを生じた。総エッチング深さは、約230nmであった。
様々な実験によって、プラズマグリッドを使用した結果、極めて良好な選択性、プロファイル角、I/Dローディング、中心からエッジへの均一性を伴うエッチングプロセスが得られることが示された。いくつかの例において、選択性(すなわち、Siのエッチング速度:酸化物のエッチング速度)は、約10より大きいか、または約100よりも大きい。実際に、いくつかの例では、プラズマグリッドを用いることで、無限大の選択性が得られる場合がある。このような場合、酸化物材料がエッチングされることは略なく、むしろ、酸化物表面に少量の堆積が生じることがある。多くのケースにおいて得られるプロファイル角は、略垂直(例えば、約89°超)である。いくつかの実現形態において、I/Dローディングは、約2°未満であることが示された。また、いくつかの実現形態における中心からエッジへの均一性は、約2nm未満であった。

Claims (27)

  1. プラズマを処理する方法であって、
    反応チャンバ内に基板を受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
    プラズマ発生ガスを前記上部サブチャンバに流すステップと、
    前記上部サブチャンバ内で、前記プラズマ発生ガスから、第1の電子密度を有する第1のプラズマを発生させ、前記下部サブチャンバ内で、第2のプラズマを発生させるステップであって、前記第2のプラズマが、前記第1の電子密度の約10分の1未満の第2の電子密度を有するイオン−イオンプラズマであるステップと、
    前記第2のプラズマを用いて前記基板を処理して、ソースドレインリセスエッチング、FinFETゲートエッチング、ダミーポリシリコン除去、シャロートレンチ・アイソレーション・エッチング、またはフォトレジスト・リフローでのステップを行うステップと
    を含む方法。
  2. 請求項1に記載の方法であって、
    前記第1のプラズマが、約2eV以上の第1の電子温度を有し、前記第2のプラズマが、約1eV以下の第2の実効電子温度を有する、方法。
  3. 請求項1または2に記載の方法であって、
    前記第2の電子密度が、約5×109cm-3以下である、方法。
  4. 請求項1から3のいずれか一項に記載の方法であって、
    前記第2のプラズマ中の負イオン:正イオンの比が、約0.5〜1の間である、方法。
  5. 請求項1から4のいずれか一項に記載の方法であって、
    ソースドレインリセスをエッチングするために行われ、
    前記基板を垂直方向にエッチングするために第1のエッチングプロセスを行って、垂直エッチングフィーチャを形成するステップと、
    前記垂直エッチングフィーチャの内部で前記基板を水平方向にエッチングするために、第2のエッチングプロセスを行うステップと、
    前記垂直エッチングフィーチャ内部に酸化層を形成するために、酸化プロセスを行うステップと、
    前記垂直エッチングフィーチャ内にソースドレインリセスを形成するために前記方法を繰り返すステップと、を含み、
    前記第1のエッチングプロセス、第2のエッチングプロセス、および酸化プロセスがすべて、各プロセスでの前記第2のプラズマがイオン−イオンプラズマであるように、前記グリッド構造を有する前記反応チャンバ内で行われる、方法。
  6. 請求項5に記載の方法であって、
    前記第1のエッチングプロセスが、Cl2を含む第1のプラズマ発生ガスを用いて行われ、前記第2のエッチングプロセスが、NF3およびCl2を含む第2のプラズマ発生ガスを用いて行われ、前記酸化プロセスが、酸素を含む第3のプラズマ発生ガスを用いて行われる、方法。
  7. 請求項5に記載の方法であって、
    凹角形状を有する垂直エッチングフィーチャを形成するために、前記方法が繰り返される、方法。
  8. 請求項1から7のいずれか一項に記載の方法であって、
    シャロートレンチ・アイソレーション・エッチングを行うために行われ、
    前記プラズマ発生ガスが、HBrを含み、
    前記基板が、前記エッチング中に約300〜1200Vの間にバイアスされる、方法。
  9. 請求項8に記載の方法であって、
    前記プラズマ発生ガスが、約50〜500sccmの間の流量で流れ、さらに、Cl2を含む、方法。
  10. 請求項8に記載の方法であって、
    前記エッチングプロセスが、少なくとも第1のフィーチャ形状と第2のフィーチャ形状を同時にエッチングするステップを含み、前記第1のフィーチャ形状が、約10以上のアスペクト比を有し、前記第2のフィーチャ形状が、約1以下のアスペクト比を有し、
    エッチング後、前記第1のフィーチャのエッチング深さが、前記第2のフィーチャの前記エッチング深さの少なくとも約95%である、方法。
  11. 請求項10に記載の方法であって、
    エッチング後、前記第1のフィーチャが、少なくとも約88°のエッチングプロファイルを有し、前記第2のフィーチャが、少なくとも約85°のエッチングプロファイルを有する、方法。
  12. 請求項1から11のいずれか一項に記載の方法であって、
    フォトレジスト・リフロープロセスを行うために行われ、
    前記反応チャンバ内に受け取られる前記基板が、パターン形成されたフォトレジストを上に有し、
    前記フォトレジスト・リフロープロセスが、
    前記基板上の前記パターン形成されたフォトレジストをリフローするために、第1のプラズマプロセスを行うステップと、
    前記基板上のフット領域内のフォトレジストの一部分を除去するために、第2のプラズマプロセスを行うステップと、を含み、
    前記第1のプラズマプロセスと第2のプラズマプロセスがどちらも、前記グリッドを有する前記反応チャンバ内で行われ、
    前記第1のプラズマプロセスおよび前記第2のプラズマプロセス中の前記第2のプラズマが、イオン−イオンプラズマである、方法。
  13. 請求項12に記載の方法であって、
    前記第1のプラズマプロセス中の前記プラズマ発生ガスが、H2を含み、前記第2のプラズマプロセス中の前記プラズマ発生ガスが、不活性ガスを含む、方法。
  14. 請求項13に記載の方法であって、
    前記第1および第2のプラズマプロセス後の前記パターン形成されたフォトレジストの最終的な高さが、前記第1および第2のプラズマプロセス前の前記パターン形成されたフォトレジストの初期高さの少なくとも約50%であり、前記第1および第2のプラズマプロセス後の最終的な線幅粗さが、前記第1および第2のプラズマプロセス前の初期線幅粗さの約75%以下である、方法。
  15. FinFETゲート領域を画定するために、半製造された集積回路上のポリシリコンをエッチングする方法であって、
    上にポリシリコンの層を有する基板を反応チャンバ内に受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
    プラズマ発生ガスを前記上部サブチャンバに流すステップと、
    前記上部サブチャンバ内で前記プラズマ発生ガスから第1のプラズマを発生させ、前記下部サブチャンバ内で第2のプラズマを発生させるステップであって、前記第2のプラズマが、イオン−イオンプラズマであるステップと、
    前記基板に提供された前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップと、を含む方法。
  16. 請求項15に記載の方法であって、
    前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップが、前記ポリシリコンをエッチングして、完成された集積回路においてFinFETゲートの近傍となる位置にキャビティを形成するステップを含む、方法。
  17. 請求項16に記載の方法であって、
    前記ポリシリコン層の上に位置決めされたマスク層の厚さが、前記エッチング中に約10%未満だけ減少する、方法。
  18. 請求項16に記載の方法であって、
    前記エッチング中の前記反応チャンバ内の圧力が、約5〜20mTorrの間である、方法。
  19. 請求項16に記載の方法であって、
    オンフィン領域とオフフィン領域との間のエッチングプロファイル・ローディングが、実質的に存在しない、方法。
  20. 請求項19に記載の方法であって、
    前記エッチングされた領域の側壁が、エッチング中に凹まない、方法。
  21. 請求項15から20のいずれか一項に記載の方法であって、
    前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップが、前記ポリシリコンをエッチングして、完成された集積回路においてFinFETゲートが配される位置にキャビティを形成するステップを含む、方法。
  22. HBrを含む第1のプラズマ発生ガスを用いた第1の繰返しと、Cl2を含む第2のプラズマ発生ガスを用いた第2の繰返しとで行われ、前記第1および第2のプラズマ発生ガスが、実質的に酸素含有反応物を含まない請求項21に記載の方法。
  23. 請求項22に記載の方法であって、
    前記反応チャンバ内の圧力が、前記第1の繰返し中に約20〜80mTorrの間であり、前記第2の繰返し中に約4〜80mTorrの間である、方法。
  24. 請求項21に記載の方法であって、
    異なるフィーチャ密度で配されたエッチング後の複数のフィーチャの間のエッチングプロファイル・ローディングが、実質的に存在しない、方法。
  25. 請求項15から24のいずれか一項に記載の方法であって、
    前記第2のプラズマでの実効電子温度が、約1eV以下であり、前記第1のプラズマでの実効電子温度未満である、方法。
  26. 請求項25に記載の方法であって、
    前記第2のプラズマでの電子密度が、約5×109cm-3以下であり、前記第1のプラズマ内での電子密度よりも小さい、方法。
  27. 請求項15から26のいずれか一項に記載の方法であって、
    前記グリッド構造が、2つ以上のグリッドを備え、前記グリッドの少なくとも1つが、他のものに対して移動可能である、方法。
JP2014077139A 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッドの適用 Active JP6509495B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361809246P 2013-04-05 2013-04-05
US61/809,246 2013-04-05
US14/082,009 2013-11-15
US14/082,009 US20140302681A1 (en) 2013-04-05 2013-11-15 Internal plasma grid for semiconductor fabrication
US14/184,491 US9230819B2 (en) 2013-04-05 2014-02-19 Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US14/184,491 2014-02-19

Publications (3)

Publication Number Publication Date
JP2014209622A true JP2014209622A (ja) 2014-11-06
JP2014209622A5 JP2014209622A5 (ja) 2017-06-15
JP6509495B2 JP6509495B2 (ja) 2019-05-08

Family

ID=51654739

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014077139A Active JP6509495B2 (ja) 2013-04-05 2014-04-03 半導体製造用の内部プラズマグリッドの適用

Country Status (6)

Country Link
US (2) US9230819B2 (ja)
JP (1) JP6509495B2 (ja)
KR (1) KR20140121786A (ja)
CN (1) CN104103510B (ja)
SG (1) SG10201708419TA (ja)
TW (1) TWI626686B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016136617A (ja) * 2014-12-19 2016-07-28 ラム リサーチ コーポレーションLam Research Corporation シリコンのエッチングおよびクリーニング
KR20170141752A (ko) * 2015-04-24 2017-12-26 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 비아들의 세정
KR20180036849A (ko) * 2016-09-30 2018-04-10 세메스 주식회사 기판 처리 장치 및 이의 표면을 안정화시키는 방법
US10685859B2 (en) 2016-08-31 2020-06-16 Tokyo Electron Limited Plasma processing apparatus
JP2021504973A (ja) * 2017-11-21 2021-02-15 ラム リサーチ コーポレーションLam Research Corporation 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング
JP2021153056A (ja) * 2015-03-17 2021-09-30 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated イオン−イオンプラズマ原子層エッチングプロセス及びリアクタ

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US10714436B2 (en) 2012-12-12 2020-07-14 Lam Research Corporation Systems and methods for achieving uniformity across a redistribution layer
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) * 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11049725B1 (en) * 2014-05-29 2021-06-29 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9799560B2 (en) 2015-03-31 2017-10-24 Qualcomm Incorporated Self-aligned structure
KR102015891B1 (ko) * 2015-05-22 2019-08-29 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US9496148B1 (en) 2015-09-10 2016-11-15 International Business Machines Corporation Method of charge controlled patterning during reactive ion etching
US9767991B2 (en) * 2015-11-04 2017-09-19 Lam Research Corporation Methods and systems for independent control of radical density, ion density, and ion energy in pulsed plasma semiconductor device fabrication
KR102402769B1 (ko) 2016-01-06 2022-05-26 삼성전자주식회사 반도체 장치
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US20170345673A1 (en) * 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9972540B2 (en) 2016-08-07 2018-05-15 International Business Machines Corporation Semiconductor device having multiple thickness oxides
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
KR102405202B1 (ko) 2016-09-21 2022-06-02 도쿄엘렉트론가부시키가이샤 교차 구조물들을 패터닝하는 방법
US9679780B1 (en) * 2016-09-28 2017-06-13 International Business Machines Corporation Polysilicon residue removal in nanosheet MOSFETs
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN108010880A (zh) * 2016-10-31 2018-05-08 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
CN108987227B (zh) * 2017-06-02 2022-02-18 台湾积体电路制造股份有限公司 等离子体处理晶片的方法、等离子体控制方法及反应系统
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
KR102273971B1 (ko) * 2017-10-20 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN111527583B (zh) * 2017-12-27 2023-10-20 玛特森技术公司 等离子体处理设备和方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11600713B2 (en) * 2018-05-30 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11061315B2 (en) 2018-11-15 2021-07-13 Globalfoundries U.S. Inc. Hybrid optical and EUV lithography
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11088028B2 (en) * 2018-11-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
KR20200086826A (ko) * 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
US20220165546A1 (en) * 2019-03-14 2022-05-26 Lam Research Corporation Plasma etch tool for high aspect ratio etching
JP7281741B2 (ja) * 2019-08-23 2023-05-26 パナソニックIpマネジメント株式会社 素子チップのスムージング方法および素子チップの製造方法
WO2021222726A1 (en) * 2020-05-01 2021-11-04 Mattson Technology, Inc. Methods and apparatus for pulsed inductively coupled plasma for surface treatment processing
CN113707527B (zh) * 2020-05-21 2022-07-29 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的分离式进气结构
US11430893B2 (en) 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08167596A (ja) * 1994-12-09 1996-06-25 Sony Corp プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH10270429A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
WO2004047157A1 (ja) * 2002-11-20 2004-06-03 Tokyo Electron Limited プラズマ処理装置及びプラズマ処理方法
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
JP2005276931A (ja) * 2004-03-23 2005-10-06 Toshiba Corp 半導体装置およびその製造方法
JP2007035728A (ja) * 2005-07-22 2007-02-08 Renesas Technology Corp 半導体装置及びその製造方法
JP2008085341A (ja) * 2006-09-28 2008-04-10 Hynix Semiconductor Inc 半導体素子のリセスゲートの製造方法
US20100000964A1 (en) * 2008-07-01 2010-01-07 Tokyo Electron Limited Method and system for etching a mem device
JP2010541167A (ja) * 2007-09-27 2010-12-24 東京エレクトロン株式会社 負イオンプラズマを生成する処理システム
US20120235273A1 (en) * 2008-02-18 2012-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid Gap-fill Approach for STI Formation

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JP2643457B2 (ja) 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
JPH04137727A (ja) 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JP3190830B2 (ja) 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
AU2001288232A1 (en) 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
US20050025791A1 (en) 2002-06-21 2005-02-03 Julius Remenar Pharmaceutical compositions with improved dissolution
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
DE112006002412T5 (de) 2005-09-09 2008-07-17 ULVAC, Inc., Chigasaki Ionenquelle und Plasma-Bearbeitungsvorrichtung
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7611936B2 (en) * 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
WO2010023925A1 (ja) 2008-09-01 2010-03-04 独立行政法人科学技術振興機構 プラズマエッチング方法、プラズマエッチング装置及びフォトニック結晶製造方法
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (ja) 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
EP3188215A3 (en) 2010-02-09 2017-09-13 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
KR101742815B1 (ko) * 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388491B2 (en) 2011-10-31 2019-08-20 Canon Anelva Corporation Ion beam etching method of magnetic film and ion beam etching apparatus
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (zh) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08167596A (ja) * 1994-12-09 1996-06-25 Sony Corp プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH10270429A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
WO2004047157A1 (ja) * 2002-11-20 2004-06-03 Tokyo Electron Limited プラズマ処理装置及びプラズマ処理方法
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
JP2005276931A (ja) * 2004-03-23 2005-10-06 Toshiba Corp 半導体装置およびその製造方法
JP2007035728A (ja) * 2005-07-22 2007-02-08 Renesas Technology Corp 半導体装置及びその製造方法
JP2008085341A (ja) * 2006-09-28 2008-04-10 Hynix Semiconductor Inc 半導体素子のリセスゲートの製造方法
JP2010541167A (ja) * 2007-09-27 2010-12-24 東京エレクトロン株式会社 負イオンプラズマを生成する処理システム
US20120235273A1 (en) * 2008-02-18 2012-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid Gap-fill Approach for STI Formation
US20100000964A1 (en) * 2008-07-01 2010-01-07 Tokyo Electron Limited Method and system for etching a mem device

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016136617A (ja) * 2014-12-19 2016-07-28 ラム リサーチ コーポレーションLam Research Corporation シリコンのエッチングおよびクリーニング
JP2021153056A (ja) * 2015-03-17 2021-09-30 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated イオン−イオンプラズマ原子層エッチングプロセス及びリアクタ
JP7385621B2 (ja) 2015-03-17 2023-11-22 アプライド マテリアルズ インコーポレイテッド イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ
KR20170141752A (ko) * 2015-04-24 2017-12-26 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 비아들의 세정
KR102586618B1 (ko) 2015-04-24 2023-10-06 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 비아들의 세정
US10685859B2 (en) 2016-08-31 2020-06-16 Tokyo Electron Limited Plasma processing apparatus
KR20180036849A (ko) * 2016-09-30 2018-04-10 세메스 주식회사 기판 처리 장치 및 이의 표면을 안정화시키는 방법
JP2021504973A (ja) * 2017-11-21 2021-02-15 ラム リサーチ コーポレーションLam Research Corporation 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング
JP7023376B2 (ja) 2017-11-21 2022-02-21 ラム リサーチ コーポレーション 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング

Also Published As

Publication number Publication date
US20140302678A1 (en) 2014-10-09
JP6509495B2 (ja) 2019-05-08
SG10201708419TA (en) 2017-11-29
TW201507022A (zh) 2015-02-16
US9633846B2 (en) 2017-04-25
US20160086795A1 (en) 2016-03-24
US9230819B2 (en) 2016-01-05
CN104103510B (zh) 2017-07-28
KR20140121786A (ko) 2014-10-16
CN104103510A (zh) 2014-10-15
TWI626686B (zh) 2018-06-11

Similar Documents

Publication Publication Date Title
US9633846B2 (en) Internal plasma grid applications for semiconductor fabrication
US10224221B2 (en) Internal plasma grid for semiconductor fabrication
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
JP6641077B2 (ja) デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170428

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180123

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180420

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181211

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190403

R150 Certificate of patent or registration of utility model

Ref document number: 6509495

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250