SG10201708419TA - Internal plasma grid applications for semiconductor fabrication - Google Patents
Internal plasma grid applications for semiconductor fabricationInfo
- Publication number
- SG10201708419TA SG10201708419TA SG10201708419TA SG10201708419TA SG10201708419TA SG 10201708419T A SG10201708419T A SG 10201708419TA SG 10201708419T A SG10201708419T A SG 10201708419TA SG 10201708419T A SG10201708419T A SG 10201708419TA SG 10201708419T A SG10201708419T A SG 10201708419TA
- Authority
- SG
- Singapore
- Prior art keywords
- semiconductor fabrication
- grid applications
- internal plasma
- plasma grid
- internal
- Prior art date
Links
- 238000004519 manufacturing process Methods 0.000 title 1
- 239000004065 semiconductor Substances 0.000 title 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28123—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Ceramic Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Electrodes Of Semiconductors (AREA)
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201361809246P | 2013-04-05 | 2013-04-05 | |
US14/082,009 US20140302681A1 (en) | 2013-04-05 | 2013-11-15 | Internal plasma grid for semiconductor fabrication |
US14/184,491 US9230819B2 (en) | 2013-04-05 | 2014-02-19 | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
Publications (1)
Publication Number | Publication Date |
---|---|
SG10201708419TA true SG10201708419TA (en) | 2017-11-29 |
Family
ID=51654739
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG10201708419TA SG10201708419TA (en) | 2013-04-05 | 2014-04-04 | Internal plasma grid applications for semiconductor fabrication |
Country Status (6)
Country | Link |
---|---|
US (2) | US9230819B2 (en) |
JP (1) | JP6509495B2 (en) |
KR (1) | KR20140121786A (en) |
CN (1) | CN104103510B (en) |
SG (1) | SG10201708419TA (en) |
TW (1) | TWI626686B (en) |
Families Citing this family (179)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US10714436B2 (en) | 2012-12-12 | 2020-07-14 | Lam Research Corporation | Systems and methods for achieving uniformity across a redistribution layer |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) * | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN105097535B (en) * | 2014-05-12 | 2018-03-13 | 中国科学院微电子研究所 | The manufacture method of FinFet devices |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US11049725B1 (en) | 2014-05-29 | 2021-06-29 | Corporation For National Research Initiatives | Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US20150371889A1 (en) * | 2014-06-20 | 2015-12-24 | Applied Materials, Inc. | Methods for shallow trench isolation formation in a silicon germanium layer |
US10249511B2 (en) * | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160181111A1 (en) * | 2014-12-19 | 2016-06-23 | Lam Research Corporation | Silicon etch and clean |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10475626B2 (en) * | 2015-03-17 | 2019-11-12 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process and reactor |
US9799560B2 (en) * | 2015-03-31 | 2017-10-24 | Qualcomm Incorporated | Self-aligned structure |
US9576788B2 (en) * | 2015-04-24 | 2017-02-21 | Applied Materials, Inc. | Cleaning high aspect ratio vias |
US20180047595A1 (en) * | 2015-05-22 | 2018-02-15 | Hitachi High-Technologies Corporation | Plasma processing device and plasma processing method using same |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
TW201711077A (en) * | 2015-09-04 | 2017-03-16 | 漢辰科技股份有限公司 | Plasma-based processing system and operation method thereof |
US9496148B1 (en) | 2015-09-10 | 2016-11-15 | International Business Machines Corporation | Method of charge controlled patterning during reactive ion etching |
US9767991B2 (en) * | 2015-11-04 | 2017-09-19 | Lam Research Corporation | Methods and systems for independent control of radical density, ion density, and ion energy in pulsed plasma semiconductor device fabrication |
KR102402769B1 (en) | 2016-01-06 | 2022-05-26 | 삼성전자주식회사 | Semiconductor device |
US9792393B2 (en) * | 2016-02-08 | 2017-10-17 | Lam Research Corporation | Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization |
US10504746B2 (en) | 2016-04-12 | 2019-12-10 | Applied Materials, Inc. | HKMG integration |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US20170345673A1 (en) * | 2016-05-29 | 2017-11-30 | Tokyo Electron Limited | Method of selective silicon oxide etching |
US10197908B2 (en) * | 2016-06-21 | 2019-02-05 | Lam Research Corporation | Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework |
US9865484B1 (en) * | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9972540B2 (en) | 2016-08-07 | 2018-05-15 | International Business Machines Corporation | Semiconductor device having multiple thickness oxides |
JP6715129B2 (en) * | 2016-08-31 | 2020-07-01 | 東京エレクトロン株式会社 | Plasma processing device |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10204832B2 (en) | 2016-09-21 | 2019-02-12 | Tokyo Electron Limited | Method of patterning intersecting structures |
US9679780B1 (en) * | 2016-09-28 | 2017-06-13 | International Business Machines Corporation | Polysilicon residue removal in nanosheet MOSFETs |
KR101934985B1 (en) * | 2016-09-30 | 2019-01-04 | 세메스 주식회사 | Apparatus for treating substrate and method for stabilizing surface of the apparatus |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
CN108010880A (en) * | 2016-10-31 | 2018-05-08 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device and its manufacture method |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
CN108987227B (en) * | 2017-06-02 | 2022-02-18 | 台湾积体电路制造股份有限公司 | Method for processing wafer by plasma, plasma control method and reaction system |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10643858B2 (en) | 2017-10-11 | 2020-05-05 | Samsung Electronics Co., Ltd. | Method of etching substrate |
KR102273971B1 (en) * | 2017-10-20 | 2021-07-07 | 주식회사 엘지화학 | Method for plasma etching process using faraday box |
KR102455239B1 (en) * | 2017-10-23 | 2022-10-18 | 삼성전자주식회사 | apparatus for processing plasma, manufacturing system of semiconductor device and manufacturing method of the same |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10515815B2 (en) * | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10217626B1 (en) * | 2017-12-15 | 2019-02-26 | Mattson Technology, Inc. | Surface treatment of substrates using passivation layers |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US20190198301A1 (en) * | 2017-12-27 | 2019-06-27 | Mattson Technology, Inc. | Plasma Processing Apparatus and Methods |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (en) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10572697B2 (en) | 2018-04-06 | 2020-02-25 | Lam Research Corporation | Method of etch model calibration using optical scatterometry |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
CN111971551A (en) | 2018-04-10 | 2020-11-20 | 朗姆研究公司 | Optical metrology in machine learning to characterize features |
CN112005347A (en) | 2018-04-10 | 2020-11-27 | 朗姆研究公司 | Resist and etch modeling |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US11600713B2 (en) * | 2018-05-30 | 2023-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
CN110648888B (en) * | 2018-06-27 | 2020-10-13 | 北京北方华创微电子装备有限公司 | Radio frequency pulse matching method and device and pulse plasma generating system |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11061315B2 (en) * | 2018-11-15 | 2021-07-13 | Globalfoundries U.S. Inc. | Hybrid optical and EUV lithography |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11088028B2 (en) * | 2018-11-30 | 2021-08-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method of forming the same |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
KR20200086826A (en) * | 2019-01-10 | 2020-07-20 | 삼성전자주식회사 | Plasma processing method and plasma processing apparatus |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10977405B2 (en) | 2019-01-29 | 2021-04-13 | Lam Research Corporation | Fill process optimization using feature scale modeling |
US20200258718A1 (en) * | 2019-02-07 | 2020-08-13 | Mattson Technology, Inc. | Gas Supply With Angled Injectors In Plasma Processing Apparatus |
CN113574628B (en) * | 2019-03-14 | 2024-05-28 | 朗姆研究公司 | Plasma etching tool for high aspect ratio etching |
JP7281741B2 (en) * | 2019-08-23 | 2023-05-26 | パナソニックIpマネジメント株式会社 | Element chip smoothing method and element chip manufacturing method |
CN115066736A (en) * | 2020-05-01 | 2022-09-16 | 玛特森技术公司 | Method and apparatus for pulsed inductively coupled plasma for surface treatment processing |
CN113707527B (en) * | 2020-05-21 | 2022-07-29 | 江苏鲁汶仪器有限公司 | Separate air inlet structure for preventing plasma from flowing reversely |
US11430893B2 (en) | 2020-07-10 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
Family Cites Families (77)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4600464A (en) | 1985-05-01 | 1986-07-15 | International Business Machines Corporation | Plasma etching reactor with reduced plasma potential |
JPH0690811B2 (en) | 1985-09-10 | 1994-11-14 | 松下電器産業株式会社 | Method of making substrate of flat information recording medium |
JP2643457B2 (en) | 1989-06-28 | 1997-08-20 | 三菱電機株式会社 | Plasma processing apparatus and method |
JPH04137727A (en) | 1990-09-28 | 1992-05-12 | Hitachi Ltd | Ion beam etching method and ion beam etching device |
JP3149454B2 (en) | 1991-05-17 | 2001-03-26 | 日本電気株式会社 | Upper electrode of single wafer plasma etching system |
JP2604684B2 (en) | 1994-02-22 | 1997-04-30 | 木下 治久 | Plasma process equipment |
JP3353514B2 (en) * | 1994-12-09 | 2002-12-03 | ソニー株式会社 | Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device |
JP3360461B2 (en) | 1995-01-31 | 2002-12-24 | ソニー株式会社 | Pretreatment method for metal film formation process |
JP3190830B2 (en) | 1996-07-22 | 2001-07-23 | 日本電気株式会社 | Method for manufacturing semiconductor device |
US6007673A (en) | 1996-10-02 | 1999-12-28 | Matsushita Electronics Corporation | Apparatus and method of producing an electronic device |
JPH10270429A (en) * | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | Plasma treating device |
JP3317209B2 (en) | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | Plasma processing apparatus and plasma processing method |
JP3364675B2 (en) | 1997-09-30 | 2003-01-08 | 東京エレクトロンエイ・ティー株式会社 | Plasma processing equipment |
US6352049B1 (en) | 1998-02-09 | 2002-03-05 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
JP2001274143A (en) | 2000-03-28 | 2001-10-05 | Tdk Corp | Dry etching method, micromachining method and mask for dry etching |
DE10024883A1 (en) | 2000-05-19 | 2001-11-29 | Bosch Gmbh Robert | Plasma etching system |
JP4371543B2 (en) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | Remote plasma CVD apparatus and film forming method |
AU2001288232A1 (en) | 2000-08-10 | 2002-02-25 | Tokyo Electron Limited | Method and apparatus for tuning a plasma reactor chamber |
US6461972B1 (en) | 2000-12-22 | 2002-10-08 | Lsi Logic Corporation | Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow |
JP2002289585A (en) | 2001-03-26 | 2002-10-04 | Ebara Corp | Neutral particle beam treatment device |
IL165383A0 (en) | 2002-06-21 | 2006-01-15 | Transform Pharmaceuticals Inc | Pharmaceutical compositions with improved dissolution |
CN100490073C (en) * | 2002-11-20 | 2009-05-20 | 东京毅力科创株式会社 | Plasma processing apparatus and plasma processing method |
JP2004281232A (en) * | 2003-03-14 | 2004-10-07 | Ebara Corp | Beam source and beam treatment device |
US7976673B2 (en) | 2003-05-06 | 2011-07-12 | Lam Research Corporation | RF pulsing of a narrow gap capacitively coupled reactor |
JP4111274B2 (en) | 2003-07-24 | 2008-07-02 | キヤノンアネルバ株式会社 | Magnetic material dry etching method |
US7838430B2 (en) | 2003-10-28 | 2010-11-23 | Applied Materials, Inc. | Plasma control using dual cathode frequency mixing |
JP2005276931A (en) * | 2004-03-23 | 2005-10-06 | Toshiba Corp | Semiconductor device and its manufacturing method |
US20050211546A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US20050211171A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US20050211547A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma reactor and process using plural ion shower grids |
US7291360B2 (en) | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7244474B2 (en) | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
JP2006013190A (en) | 2004-06-28 | 2006-01-12 | Rohm Co Ltd | Method of manufacturing semiconductor device |
US20060000802A1 (en) | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
KR100663351B1 (en) | 2004-11-12 | 2007-01-02 | 삼성전자주식회사 | Plasma processing apparatus |
JP2007035728A (en) * | 2005-07-22 | 2007-02-08 | Renesas Technology Corp | Semiconductor device and manufacturing method thereof |
TWI391518B (en) | 2005-09-09 | 2013-04-01 | 愛發科股份有限公司 | Ion source and plasma processing device |
KR100653073B1 (en) | 2005-09-28 | 2006-12-01 | 삼성전자주식회사 | Apparatus for treating substrate and method of treating substrate |
US7645357B2 (en) | 2006-04-24 | 2010-01-12 | Applied Materials, Inc. | Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency |
US7520999B2 (en) | 2006-05-03 | 2009-04-21 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another |
US7998307B2 (en) | 2006-09-12 | 2011-08-16 | Tokyo Electron Limited | Electron beam enhanced surface wave plasma source |
KR100869359B1 (en) * | 2006-09-28 | 2008-11-19 | 주식회사 하이닉스반도체 | Method for fabricating recess gate in semiconductor device |
US7897008B2 (en) | 2006-10-27 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for regional plasma control |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080178805A1 (en) * | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US7611936B2 (en) * | 2007-05-11 | 2009-11-03 | Freescale Semiconductor, Inc. | Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method |
US20090084501A1 (en) * | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Processing system for producing a negative ion plasma |
US8187948B2 (en) * | 2008-02-18 | 2012-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid gap-fill approach for STI formation |
US8460567B2 (en) * | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
EP2333821A4 (en) | 2008-09-01 | 2014-07-30 | Japan Science & Tech Agency | Plasma etching method, plasma etching device and photonic crystal manufacturing method |
US8475673B2 (en) * | 2009-04-24 | 2013-07-02 | Lam Research Company | Method and apparatus for high aspect ratio dielectric etch |
US8749053B2 (en) | 2009-06-23 | 2014-06-10 | Intevac, Inc. | Plasma grid implant system for use in solar cell fabrications |
JP5216918B2 (en) | 2009-07-16 | 2013-06-19 | キヤノンアネルバ株式会社 | Ion beam generator, substrate processing apparatus, and electronic device manufacturing method |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
EP2534674B1 (en) | 2010-02-09 | 2016-04-06 | Intevac, Inc. | An adjustable shadow mask assembly for use in solar cell fabrications |
KR101742815B1 (en) * | 2010-07-23 | 2017-06-01 | 삼성전자 주식회사 | Coating composition for DUV filtering, method of forming a photoresist pattern using the same and method of fabricating a semiconductor device |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US20120083134A1 (en) | 2010-09-30 | 2012-04-05 | Hui-Jung Wu | Method of mitigating substrate damage during deposition processes |
US9490106B2 (en) | 2011-04-28 | 2016-11-08 | Lam Research Corporation | Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil |
US8709706B2 (en) | 2011-06-15 | 2014-04-29 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
US9966236B2 (en) | 2011-06-15 | 2018-05-08 | Lam Research Corporation | Powered grid for plasma chamber |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
JP5689980B2 (en) | 2011-10-31 | 2015-03-25 | キヤノンアネルバ株式会社 | Ion beam etching method and ion beam etching apparatus for magnetic film |
US20130168352A1 (en) | 2011-12-28 | 2013-07-04 | Andreas Fischer | Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber |
CN202633210U (en) | 2012-05-17 | 2012-12-26 | 北京北方微电子基地设备工艺研究中心有限责任公司 | Plasma etching equipment |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
-
2014
- 2014-02-19 US US14/184,491 patent/US9230819B2/en active Active
- 2014-04-02 TW TW103112372A patent/TWI626686B/en active
- 2014-04-03 JP JP2014077139A patent/JP6509495B2/en active Active
- 2014-04-04 KR KR1020140040626A patent/KR20140121786A/en not_active Application Discontinuation
- 2014-04-04 SG SG10201708419TA patent/SG10201708419TA/en unknown
- 2014-04-08 CN CN201410138060.XA patent/CN104103510B/en active Active
-
2015
- 2015-11-30 US US14/954,586 patent/US9633846B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
US9633846B2 (en) | 2017-04-25 |
CN104103510B (en) | 2017-07-28 |
JP2014209622A (en) | 2014-11-06 |
TWI626686B (en) | 2018-06-11 |
KR20140121786A (en) | 2014-10-16 |
US20160086795A1 (en) | 2016-03-24 |
CN104103510A (en) | 2014-10-15 |
TW201507022A (en) | 2015-02-16 |
US20140302678A1 (en) | 2014-10-09 |
JP6509495B2 (en) | 2019-05-08 |
US9230819B2 (en) | 2016-01-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
SG10201708419TA (en) | Internal plasma grid applications for semiconductor fabrication | |
SG10201401254VA (en) | Internal plasma grid for semiconductor fabrication | |
TWI563614B (en) | Methods of forming semiconductor packages | |
GB201315208D0 (en) | Compound semiconductor structure | |
EP2973716A4 (en) | Carbon doping semiconductor devices | |
EP2942816A4 (en) | Semiconductor device | |
EP2966683A4 (en) | Semiconductor device | |
EP2836056A4 (en) | Manufacturing method for heat-dissipating substrate | |
GB2535418B (en) | Semiconductor nanowire fabrication | |
GB201309668D0 (en) | Organic semiconductor doping process | |
HK1202704A1 (en) | Manufacturing method of semiconductor device | |
GB201510735D0 (en) | Semiconductor device | |
HK1201376A1 (en) | Semiconductor device | |
EP3021485A4 (en) | Semiconductor device | |
GB2520405B (en) | Method for interconnecting stacked semiconductor devices | |
SG11201603049WA (en) | Semiconductor device fabrication | |
HK1201989A1 (en) | Semiconductor device | |
EP3024024A4 (en) | Semiconductor module | |
GB201320925D0 (en) | Semiconductor devices and fabrication methods | |
EP2919273A4 (en) | Method for manufacturing semiconductor device | |
HK1202705A1 (en) | Semiconductor device | |
SG11201506364YA (en) | Electrochemical deposition processes for semiconductor wafers | |
SG11201507884PA (en) | Method of manufacturing semiconductor device | |
EP2953159A4 (en) | Semiconductor device | |
HK1205355A1 (en) | Semiconductor device |