CN111971551A - 机器学习中的光学计量以表征特征 - Google Patents

机器学习中的光学计量以表征特征 Download PDF

Info

Publication number
CN111971551A
CN111971551A CN201980025007.XA CN201980025007A CN111971551A CN 111971551 A CN111971551 A CN 111971551A CN 201980025007 A CN201980025007 A CN 201980025007A CN 111971551 A CN111971551 A CN 111971551A
Authority
CN
China
Prior art keywords
metrology
optical
optical metrology
features
machine learning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980025007.XA
Other languages
English (en)
Inventor
冯野
张燕
奥斯曼·索卡比
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111971551A publication Critical patent/CN111971551A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/706831Recipe selection or optimisation, e.g. select or optimise recipe parameters such as wavelength, polarisation or illumination modes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/04Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and forming images of the material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

计量系统可以包括被配置为针对经处理的衬底上的一个或多个特征产生光学计量输出的光学计量工具,及已使用以下训练集训练的计量机器学习模型:(i)多个特征的外形、临界尺寸和轮廓,以及(ii)多个特征的光学计量输出。计量机器学习模型可以被配置为:接收来自光学计量工具的光学计量输出;以及输出经处理的衬底上的一个或多个特征的外形、临界尺寸和/或轮廓。

Description

机器学习中的光学计量以表征特征
通过引用并入
PCT申请表与本说明书同时提交,作为本申请的一部分。在同时提交的PCT申请表中,本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文。
背景技术
诸如等离子体辅助蚀刻工艺之类的半导体器件制造操作的性能通常对于半导体器件处理工作流程的成功至关重要。然而,制造工艺和/或与之相关的工具(例如,蚀刻反应器、光刻掩模等)的优化或调整可能在技术上被证明是困难且耗时的,通常涉及技术人员手动调整蚀刻工艺参数或工具组件设计以生成所需的目标特征外形。计量工具应通过测量已制造或部分制造的器件上的特征来准确地评估蚀刻或沉积工艺的性能。当前,各种计量工具用于此目的。
此处所包含的背景和情境描述仅提供用于一般性呈现本公开的背景的目的。本公开的大部分呈现发明人的工作成果,且仅因为这样的成果背景部分描述或于此处其他地方作为背景呈现并不表示承认其为现有技术。
发明内容
本公开的某些方面涉及确定经处理的衬底上的一个或多个特征的外形、临界尺寸和/或轮廓的方法。此类方法的特征可以在于以下特征:(a)在经处理的衬底上的一个或多个特征上进行光学计量,以产生光学计量输出;(b)将所述光学计量输出提供给已使用以下训练集训练的计量机器学习模型:(i)多个特征的外形、临界尺寸和/或轮廓,及(ii)所述多个特征的光学计量输出;及(c)从所述计量机器学习模型接收经处理的所述衬底上的所述一个或多个特征的外形、临界尺寸和/或轮廓。在一些实施方案中,该方法另外包括通过产生多个光学计量输出集来训练计量机器学习模型,每个光学计量输出集相对于光学计量装置针对测试特征的不同取向和/或位置而生成,以用于所述测试特征。在一些实施方案中,计量机器学习模型使用监督机器学习技术而产生。
在一些实施方案中,光学计量是散射测量技术。例如,光学计量输出可以包括反射光谱和/或椭圆偏振输出数据。
在一些实施方案中,该方法还包括在经处理的衬底上的一个或多个特征上执行图案识别;以及确定一个或多个特征的图案与期望的图案相符。在一些实施方案中,该方法还包括对经处理的衬底上的一个或多个特征执行光学计量,包括在经处理的衬底上光栅扫描。
在某些情况下,使用电子显微镜技术和/或CD-SAXS获得训练集中多个特征的外形、临界尺寸和/或轮廓。
在一些实施方案中,该方法另外包括分解光学计量输出以产生减少的光学计量值的集,以及将减少的光学计量值的集提供给计量机器学习模型。在某些情况下,分解光学计量输出包括识别光学计量输出的主成分或将光学计量输出应用于自动编码器。
本公开的其他方面涉及可以由以下元素表征的计量系统:(a)光学计量工具,其包括光学探针源和光学检测器及处理器,所述处理器被配置为当光学探针被定向到经处理的衬底上的一个或多个特征上时根据由所述光学检测器产生的数据产生光学计量输出;(b)计量机器学习模型,其已使用以下训练集进行训练:(i)多个特征的外形、临界尺寸和/或轮廓,及(ii)多个特征的光学计量输出。在一些实施方案中,计量机器学习模型被配置为:接收来自光学计量工具的光学计量输出;及在经处理的衬底上输出一个或多个特征的外形、临界尺寸和/或轮廓。
在一些系统中,使用多个光学计量输出集来训练计量机器学习模型,每个光学计量输出集相对于测试光学计量装置针对测试特征的不同取向和/或位置而生成。在一些实施方案中,计量机器学习模型使用监督机器学习技术生成。
在一些实施方案中,光学计量工具是散射仪。在一些计量系统中,光学计量输出包括反射光谱和/或椭圆偏振输出数据。
一些计量系统还包括图案识别工具,该图案识别工具包括相机和处理器,该相机和处理器被配置为:在经处理的衬底上的一个或多个特征上执行图案识别;及确定一个或多个特征的图案与预期的图案相符。在一些计量系统中,光学计量工具被配置为光栅扫描经处理的衬底。
在一些计量系统中,使用电子显微镜技术和/或使用CD-SAXS获得训练集中的多个特征的外形、临界尺寸和/或轮廓。
在一些实施方案中,计量机器学习模型还被配置为:分解光学计量输出以产生减少的光学计量值的集,并且将减少的光学计量值的集提供给计量机器学习模型。在一些这样的实施方案中,计量机器学习模型被配置为通过识别光学计量输出的主成分或通过将光学计量输出应用于自动编码器来分解光学计量输出。
下文参考附图进一步说明这些以及其它方面。
附图说明
图1示出了根据本公开的某些方法实施方案的用于生成计量机器学习模型的流程图。
图2示出了根据本公开的某些方法实施方案的用于使用计量机器学习模型的流程图。
图3示出了可用于训练和/或运行光学计量机器学习模型的示例计算系统。
图4示出了计量系统的框图,该计量系统包括被配置为根据光学计量数据生成衬底特征的几何表示的模型,该衬底特征例如将使用电子显微镜来获得。
具体实施方式
当前评估半导体晶片和半导体工艺开发中使用的其他样品的方法采用诸如x-SEM(x射线扫描电子显微镜),STEM(扫描透射电子显微镜)和CD-SAXS(临界尺寸小角度X射线散射)等技术以用于横截面图像捕获。然后,当前的方法使用图像分析软件来提取受关注的特征。取样片通常用于代替完整的晶片,以研究蚀刻或其他制造工艺对图案化衬底的影响。取样片是测试样品或衬底,其通常具有与半导体器件制造操作中使用的那些材料和层相同或相似的材料和层。通常,试样比半导体晶片小。它们可以是圆形或多边形(例如矩形),并且仅占据几平方厘米。
当前基于电子显微镜的方法有时尤其会遇到以下挑战。
1.x-SEM和STEM成本高,CD-SAXS速度慢;
2.由于线边缘的粗糙度,每个x-SEM/STEM图像的误差条都很高;为了减少此误差,需要更多的横截面,这使成本更高。
3.特征是手动提取的,且容易出现操作员误差和/或操作员之间的差异。
4.STEM和x-SEM具有破坏性,因此需要重复所有先前的制造操作以准确地考虑每个蚀刻步骤,从而能够完全理解完整的蚀刻效果。但是,不能保证在不同步骤截取的多个试样是相同的。这些试样的微小差异,无论是来自进料条件还是蚀刻条件,都可能在工艺开发中造成较大的误差。
本文公开了用于监督机器学习的方法和系统,其使用从试样样本收集的光谱来生成模型,该模型可以容易且准确地预测通常由诸如电子显微镜(例如,x-SEM和STEM)之类的破坏性计量技术或通过其他耗时的处理(例如CD-SAXS)获得的特征表征。在训练期间,可以根据定义的处理(例如,蚀刻或沉积)来处理许多样本,然后将其横向截取以提取对处理开发和/或处理监控有用的外形信息。使用该信息训练的模型可以接收来自光学计量工具(倾向于快速、便宜且无破坏性地操作)的光信号,并且可以输出由另一计量工具(它们往往会缓慢、昂贵且经常具有破坏性地操作)建立的特征的地面实况。
在某些情况下,由于从显微照片或其他图像的模糊轮廓中提取了特征,外形信息可能遭受不准确。如果使用足够大的训练集(例如,至少约20个样本,或至少约100个样本),并且对受关注的特征使用电子显微镜(和/或CD-SAXS)和光学计量(例如,进行x-SEM和STEM以及测量光谱),则该方法可以实现可靠的监督训练。
在某些情况下,至少一些样品是在单个类型的特征至少占据由入射光在样品上产生的光斑的很大一部分的位置进行评估的。通常,所关注的特征的尺寸为几百纳米或更小,而光斑为约5-40微米。为了使单个特征对从计量工具获得的光信号有很大贡献,当光斑大小明显大于特征大小时,可能需要在入射光斑占据的区域上重复该特征。但是,这并不是训练集中使用的样本/位置的严格要求。许多机器学习技术足够稳健,使得至少一些训练集成员(或至少它们的光学计量信号)可以在光学信号中具有多种类型的特征。无论训练集的组成如何,使用可靠的剖析技术(例如x-SEM、STEM、CD-SAXS或其他破坏性计量技术或慢计量技术)提取的光谱和CD、外形和/或轮廓信息的配对都可以实现监督训练。
注意,在破坏性计量技术(例如x-SEM/STEM)或其他慢外形技术提供用于识别与已知特征表征相对应的光信号特征的已知的特征表征(例如特征的外形、轮廓或关键尺寸(CD))的意义上监督训练。当提供有在用于训练模型的条件下收集的光学数据时,所得模型可以准确预测特征外形信息。在此处描述的方法和系统中,可能存在两个实施步骤-训练和测试。最终,可以在光学计量系统中采用生成并验证的计量机器学习模型,以直接提供有关特征外形、CD和/或轮廓的信息。
训练
在一些实施方案中,训练采用散射法以使用一定范围的光学信息来捕获反射光谱,该光学信息可以跨越入射角(法向入射角和斜入射角),包括完整360度的方位角方向,波长(例如,包括可见光、红外线和/或紫外线),及所关注的特征上的偏振的范围。在收集了该光学计量信息之后,通过x-SEM、TEM、CD-SAXS等对试样进行分析。可以采用几个样本(例如,大于约20个样本或大于约100个样本)的累加。每个这样的样本可以生成一个或多个训练集成员。例如,可以使用多个光学计量设置(例如,入射角)来分析单个样本,每个设置产生单独的光学计量读数和相关的训练组成员。
以无误差的方式定位和/或定向试样可能是挑战。虽然计量工具被设计为微调具有标准尺寸的晶片的位置和方位,从而提供相对低的光学计量结果误差捕获,但是这些工具并未被设计为如此仔细地控制试样的位置和方位。试样经常具有与生产晶片的尺寸和形状有很大不同的尺寸和形状。因此,可能需要采取措施来解决由试样产生的光学计量信号中的可能误差。
在一些实施方案中,通过在光学计量工具的光束下以不同的方式平移、旋转和/或以其他方式重新定向样品并在所有这些不同的位置或方位上捕获光信号,来减小位置和/或旋转误差。对于光学计量工具的光束的光斑,每个不同的位置/方位可以存在相同的位置。总体而言,这些不同的位置使可能在不同的平移位置和/或方位的一小部分中发生的误差平均化。为了进行训练,在这些不同位置或方位捕获的每个不同光学信号与相同的x-SEM、STEM、CD-SAXS或其他直接测量相关联。因此,样品(试样)上的给定位置可能会产生大量的训练集成员,每个成员使用相同的x-SEM、STEM或CD-SAXS测量值,但使用在不同的平移位置或方位获得的不同的光学信号。总体而言,这些训练集成员的数量大到足以覆盖典型实验条件的实际误差。
显而易见,随后将经受光学计量的每个样本发送到x-SEM设备、TEM设备、CDSAXS设备或其他设备,以对通过所考虑的工艺产生的特征进行测量。破坏性工艺提供用于提取CD、外形、轮廓或其他特征表征的信息。
如上所述,可以通过非破坏性(或较小破坏性)技术(例如CD-SAXS(临界尺寸小角度X射线散射)获得Z方向解析的信息。CD-SAXS是X射线散射技术,其可用于重建纳米结构薄膜的平面内和平面外结构。该技术涉及以各种样品旋转角度收集一系列透射小角度X射线散射图像。这些(qx,qy)图像可以被组合以重建3D倒数空间(例如,傅立叶变换),特别是探测包含平面内和平面外(膜法线方向)信息的(qx,qz)切片。
训练可以使用例如约100对光谱和CD值、外形和/或轮廓开始。可以采用不同的监督学习技术(回归、决策树、支持向量器、神经网络等)来映射频谱与CD/外形/轮廓特征之间的关系。可以对超参数进行优化,以确保在测试之前获得最佳的验证结果。如果可获得和试样与试样之间变化有关的其他信息,例如层厚度和光学常数,那么它也可以用作监督学习的输入。
在一种实施方案中,监督训练方法利用降维技术/工具,例如主成分分析(PCA)或自动编码器。降维技术可选地与产生神经网络或其他监督的机器学习模型结合使用。例如,与其他光信号表征相比,PCA可以用来根据受到诸如特征临界尺寸之类的目标特征的相对强的影响的光计量信号识别光信号特性或光信号特性的组合。所得的主成分(受关注特征强烈影响的光信号特征向量)用于训练模型,并用作所得模型的输入。更一般地,可以采用分解或识别用于训练模型(以及作为随后生成的模型的输入)的光信号的方面的任何技术。任何这样的技术都可以接收n个光谱强度值(来自光学计量),这些光谱强度值是由m个衬底特征变化源产生的,并标识了大约m个独特的光谱特征。n的值通常将比m的值大得多,例如,但是至少一个数量级。
在另一实施方案中,以不需要主成分提取步骤的方式来使用深度学习神经网络。与PCA神经网络实现方式一样,一旦进行了训练以产生具有足够准确性以预测CD、外形和/或轮廓信息的深度学习模型,它就可以避开x-SEM、STEM、CD-SAXS等直接报告上述信息(例如,特征外形或特征的几何特征)。
利用光学技术在大目标上的平均能力,该方法比多次x-SEM,STEM,CD-SAXS等测量更有效地提供平均CD/外形/轮廓信息。周期性结构由于其平移不变性而最有用,但是该方法不限于周期性结构。
在一些实施方案中,训练可以用代表在光学计量获取信号并拍摄SEM横截面的样品上的位置处的期望图案的图案数据来补充。可使用标准图案识别软件来拍摄此类位置的相机图像,并确定该位置是否具有预期的图案。如果该位置不具有预期的图案,则可能无法获取光学和显微镜数据,或者如果获取了该数据,则可以在模型训练中将其消除或赋予低的权重。
用于训练模型的非侵入性计量数据可以来自各种常规和非常规光学技术。这样的技术可以使用例如散射测量法和/或椭圆偏振法来捕获反射率和/或椭圆偏振光谱。
在一些实施方案中,训练可以用在试样或其他样品上通过光栅扫描来自计量工具的光束获得的光信号来补充。虽然在样品上一定范围的位置上收集了结果数据,但可以将其与样品上一个或多个特定位置上的特征外形(或其他几何特征)相关联。
用于训练的示例流程
图1示出了用于产生可被部署在光学计量工具或光学计量系统中的模型的示例性工艺流程101。如图所示,该工艺开始于操作103,其中将一组衬底(例如,试样)暴露于各种不同的工艺条件,以在衬底上产生各种不同的特征。这里的目标是在模型的预测范围内提供各种特征外形和/或形状。例如,将第一衬底暴露于第一组工艺条件(等离子体功率、等离子体频率和其他等离子体条件、工艺气体成分、反应器温度和压力、掩模几何形状等),将第二衬底暴露于与第一组工艺条件不同的第二组工艺条件,将第三衬底暴露于与其他两组条件不同的第三组工艺条件,等等。在该操作结束时,多个衬底具有多个不同特征外形以及可选地具有多个不同轮廓、临界尺寸等。在替代的方法中,将相同的工艺条件(例如,记录的工艺条件)应用于每个衬底,但处理的固有可变性会在衬底上产生略有不同的特征结构(如外形、轮廓和/或CD所反映的)。这些内在差异可以用作训练集的基础。
接下来,在操作105,对在操作103中产生的测试衬底的特征进行光学计量。在每种情况下,尽管特征的外形或其他几何细节通常尚不清楚,所得的光信号可以与产生这些信号的衬底或特征相关联。应当理解,光信号可以不直接指示产生那些信号的特征的外形、临界尺寸、轮廓或其他几何特征。
可选地,在工艺操作107,该工艺在不同条件下(例如,不同的衬底取向、不同的探测束斑尺寸等)重复对特征进行光学计量。如所解释的,可以采用多种形式的光学计量,并且也可以采用多种执行单个计量的模式。这样的模式包括光学计量工具的特定设置,例如光束的方位角位置和入射角、光斑大小、衬底上的光斑位置、光栅扫描等。此外,可以使用图案识别预处理步骤来删除似乎与预期的特征图案不符的某些测量值。
接下来,在操作109中,该方法执行直接在测试衬底上生成特征的外形或其他几何特征的计量形式。如所指出的,这样的计量通常是缓慢的和/或破坏性的。实例包括各种形式的电子显微镜,包括各种形式的TEM(例如STEM)和SEM(例如x-SEM和CD-SEM)以及CD-SAXS。在操作105中以及可选地在操作107中,所得到的直接测量到的特征的几何表征与这些特征所产生的相应光学信号相关联。
此时,可获得完整训练集的信息,并且如操作111所示,该处理使用操作105和(可选)操作107中产生的光学计量信号以及在操作109中产生的相关的特征外形、轮廓、CD等训练计量模型。如操作113所示,结果模型被测试和/或验证。结果模型可以被安装在计量工具或系统中。
以下序列呈现了工艺流程的另一个示例。
1.提供具有使用正在考虑的工艺(例如,记录工艺)产生的特征的试样,并识别受关注特征所在的一个或多个位置。
(可选)在该位置执行图案识别。
2.从1中提供的试样中,获取受关注特征所在位置的光学计量数据。
3.从1中提供的试样中,在2中使用的位置使用x-SEM,STEM或提供几何表征的直接表示的其他破坏性技术或慢速技术(例如CD-SAXS),获取特征的外形或其他几何表征。
4.使用2和3中的数据来训练模型,该模型以2中产生的光学计量数据作为输入,并预测使用所考虑的工艺产生的几何表征。
测试
在测试中,通过使用与在训练期间捕获原始数据相同的光学计量技术(例如散射测量法)进行推断,然后将其输入到先前建立的监督学习模型中,以预测受关注的CD/外形特征。如果使用在多个平移位置和/或方向上进行的计量学进行训练,则可以采用这些位置/方向中的一些或全部来捕获输入到模型中的数据。此外,如果通过相机使用图案识别来进行训练,则可以采用相同的图案识别来过滤提供给模型的数据。更进一步,如果使用光学计量探针束的光栅扫描进行训练,则可以在测试期间进行相同的光栅扫描,并将得到的扫描数据提供给模型。当然,测试数据应在与训练数据不同的条件下生成。因此,通常,测试数据是在使用某些不同于生成训练数据所采用的制造工艺的衬底特征上生成的。例如,可以使用与用于生成任何训练数据的任何组合不同的蚀刻条件的组合来生成测试数据。
应用
如本文所述生成的计量机器学习模型具有各种应用。任何给定模型的应用范围至少部分取决于该模型的适用范围;与其他模型相比,某些模型在可以有效预测特征表征的工艺的数量方面更为通用。例如,可以为特定工艺生成模型。例如,采用特定化学方法以产生特定设计布局的图案的各向异性蚀刻工艺。
在有效地训练模型之后,该方法可以大大降低与执行破坏性测试(例如STEM和x-SEM)相关的成本。如果要重复使用相同类型的样本(例如>100个试样样本)来调整处理,这将非常有用。
这种方法还带来了更好的试样与试样的一致性,因为CD/外形/轮廓上的随机误差和LER/LWR(线条边缘粗糙度和线条宽度粗糙度)被光学信号在空间上平均。这里利用机器学习来映射频谱与受关注特征的CD/外形/轮廓之间的复杂关系。当误差得到良好控制时,该工作流程可能无需操作员。测量的无损性质也允许试样结果的多蚀刻步骤一致性。单个试样的每个蚀刻步骤可以产生多个推断结果和多个特征,使其价值最大化。
经训练的计量模型可以用作用于确定经受器件制造工艺的衬底上的一个或多个特征的外形、CD、轮廓或其他几何特征的工艺或相关系统的一部分。该模型和相关的计量系统可以在工艺开发、工艺监控和/或工艺修改期间使用。取决于应用,经受计量和分析的衬底可以是测试试样或晶片,具有部分制造的器件的生产晶片,具有完全制造的器件的生产晶片等。
在图2中描绘了用于使用计量机器学习模型的示例性工艺流程。如图所示,工艺201开始于在已训练的计量机器学习模型的工艺条件下处理衬底。参见操作203。
在对衬底进行处理以在衬底上产生或修改特征之后,对特征中的一个或多个进行光学计量。参见操作205。光学计量技术与模型的训练集中使用的技术相同。在一些实施方案中,通过在衬底上的多个位置上移动束或点来执行操作205。例如,光束可以在经处理的衬底上光栅扫描。
对于正常操作,光学计量技术产生具有由衬底上的特征所决定的表征的光信号。光信号可以是例如根据波长、偏振态、方位角位置等提供的反射率值。不管其信息内容如何,将所得的光信号提供给计量机器学习模型,该模型可以如上所述被训练。参见操作207。使用光信号,机器学习模型输出识别一个或多个特征的一个或多个几何特征的信息。参见操作209。
在一些实施方案中,仅在首先在经处理的衬底上的一个或多个特征执行图案识别之后才执行操作205。图案识别工艺可以确定一个或多个特征的图案与预期图案相符。如果图案不符,则可以省略光学计量操作。
术语
术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“经部分制造的集成电路”可以互换使用。本领域普通技术人员理解,术语“经部分制造的集成电路”可以指在其上进行集成电路制造的许多阶段中的任一阶段期间的半导体晶片。半导体器件工业中使用的晶片或衬底的直径通常为200mm或300mm或450mm。该详细说明内容假定在晶片上实现本实施方案。然而,本公开内容不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用本公开实施方案的其他工件包括例如印刷电路板、磁记录介质、磁记录感器、镜子、光学元件、微机械装置等各种物品。此外,术语“试样”有时在本文中通常用于描述任何衬底,通常包括用于测试处理和/或用于生成模型训练集数据的那些衬底。
如本文所使用的“半导体器件制造操作”是在半导体器件的制造期间执行的单元操作。通常,整个制造工艺包括多个半导体器件制造操作,每个操作在其自身的半导体制造工具中执行,半导体制造工具例如蚀刻和/或沉积等离子体反应器、电镀池、化学机械平面化工具、湿法蚀刻工具等。半导体器件制造操作的类别包括诸如蚀刻工艺和平坦化工艺之类的减法工艺,以及诸如沉积工艺之类的材料添加工艺。在蚀刻工艺的背景中,衬底蚀刻工艺包括蚀刻掩模层的工艺,或更一般而言,包括蚀刻先前在衬底表面上沉积和/或以其他方式驻留的任何材料层的工艺。这样的蚀刻工艺可以蚀刻衬底中的层的堆叠。本文描述的模型可以用于用任何类型的半导体器件制造操作的非破坏性光学计量技术代替破坏性计量技术。
如本文所使用的,“计量结果”是指至少部分地通过测量经处理的衬底的特征而产生的结果。可以在以工艺参数值的集合进行操作的反应室中进行半导体器件制造操作的同时或之后进行测量。在一些实施方案中,测量经处理的衬底的特征产生外形坐标。在这样的实施方案中,测量经处理的衬底的特征可以包括执行显微镜检查(例如,SEM,x-SEM,TEM,STEM,CD-SEM,CD-SAXS,REM,AFM)。可以例如通过表征经处理的衬底中的特征的几何形状(例如,临界尺寸、侧壁角度、深度等)的几何外形参数的集合采用这样的技术来直接或间接地产生外形坐标。
光学计量结果使用光学计量在经处理的衬底的特征上产生。在一些实施方案中,通过在经处理的衬底上执行反射法、圆顶散射法、角分辨散射法、小角X射线散射法和/或椭圆偏振法来产生光学计量结果。光学计量输出可以包括从光学计量工具中的检测器获得的各种值中的任何一个。示例包括反射率值,可选地在一定波长(反射谱)范围内,偏振信息,有关检测到的光信号的角度/位置信息(例如,相对于衬底或检测器的平面的角度或相对于入射角的角度)。当使用光学计量时,系统可以通过使用本文所述的计量机器学习模型通过从测得的光学计量信号中计算外形坐标来获得外形坐标。
在一些实施方案中,计量结果被提供为测量的几何外形、反射率或椭圆偏振数据或衬底特征的外形参数的时间序列。这些测量的计量结果是在半导体器件制造操作的不同持续时间下产生的。
关于特征的几何信息可以采取各种形式。可以将其提供为二维或三维尺寸的外形坐标和/或更全局的参数(例如沟槽深度、侧壁角度等)。对于重复结构,可以提供一维信息,例如间距、临界尺寸等。在二维表示的情况下,特征的几何信息可以是外形(在x-z平面中视为衬底的横截面)或轮廓(在x-y平面中从衬底的平面上方观察)。
机器学习模型-机器学习模型是一种经训练的计算模型,其可获取光学计量输出或数据(例如,散射测量数据)并预测样品上一个或多个特征的几何特征。机器学习模型的示例包括随机森林模型(包括深度随机森林),神经网络(包括递归神经网络和卷积神经网络),受限玻尔兹曼机器,递归张量网络和梯度提升树。这些模型中的每一个都具有本领域技术人员公知的结构和相关联的逻辑操作序列(算法)。例如,神经网络包括按层组织的多个计算节点,这些层被配置为在逐个节点的基础上将计算结果从一层连续传递到下一层。给定节点可以具有与上游层中的一个或多个节点的连接以及与下游层中的一个或多个模式的连接。这些层可以组织为输入层、输出层和一个或多个隐藏层。神经网络的输入侧可以以实现卷积神经网络的方式构造。隐藏层或输出层中的节点从上游层中的一个或其他节点接收输入。每个节点通过功能(有时是激活功能)在其输入上进行操作,以生成输出以传输到下一个连续层中的一个或多个下游节点。训练将权重应用于上游和下游节点之间的各个连接。术语“分类器”(或分类模型)有时用于描述所有形式的分类模型,包括深度学习模型(例如,具有多层的神经网络)以及随机森林模型。有关更多详细信息,请参见“Hands-OnMachine Learning with Scikit-Learn and TensorFlow:Concepts,Tools,andTechniques to Build Intelligent Systems,”1st Edition,by A.Geron,O’ReillyMedia,Inc.(2017);和Deep Learning by Goodfellow et al.,MIT Press(2016),通过引用将其全部内容并入本文。
深度学习模型—本文使用的深度学习模型是分类模型的形式。它也是机器学习模型的形式。可以以各种形式来实现它,诸如通过神经网络(例如,卷积神经网络)等来实现。通常,尽管不是必须的,它包括多层。每个这样的层包括多个处理节点,并且这些层按顺序处理,其中较接近模型输入层的层的节点在较接近模型输出的层的节点之前进行处理。在各种实施方案中,一层馈送给下一层,等等。输出层可以包括代表各种分类的节点。在某些实施方案中,深度学习模型是仅需很少预处理即可获取数据的模型。
在各种实施方案中,深度学习模型具有显著的深度(例如,五层或更多层或十层或更多层),并且可以对光学计量数据的大集合或异构集合进行分类。在某些情况下,术语“深度”表示模型具有两个以上(或三个以上、四个以上或五个以上)处理节点层,这些处理节点层从先前的层(或作为直接输入)接收值,并输出值到后续层(或最终输出)。内部节点通常被“隐藏”,意指在其输入和输出值在模型外部不可见。在各种实施方案中,在操作期间不监视或记录隐藏节点的操作。
无需重新设计深度学习模型的节点和连接的数量、布置、与图像输入的接口等,就可以对其进行训练和重新训练。
如所指示的,在各种实施方案中,尽管许多深度学习模型具有其他结构和格式,节点层可以共同形成神经网络。深度学习模型的一些实施方案不具有分层结构,在这种情况下,具有多个层的“深度”的上述表征不相关。
公开的计算实施方案的上下文
本文公开的一些实施方案涉及用于生成和/或使用本文公开的计量模型的系统。本文公开的一些实施方案涉及用于生成和/或使用在这样的系统上实现的模型的方法。可以将用于生成模型的系统配置为分析数据,以校准或优化用于表示衬底上半导体器件制造操作的作用的表达式或关系。用于使用模型的编程系统可以被配置为(i)接收诸如光学计量数据之类的输入,及(ii)执行确定衬底上的特征的表征的指令。用于使用模型的编程系统可以配置为(i)接收针对多个特征获得的光学计量数据,(ii)接收针对相同多个特征的SEM、TEM和/或CD-SAXS数据,及(iii)执行用于使用(i)和(ii)中的数据训练计量机器学习模型的指令。
具有多种计算机体系结构中的任何一种的许多类型的计算系统可以用作所公开的用于实现模型的系统,以及用于生成、使用和/或优化这种模型的算法。例如,系统可包含在一个或一个以上通用处理器或经特殊设计的处理器(例如,可编程逻辑设备(例如,现场可编程门阵列(FPGA))上执行的软件组件。此外,该系统可以在单个设备上实现或分布在多个设备上。计算元件的功能可以彼此合并或进一步分成多个子模块。
在一些实施方案中,在适当编程的系统上的模型的生成或执行期间执行的代码可以以软件元件的形式体现,该软件元件可以存储在非易失性存储介质(例如光盘、闪存设备、移动硬盘等),包括用于制造计算机设备(例如个人计算机、服务器、网络设备等)的多个指令。
在一个层次上,软件元素被实现为程序员/开发人员准备的命令集。但是,可以由计算机硬件执行的模块软件是使用从设计在硬件处理器中的特定机器语言指令集或“本机指令”中选择的“机器码”提交给存储器的可执行代码。机器语言指令集或本机指令集是硬件处理器已知的,并且基本上内置于硬件处理器。这是系统和应用软件与硬件处理器进行通信的“语言”。每个本机指令是处理体系结构可识别的离散代码,且离散代码可以针对算术、寻址或控制功能指定特定的寄存器;特定的存储位置或偏移量;及用于解释操作数的特定寻址模式。通过组合这些简单的本机指令可以建立更复杂的操作,这些指令可以依次执行,也可以由控制流指令执行。
可执行软件指令和硬件处理器之间的相互关系是结构性的。换言之,指令本身是一系列符号或数值。它们本质上不传达任何信息。正是处理器在设计上已预先配置为解释符号/数字值,从而赋予指令以含义。
本文中使用的模型可以被配置为在单个位置的单个机器上,在单个位置的多个机器上或在多个位置的多个机器上执行。当使用多台机器时,可以针对其特定任务定制各个机器。例如,可以在大型和/或固定机器上实现需要大代码块和/或大量处理能力的操作。
另外,一些实施方案涉及包括用于执行各种计算机实现的操作的程序指令和/或数据(包括数据结构)的有形的和/或非暂时性的计算机可读介质或计算机程序产品。计算机可读介质的示例包括但不限于半导体存储设备,相变设备,诸如磁盘驱动器、磁带之类的磁性介质,诸如CD之类的光学介质,磁光介质以及特别配置为存储和执行程序指令的硬件设备,例如只读存储设备(ROM)和随机存取存储器(RAM)。计算机可读介质可以由终端用户直接控制,或者介质可以由终端用户间接控制。直接控制的介质的示例包括位于用户设施处的介质和/或未与其他实体共享的介质。间接受控介质的示例包括用户可以通过外部网络和/或通过提供共享资源(例如“云”)的服务间接访问的介质。程序指令的示例包括机器代码(例如由编译器生成的机器代码)和包含可以由计算机使用解释器执行的更高级代码的文件。
在各种实施方案中,以电子格式提供在所公开的方法和装置中采用的数据或信息。这样的数据或信息可以包括设计布局、固定参数值、浮动参数值、特征外形、计量结果等。在某些情况下,以电子格式提供的指令集合定义了用于执行计量机器学习模型(例如神经网络)的算法。如本文所使用的,以电子格式提供的数据或其他信息可用于在机器上的存储以及机器之间的传输。常规上,电子格式的数据以数字形式提供,并且可以在各种数据结构、列表、数据库等中存储为位和/或字节。该数据可以以电子、光学等方式体现。
在一些实施方案中,模型可各自被视为与用户和系统软件接口的应用软件的形式。系统软件通常与计算机硬件和关联的存储器接口。在一些实施方案中,系统软件包括操作系统软件和/或固件,以及安装在系统中的任何中间件和驱动程序。系统软件提供了计算机的基本非任务特定功能。相反,模块和其他应用软件用于完成特定任务。模块的每个本机指令都存储在存储器设备中,并由数值表示。
图3中描绘了示例计算机系统800。如图所示,计算机系统800包括输入/输出子系统802,其可以根据应用实现用于与人类用户和/或其他计算机系统交互的界面。本发明的实施方案可以在系统800上的程序代码中实现,其中I/O子系统802用于从人类用户(例如,经由GUI或键盘)接收输入程序语句和/或数据,并将它们显示回给用户。I/O子系统802可以包括例如键盘、鼠标、图形用户界面、触摸屏或用于输入的其他界面,以及例如LED或其他平面显示器或用于输出的其他界面。本公开内容的实施方案的其他元件,例如用于解释计量数据的结果模型的训练系统,可以用与计算机系统800类似的计算机系统来实现,但是没有I/O。
程序代码可以被存储在诸如永久性存储器810或存储器808或两者的非暂时性介质中。一个或多个处理器804从一个或多个非暂时性介质读取程序代码并执行该代码以使计算机系统能够完成本文的实施方案所执行的方法,例如涉及如本文所述的生成或使用处理仿真模型的那些。本领域技术人员应理解,处理器可以接受源代码,例如用于执行训练和/或解释操作的语句,并将源代码解释或编译为在处理器的硬件门级可以理解的机器代码。总线耦合I/O子系统802、处理器804、外围设备806、存储器808和持久性存储器810。在一些实施方案中,至少一些计算机系统特征,例如处理器804、存储器808、存储器810和/或存储装置810在物理上与I/O子系统(如果有的话)不同的云或边缘网络中实现。在一些实施方案中,一些计算机系统特征,例如,处理器804、存储器808和/或存储器810,被分布在多个物理设备上。
计量工具实施方案的上下文
在各种实施方案中,计量系统包括光学计量工具和计量机器学习模型,例如使用本文所述的训练处理产生的模型。光学计量工具可以包括各种配置,但是通常包括光源和用于检测从被探测的衬底上的特征反射、透射和/或散射的光的检测器。光源可以是单色的或多色的。产生的波长可以在红外、可见、紫外或X射线范围内。它可以是非偏振的、线性偏振的、圆偏振的等。光学计量工具可以另外包括用于将光引导到衬底特征上和/或收集和/或直接与衬底特征相互作用的光的一个或多个光学元件。光学计量工具可以另外包括一个或多个处理器或其他处理元件以捕获和/或解释来自检测器的信号。
在一些实施方案中,光学计量工具包括计量/反射光谱发生器,其是诸如从荷兰Veldhoven的ASML Netherlands B.V.获得的YieldStar TM散射仪产品之类的工具的一部分。参见,例如Cramer et al.,“High-NA optical CD metrology on small in-celltargets enabling improved higher order dose control and process control forlogic,”Proceedings of SPIE,10145,Metrology,Inspection,and Process Control forMicrolithography XXXI,101451B(28March 2017),其全部内容通过引用合并于此。
图4示出了示例性计量系统401的框图。如图所示,该系统包括光学计量工具407,该光学计量工具407被配置为探测诸如具有特征405的衬底403之类的衬底。光学计量工具407从特征405收集光学信息并生成光学计量输出(例如,反射强度与波长或光学临界尺寸信息)。计量机器学习模型409接收光学计量输出,并生成有关特征的几何信息;例如,特征外形、CD、轮廓等。参见输出411。在一些实施方案中,机器学习模型409被实现为神经网络。
诸如计量系统401之类的系统可以使用直接从测试样品中获取的光学信息来确定特征的蚀刻外形,例如在OCD测量的情况下,然后通过本文所述的模型或关系来处理该数据。
结论
在描述中,阐述了许多具体细节以提供对所呈现的实现方式的透彻理解。可以在没有这些具体细节中的一些或所有的情况下实践所公开的实现方案。在其他情况下,没有详细描述众所周知的处理操作,以免不必要地使所公开的实现方案不清楚。尽管结合具体实现方案描述了所公开的实现方案,但是应当理解,其并不旨在限制所公开的实现方案。

Claims (24)

1.一种确定经处理的衬底上的一个或多个特征的外形、临界尺寸和/或轮廓的方法,该方法包括:
在经处理的所述衬底上的所述一个或多个特征上执行光学计量,以产生光学计量输出;
将所述光学计量输出提供给已使用以下训练集训练的计量机器学习模型:(i)多个特征的外形、临界尺寸和/或轮廓,及(ii)所述多个特征的光学计量输出;以及
从所述计量机器学习模型接收经处理的所述衬底上的所述一个或多个特征的外形、临界尺寸和/或轮廓。
2.根据权利要求1所述的方法,其还包括通过产生多个光学计量输出集来训练所述计量机器学习模型,每个光学计量输出集相对于光学计量装置针对测试特征的不同取向和/或位置而生成,以用于所述测试特征。
3.根据权利要求1或2所述的方法,其中,所述光学计量是散射测量技术。
4.根据前述权利要求中的任一项所述的方法,其中,所述光学计量输出包括反射光谱。
5.根据权利要求1-3中的任一项所述的方法,其中,所述光学计量输出包括椭圆偏振输出数据。
6.根据前述权利要求中的任一项所述的方法,其还包括:
在经处理的所述衬底上的所述一个或多个特征上执行图案识别;以及
确定所述一个或多个特征的图案与期望的图案相符。
7.根据前述权利要求中的任一项所述的方法,其中,在经处理的所述衬底上的所述一个或多个特征上执行光学计量包括在经处理的所述衬底上光栅扫描。
8.根据前述权利要求中任一项所述的方法,其中,所述训练集中的多个特征的所述外形、临界尺寸和/或轮廓使用电子显微镜技术获得。
9.根据权利要求1至7中任一项所述的方法,其中,使用CD-SAXS获得所述训练集中的多个特征的所述外形、临界尺寸和/或轮廓。
10.根据前述权利要求中的任一项所述的方法,其中,所述计量机器学习模型使用监督机器学习技术产生。
11.根据前述权利要求中的任一项所述的方法,其还包括分解所述光学计量输出以产生减少的光学计量值的集,以及将所述减少的所述光学计量值的集提供给所述计量机器学习模型。
12.根据权利要求11所述的方法,其中,分解所述光学计量输出包括:识别所述光学计量输出的主成分,或者将所述光学计量输出应用于自动编码器。
13.一种计量系统,其包括:
光学计量工具,其包括光学探针源和光学检测器以及处理器,所述处理器被配置为当光学探针被定向到经处理的衬底上的一个或多个特征上时根据由所述光学检测器产生的数据产生光学计量输出;以及
计量机器学习模型,其已使用以下训练集进行训练:(i)多个特征的外形、临界尺寸和/或轮廓,以及(ii)所述多个特征的光学计量输出,其中,所述计量机器学习模型被配置为:
接收来自所述光学计量工具的所述光学计量输出;以及
在经处理的所述衬底上输出所述一个或多个特征的外形、临界尺寸和/或轮廓。
14.根据权利要求13所述的计量系统,其中,使用多个光学计量输出集来训练所述计量机器学习模型,每个光学计量输出集相对于测试光学计量装置针对测试特征的不同取向和/或位置而生成。
15.根据权利要求13或14所述的计量系统,其中,所述光学计量工具是散射仪。
16.根据权利要求13至15中任一项所述的计量系统,其中,所述光学计量输出包括反射光谱。
17.根据权利要求13至15中任一项所述的计量系统,其中,所述光学计量输出包括椭圆偏振输出数据。
18.根据权利要求13至17中的任一项所述的计量系统,其还包括图案识别工具,所述图案识别工具包括相机和处理器,所述相机和处理器被配置为:
在经处理的所述衬底上的所述一个或多个特征上执行图案识别;以及
确定所述一个或多个特征的图案与期望的图案相符。
19.根据权利要求13至18中的任一项所述的计量系统,其中,所述光学计量工具被配置为在经处理的所述衬底上光栅扫描。
20.根据权利要求13至19中任一项所述的计量系统,其中,使用电子显微镜技术获得所述训练集中的多个特征的所述外形、临界尺寸和/或轮廓。
21.根据权利要求13至19中任一项所述的计量系统,其中,所述训练集中的多个特征的外形、临界尺寸和/或轮廓使用CD-SAXS获得。
22.根据权利要求13至21中任一项所述的计量系统,其中,所述计量机器学习模型使用监督机器学习技术生成。
23.根据权利要求13至22中的任一项所述的计量系统,其中,所述计量机器学习模型还被配置为:分解所述光学计量输出以产生减少的光学计量值的集,并将所述减少的光学计量值的集提供给所述计量机器学习模型。
24.根据权利要求23所述的计量系统,其中,所述计量机器学习模型被配置为:通过识别所述光学计量输出的主成分或通过将所述光学计量输出应用于自动编码器来分解所述光学计量输出。
CN201980025007.XA 2018-04-10 2019-04-10 机器学习中的光学计量以表征特征 Pending CN111971551A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862655728P 2018-04-10 2018-04-10
US62/655,728 2018-04-10
PCT/US2019/026851 WO2019200015A1 (en) 2018-04-10 2019-04-10 Optical metrology in machine learning to characterize features

Publications (1)

Publication Number Publication Date
CN111971551A true CN111971551A (zh) 2020-11-20

Family

ID=68163362

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980025007.XA Pending CN111971551A (zh) 2018-04-10 2019-04-10 机器学习中的光学计量以表征特征

Country Status (4)

Country Link
US (1) US11921433B2 (zh)
KR (1) KR20200130870A (zh)
CN (1) CN111971551A (zh)
WO (1) WO2019200015A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114654902A (zh) * 2020-12-23 2022-06-24 精工爱普生株式会社 利用机器学习模型来执行印刷介质的判别处理的方法以及系统

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
US20220082944A1 (en) * 2018-12-31 2022-03-17 Asml Netherlands B.V. Method for metrology optimization
WO2020121564A1 (ja) * 2019-07-04 2020-06-18 株式会社日立ハイテク 寸法計測装置、寸法計測プログラム及び半導体製造システム
CN114930117A (zh) * 2020-01-06 2022-08-19 诺威有限公司 结合物理建模与机器学习
IL295877B2 (en) * 2020-02-23 2024-01-01 נובה בע מ Measurement of uniformity of local critical dimensions of an array of two-dimensional structural units
US20220026817A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Determining substrate profile properties using machine learning
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
WO2022233546A1 (en) * 2021-05-06 2022-11-10 Asml Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
EP4086703A1 (en) * 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
KR20240016345A (ko) * 2021-06-01 2024-02-06 램 리써치 코포레이션 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직
US20230107097A1 (en) * 2021-10-06 2023-04-06 Fotonation Limited Method for identifying a gesture
EP4194951A1 (en) * 2021-12-13 2023-06-14 ASML Netherlands B.V. Identifying deviating modules from a reference population for machine diagnostics
WO2024049199A1 (ko) * 2022-08-31 2024-03-07 주식회사 엘지에너지솔루션 학습 모델 기반의 치수 측정 장치 및 방법
WO2024081764A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Determining substrate profile properties using machine learning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050192914A1 (en) * 2004-03-01 2005-09-01 Timbre Technologies, Inc. Selecting a profile model for use in optical metrology using a machine learining system
CN1799045A (zh) * 2003-06-27 2006-07-05 音质技术公司 使用机器学习系统的对在半导体晶片上形成的结构的光学计量
CN101359611A (zh) * 2007-07-30 2009-02-04 东京毅力科创株式会社 对光学计量系统的选定变量进行优化

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5114233A (en) 1990-10-09 1992-05-19 At&T Bell Laboratories Method for inspecting etched workpieces
US5421934A (en) 1993-03-26 1995-06-06 Matsushita Electric Industrial Co., Ltd. Dry-etching process simulator
US6151532A (en) 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
TW455973B (en) 1999-04-05 2001-09-21 Applied Materials Inc Endpoint detection in the fabrication of electronic devices
US6268226B1 (en) 1999-06-30 2001-07-31 International Business Machines Corporation Reactive ion etch loading measurement technique
EP1252652A1 (de) 2000-01-25 2002-10-30 Infineon Technologies AG Verfahren zur überwachung eines herstellungsprozesses
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US20030113766A1 (en) 2000-10-30 2003-06-19 Sru Biosystems, Llc Amine activated colorimetric resonant biosensor
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6650423B1 (en) 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry
JP2003092237A (ja) 2001-07-12 2003-03-28 Toshiba Corp 危険プロセス/パターン検出システム、危険プロセス/パターン検出方法、危険検出プログラム、及び半導体装置の製造方法
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US6753115B2 (en) 2001-12-20 2004-06-22 Numerical Technologies, Inc. Facilitating minimum spacing and/or width control optical proximity correction
US6954911B2 (en) 2002-05-01 2005-10-11 Synopsys, Inc. Method and system for simulating resist and etch edges
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US6973633B2 (en) 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US7402257B1 (en) 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US7504182B2 (en) 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
US7001529B2 (en) 2002-10-18 2006-02-21 Lam Research Corporation Pre-endpoint techniques in photoresist etching
US6869739B1 (en) 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
JP4068986B2 (ja) 2003-02-19 2008-03-26 株式会社日立ハイテクノロジーズ 試料のドライエッチング方法及びドライエッチング装置
US6765651B1 (en) 2003-03-11 2004-07-20 Peter J. Fiekowsky Fast image simulation for photolithography
US20050074907A1 (en) 2003-10-06 2005-04-07 Adrian Kriz Semi-conductor wafer fabrication
JP2005277361A (ja) 2004-02-25 2005-10-06 Toshiba Corp プロセス装置の制御システムおよび制御方法
TWI284788B (en) 2004-06-11 2007-08-01 Innolux Display Corp Simulation method and device of exposure system
JP5112624B2 (ja) 2004-09-01 2013-01-09 ラム リサーチ コーポレーション プロセスチャンバ及びプラズマチャンバを操作する方法
US7171284B2 (en) 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7739651B2 (en) 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7253008B2 (en) 2004-12-28 2007-08-07 Sandia Corporation Reactive ion etched substrates and methods of making and using
US7494753B2 (en) 2005-01-28 2009-02-24 Asml Masktools B.V. Method, program product and apparatus for improving calibration of resist models used in critical dimension calculation
US7442649B2 (en) 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7349066B2 (en) 2005-05-05 2008-03-25 Asml Masktools B.V. Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
US7539969B2 (en) 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US20090126589A1 (en) 2005-06-08 2009-05-21 Ian Andrew Maxwell Patterning process
US7588946B2 (en) 2005-07-25 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling system for gate formation of semiconductor devices
EP1920369A2 (en) 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
CN1940715A (zh) 2005-09-27 2007-04-04 力晶半导体股份有限公司 光掩模图案的校正方法及其形成方法
DE602005021106D1 (de) 2005-10-03 2010-06-17 Imec Alternierende Phasenmaske
US7600212B2 (en) 2005-10-03 2009-10-06 Cadence Design Systems, Inc. Method of compensating photomask data for the effects of etch and lithography processes
US7921383B1 (en) 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
JP2007219208A (ja) 2006-02-17 2007-08-30 Sony Corp パターン補正装置、パターン補正プログラム、パターン補正方法および半導体装置の製造方法
US20070249071A1 (en) 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US7525673B2 (en) 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7849423B1 (en) 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
JP5080775B2 (ja) 2006-10-03 2012-11-21 東京エレクトロン株式会社 処理終点検出方法及び処理終点検出装置
US20080078948A1 (en) 2006-10-03 2008-04-03 Tokyo Electron Limited Processing termination detection method and apparatus
US7949618B2 (en) * 2007-03-28 2011-05-24 Tokyo Electron Limited Training a machine learning system to determine photoresist parameters
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
JP5036450B2 (ja) 2007-08-16 2012-09-26 株式会社東芝 シミュレーション方法およびシミュレーションプログラム
US7812966B2 (en) 2007-08-30 2010-10-12 Infineon Technologies Ag Method of determining the depth profile of a surface structure and system for determining the depth profile of a surface structure
KR101374932B1 (ko) 2007-09-28 2014-03-17 재단법인서울대학교산학협력재단 확산 제한 식각과정에 의한 수평 변환 다공성 실리콘 광학필터의 제조방법 및 그에 의한 필터구조
WO2009105138A2 (en) 2007-11-30 2009-08-27 Coventor, Inc. A system and method for three-dimensional schematic capture and result visualization of multi-physics system models
JP5050830B2 (ja) 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
US7967995B2 (en) 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
DE102008019341B4 (de) 2008-04-15 2020-09-24 Carl Zeiss Smt Gmbh Verfahren zur Analyse von Masken für die Photolithographie
KR101749987B1 (ko) 2008-06-03 2017-06-22 에이에스엠엘 네델란즈 비.브이. 모델-기반 공정 시뮬레이션 시스템들 및 방법들
JP5341399B2 (ja) 2008-06-03 2013-11-13 ルネサスエレクトロニクス株式会社 パターン検証方法、パターン検証装置、プログラム、及び半導体装置の製造方法
JP5027753B2 (ja) 2008-07-30 2012-09-19 東京エレクトロン株式会社 基板処理制御方法及び記憶媒体
US20100269084A1 (en) 2008-11-24 2010-10-21 Yuri Granik Visibility and Transport Kernels for Variable Etch Bias Modeling of Optical Lithography
US8649016B2 (en) 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8279409B1 (en) 2009-08-05 2012-10-02 Cadence Design Systems, Inc. System and method for calibrating a lithography model
US9087739B2 (en) 2009-10-19 2015-07-21 International Business Machines Corporation Pattern improvement in multiprocess patterning
JP5805658B2 (ja) 2009-12-22 2015-11-04 ビュー, インコーポレイテッド 無線方式で電力供給されるエレクトロクロミックウィンドウ
EP2549523A4 (en) 2010-03-16 2016-03-30 Mizuho Information & Res Inst SYSTEM, METHOD AND PROGRAM FOR PREDICTING A FINISHED FORM RESULTING FROM PLASMA PROCESSING
SG184809A1 (en) 2010-04-28 2012-11-29 Semiconductor Energy Lab Semiconductor display device and driving method the same
EP2583056B1 (en) 2010-06-17 2018-12-12 Nova Measuring Instruments Ltd Method and system for optimizing optical inspection of patterned structures
US8494314B2 (en) 2010-07-05 2013-07-23 Infinera Corporation Fabrication tolerant polarization converter
US8666703B2 (en) 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
CN101976045B (zh) 2010-08-25 2012-05-23 江苏大学 用于tft-lcd蚀刻制程的面板品质虚拟量测方法与系统
US20120228125A1 (en) 2010-09-21 2012-09-13 Liqi Wu Creation of magnetic field (vector potential) well for improved plasma deposition and resputtering uniformity
US8359562B2 (en) 2011-01-11 2013-01-22 Infineon Technologies Ag System and method for semiconductor device fabrication using modeling
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
JP5685762B2 (ja) 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US20120280354A1 (en) 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
TWI825537B (zh) 2011-08-01 2023-12-11 以色列商諾威股份有限公司 光學測量系統
US9887071B2 (en) 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
US9419146B2 (en) 2012-01-26 2016-08-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
CN104583712B (zh) 2012-08-15 2017-12-01 诺威量测设备股份有限公司 用于原位测量的光学计量
US9330990B2 (en) 2012-10-17 2016-05-03 Tokyo Electron Limited Method of endpoint detection of plasma etching process using multivariate analysis
US20140123084A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Improving a Lithography Simulation Model
CN104936623A (zh) 2013-01-24 2015-09-23 泰勒顿国际控股公司 神经元成像与治疗
TWI512389B (zh) 2013-02-22 2015-12-11 Globalfoundries Us Inc 定向自組裝製程/鄰近校正之方法
TWI621957B (zh) 2013-03-14 2018-04-21 新納普系統股份有限公司 使用點擊最佳化的次解析度輔助特徵實現方式
US9965577B2 (en) 2013-03-14 2018-05-08 Coventor, Inc. System and method for performing directed self-assembly in a 3-D virtual fabrication environment
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9412673B2 (en) 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
TWI528201B (zh) 2013-08-28 2016-04-01 旺宏電子股份有限公司 進階修正方法
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
TWI668725B (zh) 2013-10-01 2019-08-11 美商蘭姆研究公司 使用模型化、回授及阻抗匹配之蝕刻速率的控制
KR102124111B1 (ko) 2013-10-02 2020-06-18 에이에스엠엘 네델란즈 비.브이. 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
US10895810B2 (en) * 2013-11-15 2021-01-19 Kla Corporation Automatic selection of sample values for optical metrology
JP6177671B2 (ja) 2013-11-25 2017-08-09 ソニーセミコンダクタソリューションズ株式会社 シミュレーション方法、シミュレーションプログラムおよびシミュレータ
JP6173889B2 (ja) 2013-11-28 2017-08-02 ソニーセミコンダクタソリューションズ株式会社 シミュレーション方法、シミュレーションプログラム、加工制御システム、シミュレータ、プロセス設計方法およびマスク設計方法
JP6318007B2 (ja) 2013-11-29 2018-04-25 株式会社日立ハイテクノロジーズ データ処理方法、データ処理装置および処理装置
KR101924487B1 (ko) 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
WO2015112979A1 (en) 2014-01-26 2015-07-30 Coventor, Inc. Modeling pattern dependent effects for a 3-d virtual semiconductor fabrication environment
JP6491677B2 (ja) 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
CN105225979A (zh) 2014-06-19 2016-01-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件制程预测系统和方法
KR101939313B1 (ko) 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
EP2980646B1 (en) 2014-07-30 2020-09-02 GenISys GmbH Process artefact compensation upon transfer of a mask layout onto a mask substrate
WO2016028334A1 (en) 2014-08-19 2016-02-25 Intel Corporation Cross scan proximity correction with ebeam universal cutter
TWI686874B (zh) 2014-12-26 2020-03-01 日商半導體能源研究所股份有限公司 半導體裝置、顯示裝置、顯示模組、電子裝置、氧化物及氧化物的製造方法
SG10201408775SA (en) 2014-12-29 2016-07-28 Globalfoundries Sg Pte Ltd Etch bias control
WO2016162157A1 (en) 2015-04-07 2016-10-13 Asml Netherlands B.V. Patterning devices for use within a lithographic apparatus, methods of making and using such patterning devices
US9646127B2 (en) 2015-05-14 2017-05-09 Synopsys, Inc. 3D resist profile aware etch-bias model
US9910348B2 (en) 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
US10311200B2 (en) 2015-08-03 2019-06-04 Synopsys, Inc. Pre-silicon design rule evaluation
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
KR20170047101A (ko) 2015-10-22 2017-05-04 삼성전자주식회사 Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
US10599789B2 (en) 2015-11-25 2020-03-24 Synopsys, Inc. Topography simulation of etching and/or deposition on a physical structure
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US10663870B2 (en) 2015-12-18 2020-05-26 Asml Netherlands B.V. Gauge pattern selection
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
TWI631415B (zh) 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
US10712672B2 (en) 2016-07-22 2020-07-14 Asml Netherlands B.V. Method of predicting patterning defects caused by overlay error
EP3291007A1 (en) 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10262910B2 (en) 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
US20180239851A1 (en) 2017-02-21 2018-08-23 Asml Netherlands B.V. Apparatus and method for inferring parameters of a model of a measurement structure for a patterning process
US20180284597A1 (en) 2017-03-31 2018-10-04 Globalfoundries Inc. Etch kernel definition for etch modeling
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US20190049937A1 (en) 2017-08-09 2019-02-14 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
KR102446690B1 (ko) 2017-12-22 2022-09-23 에이에스엠엘 네델란즈 비.브이. 광학 수차를 포함하는 패터닝 공정 개선
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
KR102499656B1 (ko) 2018-02-23 2023-02-14 에이에스엠엘 네델란즈 비.브이. 패턴의 시맨틱 분할을 위한 딥 러닝
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
CN112543892A (zh) 2018-07-26 2021-03-23 Asml荷兰有限公司 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1799045A (zh) * 2003-06-27 2006-07-05 音质技术公司 使用机器学习系统的对在半导体晶片上形成的结构的光学计量
US20050192914A1 (en) * 2004-03-01 2005-09-01 Timbre Technologies, Inc. Selecting a profile model for use in optical metrology using a machine learining system
CN101359611A (zh) * 2007-07-30 2009-02-04 东京毅力科创株式会社 对光学计量系统的选定变量进行优化

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114654902A (zh) * 2020-12-23 2022-06-24 精工爱普生株式会社 利用机器学习模型来执行印刷介质的判别处理的方法以及系统
CN114654902B (zh) * 2020-12-23 2023-06-02 精工爱普生株式会社 利用机器学习模型来执行印刷介质的判别处理的方法以及系统

Also Published As

Publication number Publication date
WO2019200015A1 (en) 2019-10-17
KR20200130870A (ko) 2020-11-20
US20210035833A1 (en) 2021-02-04
US11921433B2 (en) 2024-03-05

Similar Documents

Publication Publication Date Title
US11921433B2 (en) Optical metrology in machine learning to characterize features
JP7071562B2 (ja) 画像を用いたモデル依拠計量システム及び方法
US10612916B2 (en) Measurement of multiple patterning parameters
JP6688294B2 (ja) 画像ベースの測定および散乱測定ベースのオーバーレイ測定のための信号応答計測
EP2979297B1 (en) Statistical model-based metrology
KR101059427B1 (ko) 기계학습시스템을 이용한 반도체 웨이퍼 상에 형성된구조물의 광학적 계측
TW201921542A (zh) 識別在一晶圓上偵測到之缺陷中之損害及所關注缺陷
WO2018071716A1 (en) Metrology systems and methods for process control
WO2016154352A1 (en) Model-based single parameter measurement
JP2018524821A (ja) モデルベースのホットスポットモニタリング
CN112136135A (zh) 使用关键尺寸扫描型电子显微镜的工艺仿真模型校正
US20210343001A1 (en) Training a machine learning model to generate higher resolution images from inspection images
CN114096832A (zh) 用于选择用于样本检验的缺陷检测方法的系统和方法
TW202141210A (zh) 使用檢測工具以判定用於樣本之類計量(metrology-like)之資訊
US20230063102A1 (en) Methods And Systems For Selecting Wafer Locations To Characterize Cross-Wafer Variations Based On High-Throughput Measurement Signals
US20240062356A1 (en) Data-driven prediction and identification of failure modes based on wafer-level analysis and root cause analysis for semiconductor processing
US20240027374A1 (en) Analysis of X-ray Scatterometry Data using Deep Learning
TW202334642A (zh) 基於掃描條件模型之半導體外形測量
WO2023195015A1 (en) Full-wafer metrology up-sampling
WO2023140957A1 (en) Methods and systems for targeted monitoring of semiconductor measurement quality

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination