JP2018524821A - モデルベースのホットスポットモニタリング - Google Patents

モデルベースのホットスポットモニタリング Download PDF

Info

Publication number
JP2018524821A
JP2018524821A JP2018510698A JP2018510698A JP2018524821A JP 2018524821 A JP2018524821 A JP 2018524821A JP 2018510698 A JP2018510698 A JP 2018510698A JP 2018510698 A JP2018510698 A JP 2018510698A JP 2018524821 A JP2018524821 A JP 2018524821A
Authority
JP
Japan
Prior art keywords
measurement
hot spot
model
wafer
targets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018510698A
Other languages
English (en)
Other versions
JP6783298B2 (ja
Inventor
スティリアン パンデブ
スティリアン パンデブ
サンジャイ カパシ
サンジャイ カパシ
マーク ディー スミス
マーク ディー スミス
アディ レビイ
アディ レビイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2018524821A publication Critical patent/JP2018524821A/ja
Application granted granted Critical
Publication of JP6783298B2 publication Critical patent/JP6783298B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本明細書において、半導体ウェハ上の異なる位置に製造された1セットのホットスポット構造を特徴付けるパラメータをモニタするための方法およびシステムが提示される。ホットスポット構造は、プロセスばらつきに対する感応性を示して、デバイス故障と低歩留まりを防止するために実施されなければならない許容プロセスばらつきへの制約を発生させるデバイス構造である。トレーニングされたホットスポット測定モデルは、1つ以上の計測ターゲットで1つ以上の計測システムによって生成された測定データを受け取って、1つ以上のホットスポットパラメータの値を直接決定するために用いられる。考慮対象のホットスポット構造の1つ以上の特徴と、同じウェハ上の少なくとも1つの計測ターゲットの測定に関連する対応する測定データとの間の機能的関係を定立するために、ホットスポット測定モデルがトレーニングされる。製造プロセスパラメータは、測定されたホットスポットパラメータの値に基づいて調整される。

Description

記載される実施形態は計測システムおよび方法に関し、より詳細には、半導体構造の改良された測定の方法およびシステムに関する。
関連技術の相互参照
本特許出願は、2015年5月8日に出願された、「モデルベースのホットスポットモニタリング」と題された、米国仮特許出願第62/158,618号の優先権を米国特許法第119条の下で主張し、該出願の内容を参照により本明細書に援用する。
ロジックおよびメモリデバイス等の半導体デバイスは典型的に、試料に適用される一連のプロセスステップによって製造される。半導体デバイスの種々の特徴および多数の構造レベルはこれらのプロセスステップによって形成される。例えば、とりわけリソグラフィーは、半導体ウェハ上にパターンを生成することを含む1つの半導体製造プロセスである。半導体製造プロセスの付加的な例は、限定はしないが、化学機械研磨(CMP)、エッチング、堆積およびイオン注入を含む。単一の半導体ウェハ上に多数の半導体デバイスが製造されて、次に個々の半導体デバイスに分離されてもよい。
半導体ウェハ上に製造される構造のジオメトリは、リソグラフィーの露光中のプロセス条件に依存する。フォーカス、ドーズ量、およびスキャナ収差等のプロセスパラメータは、製造される構造のタイプに依存して、生じた構造の形状に異なる影響を与える。例えば、比較的隔離された構造はフォーカス変化に対してより敏感であるのに対し、比較的密な構造はドーズ量の変化に対してより敏感である。デバイスの機能性と製造歩留まりは、パターニングステップ、例えば、リソグラフィー、堆積、エッチング等によって形成された構造の質によって制約される。
より高い歩留まりを促進するため、ウェハ上の欠陥を検出するために、半導体製造プロセス中の種々のステップで計測プロセスが用いられる。光学的計測技法は、試料破壊のリスクを伴わずに高いスループットの可能性を提供する。光波散乱計測および反射光計測実装を含む技法に基づくいくつかの光学的計測ならびに関連する分析アルゴリズムが、ナノスケール構造のクリティカルディメンション、膜厚、組成、オーバーレイおよびその他のパラメータを特徴付けるために一般的に用いられる。
既存のモデルベースの計測方法は一般的に構造パラメータをモデル化して測定する一連のステップを含む。一般的に、特定の計測ターゲットから測定データが収集される(例えばDOEスペクトル)。光学系、分散パラメータおよび形状特徴の正確なモデルが定式化される。材料の分散を確定するために、膜スペクトル測定値が収集される。ターゲット構造のパラメータ形状モデルが、光学モデルとともに作成される。さらに、過度に大きな誤差を引き起こすことを避けるために、シミュレーション近似(例えば、スラブ、厳密結合波解析(RCWA)等)が慎重に実行されなければならない。離散化とRCWAパラメータが規定される。一連のシミュレーション、解析および回帰が実行されて形状モデルを微調整し、どのモデルパラメータを浮動化するかを決定する。合成スペクトルのライブラリが生成される。最後に、測定ライブラリと形状モデルを用いて測定が実行される。各ステップは誤差を引き起こし、大量の計数時間およびユーザの時間を消費する。一般的に、モデル構築タスクは、完了までに数日またはさらに数週間を要する。さらに、ライブリのサイズと、測定中に回帰計算を実行することに係る計算時間は、測定システムのスループットを減少させる。
さらに、従来型計測技法は、専用計測構造またはターゲットに依存する。半導体製造において、また、特にパターニングプロセスにおいて、プロセス制御は特定の専用構造に計測を実行することによって可能となる。これらの専用構造は、ダイ間のスクライブラインに配置されてもよく、またはダイ自体に配置されてもよい。専用計測構造を用いることは、甚大な測定誤差を引き起こし得る。
米国特許出願公開第2015/0120220号 米国特許出願公開第2011/0251713号
実際のデバイス構造と専用計測ターゲットの間の乖離は、ダイ内の実際のデバイスフィーチャの状態を正しく反映する計測データの能力を制限する。一例では、乖離は、専用計測ターゲットと実際のデバイス構造が併置されていない故の、プロセスローディング、パターン密度または収差フィールドにおける位置依存性差異によって起こる。別例では、専用計測構造と実際のデバイス構造の特徴的なフィーチャサイズは多くの場合非常に異なっている。したがって、専用計測ターゲットと実際のデバイス構造が非常に近接していたとしても、サイズの違いから乖離が発生する。
いくつかの例では、ホットスポットモニタリングのためにCD−SEMが用いられるが、CD−SEMは低いスループットと不十分な精度という不都合があり、それが、CD−SEMをインライン、高スループット計測向けには不適切にしている。さらに、CD−SEMは測定中のパターンを変形させることが多く、したがって、デバイス構造の測定に適していない。
さらなる計測用途は、小解像度要求の加速と、ますます複雑化する形状構造によって課題を呈する。製造プロセスの早期段階で欠陥を特定するために、製造中の多数の異なるタイプの構造の形状の改良されたモニタリングのための方法およびシステムが望まれる。
本明細書において、半導体ウェハ上の異なる位置で製造された1セットのホットスポット構造を特徴付けるパラメータをモニタリングする方法およびシステムが提示される。ホットスポット構造は、プロセスばらつきに対する感応性を示して、デバイス故障と低歩留まりを防止するために実施されなければならない許容プロセスばらつきへの制約を発生させるデバイス構造である。ホットスポット構造を特徴付けるパラメータの値は、同じウェハ上に、ホットスポット構造とトレーニングされたホットスポット測定モデルのセットとして製造された計測ターゲットから収集された測定データに基づいて概算される。
一態様では、未知のパラメータを有するホットスポット構造の測定モデルとして、トレーニングされたホットスポット測定モデルが用いられる。ホットスポット測定モデルは、1つ以上の計測ターゲットで1つ以上の計測システムによって生成される測定データ(例えば、測定されたスペクトル)を受け取り、ホットスポットデバイス構造の1つ以上の注目パラメータを直接決定するように構成される。こうして、測定によって特徴付けられる各構造(すなわち、各ホットスポット構造)は、高スループット計測技法による測定を受ける1つ以上の計測ターゲットとは異なる。ホットスポット構造を特徴付けるパラメータの例は、限定はしないが、クリティカルディメンション(CD)、高さ、側壁角、オーバーレイ等を含む。一般に、ホットスポット構造は、イメージ特徴、種々の形状特徴、パターン等を含む任意の適切な方式で特徴付けられてもよい。
高スループット、インライン計測技法(例えば、光学的光波散乱計測、イメージングまたはその他の技法)が、測定対象のウェハ上に配置された計測ターゲットを測定するために用いられる。高スループット、インライン計測技法は一般的に、ホットスポット構造のセットを特徴付けるパラメータに対する測定感度を欠くが、計測ターゲットの構造における変化によって誘起されたプロセスに対しては十分な感度を有する。こうして、高スループット計測技法によって近接した計測ターゲットら収集された測定データを、ホットスポット構造の注目パラメータ(parameters of interest)に関連付けるトレーニングされたホットスポット測定モデルを用いて、それ以外では測定不能である注目パラメータのインライン計測を提供する。
別の態様では、ホットスポット測定モデルをトレーニングして、検討対象のホットスポット構造の1つ以上の特徴と、同じウェハ上の少なくとも1つの計測ターゲットの測定に関連する対応する測定データとの間の機能的関係を定立する。未加工の測定データ(すなわち、測定システムによって直接生成された測定データ)を用いて、本明細書に説明するように測定モデルを生成することによって、従来型のモデルベースの計測方法に関る誤差と近似が低減される。さらに、測定モデルは、収集された測定データに基づいてトレーニングされる、または、特定の計測システムからシミュレートされて、同じ計測システムから収集された測定データに基づいて測定を実行するために用いられるため、系統的誤差、非対称性等に対する感度が低い。
本明細書で説明するトレーニングされたホットスポット測定モデルは、測定データを入力として直接受け取り、注目パラメータの値を出力として供給する。測定プロセスを合理化することによって、予測結果が改善され、それとともに計算時間およびユーザの時間消費が減る。いくつかの例では、ホットスポット測定モデルは一時間未満で作成され得る。さらに、簡略化されたモデルを用いることで、既存の計測方法に比べて測定時間が低減される。
ホットスポット測定モデルのトレーニングは、プロセスパラメータ(例えば、リソグラフィフォーカス、露光、収差パラメータ、オーバーレイオフセット、エッチング時間、温度、圧力およびその他のローカルまたはグローバルパラメータ)の制御型DOE(実験計画、Design of Experiments)のばらつきに基づいて実行される。
さらなる態様では、同じ計測ターゲットからの同じ測定信号を用いて、その他のホットスポット注目パラメータを測定するための異なるホットスポット測定モデルを作成できる。ホットスポット測定モデルは、本明細書で説明されるように、計測ターゲットからシミュレートもしくは測定された測定データまたは一定範囲のプロセスばらつきを含むシミュレートされ測定されたホットスポットパラメータに基づいてトレーニングされる。各ホットスポット注目パラメータに対してホットスポット測定モデルがトレーニングされると、1つ以上の計測ターゲットの測定値は、各注目パラメータの値を決定するためのホットスポット測定モデルへの入力を提供する。
別のさらなる態様では、多数の計測技法によってそれぞれ測定された多数のターゲットからの信号が、ホットスポット測定モデルをトレーニングして用いるために採用される信号のセット内の情報コンテンツを増加させる。計測ターゲットと計測技法の多様性は、プロセスまたはその他のパラメータばらつきへの相関性を減少する。
上記は概要であり、そのため、必然的に、簡略化、一般化および詳細の省略を含み、よって、当業者ならば、概要は例示的に過ぎず、如何なる意味でも限定するものではないことを理解するであろう。本明細書で説明するデバイスおよび/またはプロセスのその他の態様、発明的特徴および利点は、本明細書に規定する非限定的な詳細な説明で明らかとなろう。
図2に描写する方法110と図3に描写する方法100を実施するのに適した計測システム300を描写する図である。 トレーニングされたホットスポット測定モデルに基づいて、近接した計測ターゲットの測定に基づくホットスポット構造を測定する方法110を示す図である。 近接した計測ターゲットの測定に基づいて、ホットスポット構造を測定するのに適したホットスポット測定モデルをトレーニングする方法100を示す図である。 DOEトレーニングセットに表された各ドーズ量に対するCDの値を表す典型的なデータポイント151を示す図150を描写する図である。 一実施形態における、リソグラフィフォーカスおよびドーズ量の既知のばらつきを提示するターゲットのグリッドを有するDOEウェハ160を示す図である。
ここで、その例が添付図面に示されている、本発明の背景となる例といくつかの実施形態に詳細に言及する。
本明細書において、半導体ウェハ上の異なる位置に製造された1セットのホットスポット構造を特徴付けるパラメータをモニタするための方法およびシステムが提示される。ホットスポット構造は、プロセスばらつきに対する感応性を示して、デバイス故障と低歩留まりを防止するために実施されなければならない許容プロセスばらつきへの制約を発生させるデバイス構造である。ホットスポット構造を特徴付けるパラメータの値は、同じウェハ上に、ホットスポット構造とトレーニングされたホットスポット測定モデルのセットとして製造された計測ターゲットから収集された測定データに基づいて概算される。
高スループット、インライン計測技法(例えば、光学的光波散乱計測、イメージングまたはその他の技法)が、測定対象のウェハ上に配置された計測ターゲットを測定するために用いられる。高スループット、インライン計測技法は一般的に、ホットスポット構造のセットを特徴付けるパラメータに対する測定感度を欠くが、計測ターゲットの構造における変化によって誘起されたプロセスに対しては十分な感度を有する。こうして、高スループット計測技法によって近接した計測ターゲットら収集された測定データを、ホットスポット構造の注目パラメータに関連付けるトレーニングされたホットスポット測定モデルを用いて、注目パラメータのインライン計測を提供する。
図1は、本明細書で提示する典型的な方法に従って試料の特徴を測定するシステム300を示す。図1に示すように、システム300は、試料301の1つ以上の構造の分光偏光解析法測定を実行するために用いられてもよい。この態様では、システム300は、発光体302と分光計304が備わった分光エリプソメータを含んでもよい。システム300の発光体302は、選択された波長範囲(例えば100−2500nm)の直接照明を生成して、試料301の表面に配置された構造に指向させるように構成される。次に、分光計304は、発光体302から供給された照明に応答して、試料301の表面から放射を収集するように構成される。発光体302から出る光は、偏光状態発生器307を用いて偏光されて、偏光された照明ビーム306を生成することにも注目すべきである。試料301上に配置された構造から収集された放射線は、偏光状態アナライザ309を通って分光計304まで通過する。分光計304によって受け取られた収集ビーム308内の放射線は、偏光状態に関して解析されて、アナライザによって伝えられた放射線の分光計によるスペクトル解析を可能にする。これらのスペクトル311は、構造の解析のためにコンピューティングシステム330に伝えられる。
図1に描写するように、システム300は単一の測定技術(すなわち、SE)を含む。しかしながら、一般に、システム300は任意の個数の異なる測定技術を含んでもよい。非限定的な例として、システム300は、分光エリプソメータ(ミュラー行列偏光解析法を含む)、分光反射率計、分光散乱計、オーバーレイ散乱計、角度分解ビームプロファイル反射率計、偏光分解ビームプロファイル反射率計、ビームプロファイル反射率計、ビームプロファイルエリプソメータ、任意の単波長または多波長エリプソメータまたはそれらの任意の組み合わせとして構成されてもよい。さらに、一般に、異なる測定技術によって収集されて、本明細書で説明する方法に従って解析された測定データは、多数の技術を統合した1つのツールというよりは、多数のツールから収集されてもよい。
別の実施形態では、システム300は、実際のデバイス構造の測定を、本明細書で説明する方法に従って開発された測定モデルに基づいて実行するために用いられる1つ以上のコンピューティングシステム330を含んでもよい。この1つ以上のコンピューティングシステム330は、分光計304と連通して結合されていてもよい。一態様では、1つ以上のコンピューティングシステム330は、試料301の構造の測定に関連する測定データ311を受け取るように構成される。
本開示を通して説明される種々のステップは、単一のコンピュータシステム330によって実行されてもよいし、または、多数のコンピュータシステム330によって実行されてもよいことを理解すべきである。さらに、システム300の異なるサブシステム、例えば、分光エリプソメータ304は、本明細書で説明するステップの少なくとも一部を実行するのに適したコンピュータシステムを含んでもよい。したがって、上記の説明は、本発明に対する限定事項ではなく、単なる例示として解釈されるべきである。さらに、1つ以上のコンピューティングシステム330は、本明細書で説明する方法の実施形態のうちいずれかの、任意のその他のステップ(複数の場合あり)を実行するように構成されてもよい。
さらに、コンピュータシステム330は、当技術分野で公知の任意の方式で分光計304に連通して結合されてもよい。例えば、1つ以上のコンピューティングシステム330は、分光計304に関連するコンピューティングシステムに結合されてもよい。別例では、分光計304は、コンピュータシステム330に結合された単一のコンピュータシステムによって直接制御されてもよい。
計測システム300のコンピュータシステム330は、ワイヤラインおよび/またはワイヤレス部分を含み得る伝送媒体によってシステムのサブシステム(例えば分光計304等)からデータまたは情報を受信および/または取得するように構成されてもよい。このように、伝送媒体は、コンピュータシステム330と、システム300のその他のサブシステムの間のデータリンクとして働き得る。
計測システム300のコンピュータシステム330は、データまたは情報(例えば、測定結果、モデル化入力、モデル化結果、基準測定結果等)を、その他のシステムから、ワイヤラインおよび/またはワイヤレス部分を含み得る伝送媒体によって受信および/または取得するように構成されてもよい。こうして、伝送媒体は、コンピュータシステム330とその他のシステム(例えば、メモリオンボード計測システム300、外部メモリ、基準測定源320またはその他の外部システム)の間のデータリンクとして働き得る。例えば、コンピューティングシステム330は、データリンクを介して記憶媒体(すなわち、メモリ332または外部メモリ)から測定データを受信するように構成されてもよい。例えば、分光計304を用いて得られたスペクトル結果は、永久または半永久メモリデバイス(例えば、メモリ332または外部メモリ)に記憶されてもよい。これに関して、スペクトル結果は、オンボードメモリから、または外部メモリシステムからインポートされてもよい。別例では、コンピューティングシステム330は、基準測定源(例えば、記憶媒体)から、データリンクを介して基準測定データ321を受け取るように構成されてもよい。例えば、基準測定システムを用いて取得された基準測定結果は、永久または半永久メモリデバイスに記憶されてもよい。これに関して、基準測定結果は、オンボードメモリから、または外部メモリシステムからインポートされてもよい。さらに、コンピュータシステム330は、データを他のシステムに伝送媒体を介して送信してもよい。例えば、コンピュータシステム330によって決定された測定モデルまたはホットスポットパラメータ値340は、外部メモリに通信されて記憶されてもよい。別例では、コンピュータシステム330によって決定されたホットスポットパラメータ値340は、プロセスツールに通信されて、それにより、プロセスツールに、半導体ウェハ製造シーケンスの製造プロセスステップと関連するプロセスパラメータを、ホットスポット構造を特徴付ける少なくとも1つのパラメータの値に基づいて調整させる。これに関して、測定結果は別のシステムにエクスポートされてもよい。
コンピューティングシステム330は、限定はしないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、パラレルプロセッサまたは、当技術分野で公知の任意のその他のデバイスを含み得る。一般に、「コンピューティングシステム」という用語は、メモリ媒体からの命令を実行する1つ以上のプロセッサを有する任意のデバイスを包含するように広範に定義され得る。
本明細書で説明するような方法を実行するプログラム命令334は、ワイヤ、ケーブルまたはワイヤレス伝送リンク等の伝送媒体を介して伝送されてもよい。
例えば、図1に示すように、メモリ332に記憶されたプログラム命令334は、プロセッサ331にバス333を介して伝送されてもよい。プログラム命令334は、コンピュータ可読媒体(例えばメモリ332)に記憶される。典型的なコンピュータ可読媒体は、リードオンリーメモリ、ランダムアクセスメモリ、磁気もしくは光学ディスクまたは磁気テープを含む。
いくつかの例では、本明細書で説明するモデル構築、トレーニングおよび測定方法は、米国カリフォルニア州ミルピタスのKLA−Tencor Corporationから入手可能なSpectraShape(登録商標)光学クリティカルディメンション計測システムの素子として実装される。こうして、モデルが作成されてシステムによってトレーニングされる。
いくつかの別の例では、本明細書で説明するモデル構築およびトレーニング方法は、例えば、米国カリフォルニア州ミルピタスのKLA−Tencor Corporationから入手可能なAcuShape(登録商標)ソフトウェアを実装するコンピューティングシステムでオフラインで実装されてもよい。結果として得られたトレーニングされたモデルは、測定を実行する計測システムによってアクセス可能なAcuShape(登録商標)ライブラリの要素として実装されてもよい。
一態様では、未知のパラメータ値を有するホットスポット構造の測定モデルとして、トレーニングされたホットスポット測定モデルが用いられる。ホットスポット測定モデルは、1つ以上の計測ターゲットで1つ以上の計測システムによって生成された測定データ(例えば、測定されたスペクトル)を受け取って、ホットスポットデバイス構造の1つ以上の注目パラメータを直接決定するように構成される。ホットスポット構造を特徴付けるパラメータの例は、限定はしないが、クリティカルディメンション(CD)、高さ、側壁角、オーバーレイ等を含む。一般に、ホットスポット構造は、画像の特徴、種々の形状特徴またはパターン等を含む任意の適切な方式で特徴付けられてもよい。一例では、リソグラフィーステップの後で、ホットスポットは、2つの近接したフォトレジストパターンが、何らかのクリティカルディメンションによって分離されるべき場所で互いに接している位置である。このタイプのホットスポットは多くの場合、「ブリッジング」と呼ばれる。別例では、リソグラフィーステップの後で、ホットスポットは、金属線が過度に薄くなっている、またはショートしている位置である。
図2は、本発明の図1に示した計測システム300等の計測システムによる実行に適した方法110を示す。一態様では、方法110のデータ処理ブロックが、コンピューティングシステム330または任意の他の汎用コンピューティングシステムの1つ以上のプロセッサによって実行される予めプログラムされたアルゴリズムを介して実行されてもよいことが認識される。本明細書では、計測システム300の特定の構造的態様は限定を表さず、例示としてのみ解釈されるべきであることが認識される。
ブロック111で、半導体ウェハ製造シーケンスの中間プロセスステップの後で、ウェハが測定システムに提供される。ウェハは、ウェハ上の第1の複数の位置に複数のホットスポット構造を含む。ウェハはさらに、第1の複数の位置とは異なるウェハ上の第2の複数の位置に複数の計測ターゲットを含む。
オプションのブロック(図示せず)で、測定されたデータの少なくとも一部からの主要な特徴が、測定されたデータの次元を縮減する数学的変換に基づいて決定される。いくつかの実施形態では、変換は、ホットスポット測定モデルをトレーニングするために用いられたトレーニングデータに対応する次元を縮減するために用いられたのと同じ変換である。
ブロック112で、第2の複数の位置での複数の計測ターゲットそれぞれは、計測システムの発光体(例えば、発光体302)によって照明される。一般に、計測ターゲットを測定するために用いられる計測システムまたは計測システムの組み合わせは、ホットスポット測定モデルをトレーニングするために用いられるのと同じ計測技法または計測技法の組み合わせを用いる。同様に、計測システムまたは計測システムの組み合わせによって測定された計測ターゲットは、ホットスポット測定モデルをトレーニングするために用いられる計測ターゲットと同じタイプの構造である。
ブロック113で、複数の計測ターゲットそれぞれからの光量が、複数の計測ターゲットそれぞれに供給された照明に応答して検出される(例えば分光計304によって)。さらに、検出された光量に基づいて測定データ(例えば、測定データ311)の量が生成される(例えば、分光計304によって)。計測ターゲットの測定に関連する測定データの量がコンピューティングシステム(例えば、コンピューティングシステム330)によって受け取られる。
ブロック114で、ホットスポット構造を特徴付ける1つ以上のパラメータの値が、測定データおよびトレーニングされたホットスポット測定モデル(例えば、方法100に関して説明したトレーニングされたホットスポット測定モデル)に基づいて決定される。測定されたデータの次元を縮減するために数学的変換が用いられる場合、ホットスポット構造を特徴づける1つ以上のパラメータ値の値は、主要な特徴とトレーニングされたホットスポット測定モデルに基づいて決定される。こうして、ホットスポットパラメータ値は、トレーニングされたホットスポット測定モデルと、縮減されたセットの測定信号に基づいて決定される。
ブロック115で、半導体ウェハ製造シーケンスの製造プロセスステップに関連するプロセスパラメータは、ホットスポット構造を特徴付ける少なくとも1つのパラメータの値に基づいて調整される。ホットスポット測定モデルは、実験計画(DOE)のセットによって規定されたプロセスばらつき空間内の全ての測定された信号に関してトレーニングされる。こうして、DOEプロセスばらつき空間内のプロセス変数と、結果として得られるホットスポットパラメータ値の間のマッピングが決定される。図4は、DOEトレーニングセット内に表された各ドーズ量に関して、基準測定システムによって測定またはシミュレーションされたCDの値を表す典型的なデータポイント151を含む例示の図150を描写する。CDとドーズ量の間の機能的関係は、例えば曲線152をデータポイント151に当てはめることによって定立される。
ブロック115で1つ以上のプロセスパラメータに対する補正が、ホットスポットパラメータの測定値と、ホットスポットパラメータ値(例えば、CD)と1つ以上のプロセスパラメータの間のマッピングに基づいて決定される。例えば、図4に示すポイント153は、以前に本明細書で説明したトレーニングされた測定モデルによって決定されたホットスポット構造に係るCDの測定値を表す。プロセスドーズ量を、後続のCDの測定値が、CDmaxとCDminの間の仕様範囲内にあるように補正することが望ましい。CDとドーズ量の間の機能マッピングに基づいて、ドーズ量補正、ΔDOSE量が決定され、それが、仕様範囲の中間に位置するCDの測定値をもたらすこととなる。
図4に示した例は、例示の目的で提示されている。一般に、DOEプロセス変数をホットスポット注目パラメータに関連付ける多次元応答面が作成され得る。1つ以上のプロセスパラメータに対する補正が、多次元応答面に基づいて仕様内でホットスポット注目パラメータの測定値を駆動するために計算される。
決定されたホットスポットパラメータ値はメモリに記憶される。例えば、デバイスパラメータ値は、測定システム300にオンボードで、例えばメモリ332に記憶されてもよく、または、例えばプロセスツールの外部メモリデバイス等の外部メモリデバイスに通信されてもよい(例えば、出力信号340を介して)。
別の態様では、ホットスポット測定モデルはトレーニングされて、考慮対象のホットスポット構造の1つ以上の特徴と、同じウェハ上の少なくとも1つの計測ターゲットの測定に関連する対応する測定データとの間の機能的関係を定立する。こうして、測定によって特徴付けられた各構造(すなわち、各ホットスポット構造)は、高スループット計測技法による測定最中の1つ以上の計測ターゲットとは異なる。いくつかの例では、計測ターゲットのうち少なくとも1つはデバイス構造(例えば、ライン‐スペース格子、FinFET構造、SRAMデバイス構造、フラッシュデバイス構造、DRAMデバイス構造等)である。いくつかの例では、計測ターゲットのうち1つ以上は、測定最中のホットスポット構造と同じタイプの構造である。
本明細書で説明するように未加工測定データ(すなわち、測定システムから直接生成された測定データ)を用いて測定モデルを作成することで、従来型のモデルに基づく計測方法に関連する誤差と近似が低減される。さらに、測定モデルは系統的誤差非対称性等に感応しないが、それは、測定モデルが、特定の計測システムから収集またはシミュレートされた測定データに基づいてトレーニングされて、同じ計測システムから収集された測定データに基づいて測定を実行するために用いられるからである。
本明細書で説明するトレーニングされたホットスポット測定モデルは、測定データを入力として直接受け取り、注目パラメータの値を出力として供給する。測定プロセスを合理化することにより、予測結果は改善されて、同時に計算時間とユーザの時間の消費が低減される。いくつかの例では、ホットスポット測定モデルは、一時間未満で作成され得る。さらに、簡略化されたモデルを用いることで、測定時間は既存の計測方法に比べて低減される。
ホットスポット測定モデルのトレーニングは、プロセスパラメータ(例えば、リソグラフィフォーカス、露光、収差パラメータ、オーバーレイオフセット、エッチング 時間、温度、圧力およびその他のローカルまたはグローバルパラメータ)のばらつきに基づいて制御されたDOE(実験計画)に基づいて実行される。トレーニングが完了すると、インライン光学的計測は、スタンドアローンまたはインテグレーテッドモードで作動し得る。
図3は、少なくとも1つの新規の態様でのホットスポットモニタリングのためのホットスポット測定モデルのトレーニングの方法100を示す。方法100は、本発明の図1で示すような計測システム300等の計測システムによる実施に適している。一態様では、方法100のデータ処理ブロックが、コンピューティングシステム300または任意の他の汎用コンピューティングシステムの1つ以上のプロセッサによって実行される予めプログラムされたアルゴリズムを介して実行されてもよいことが認識される。本明細書では、計測システム300の特定の構造的態様は限定を表さず、例示としてのみ解釈されるべきであることが認識される。トレーニングは、製造プロセスのレシピ開発段階で実行される。ホットスポット測定モデルのトレーニングは全製造ウェハに対して実行されるわけではない。
ブロック101で、ウェハ上の異なる位置で製造されることになっている1セットのホットスポット構造の表示がコンピューティングシステム(例えば、コンピューティングシステム330)によって受信される。いくつかの実施形態では、そのホットスポット構造のセットは、ユーザによって、経験、以前の測定等に基づいて定義される。これらのホットスポット構造のセットは、ユーザによって任意の適切な方式でパラメータ化される。したがって、この例では、ホットスポット構造のセットとそれらのパラメータ化は、ユーザ入力によってコンピューティングシステム330に伝えられる。
ブロック102で、ホットスポット構造が製造されることになっている位置とは異なる位置でウェハ上に製造されることになっている1セットの計測ターゲットの表示が、コンピューティングシステム(例えば、コンピューティングシステム330)によって受信される。いくつかの実施形態では、計測ターゲットのセットとそれらのパラメータ化はユーザによって規定され、そのパラメータ化された計測ターゲットのセットは、ユーザ入力によってコンピューティングシステム330に伝えられる。
ブロック103で、中間プロセスステップを含み中間プロセスステップ以前の任意のプロセスステップに関連する1つ以上のプロセスパラメータに関連するプロセスウィンドウの表示が、コンピューティングシステム(例えば、コンピューティングシステム330)によって受信される。一例では、プロセス条件のセットは、リソグラフィフォーカスの空間内の異なるポイントと、ドーズ量設定を記述する。
ブロック104で、ホットスポット構造を特徴付ける少なくとも1つのパラメータの値が、プロセスウィンドウ内の複数のプロセスポイントそれぞれにおいて推定される。いくつかの実施形態では、コンピューティングシステム330は、各測定サイトでのプロセスウィンドウ内の各プロセスポイントに関連するホットスポットパラメータ期待値を、シミュレーションに基づいて決定する。例えば、所与のセットのプロセスパラメータ値に対するホットスポットパラメータ(すなわち、形状または材料パラメータ)の期待される応答を規定するためにプロセスシミュレータが用いられる。典型的なプロセスシミュレータは、米国、カリフォルニア州ミルピタスのKLA‐Tencor Corporationから入手可能な、ポジ型レジスト光リソグラフィー(PROLITH)シミュレーションソフトウェアを含む。一般に、任意のプロセスモデリング技法またはツールが、本特許文書の範囲内で想定されてもよい(例えば、米国ノースカロライナ州CaryのCoventorから入手可能なCoventorシミュレーションソフトウェア)。
いくつかのその他の実施形態では、各測定サイトでのプロセスウィンドウ内の各プロセスポイントに関連するホットスポットパラメータ期待値は、基準計測システム(例えば、基準測定源320)による1つ以上のDOEウェハの実際の測定(例えば基準測定321)に基づく。基準計測システムによって探索される測定サイトは、基準計測システムによって測定される1つ以上の注目パラメータを有するホットスポット構造を含む。一般に、基準測定は、1つ以上の基準計測システムによって実行されてもよい。非限定的な例として、クリティカルディメンション走査型電子顕微鏡(CD−SEM)、クリティカルディメンション小角X線散乱計(CD−SAXS)、原子間力顕微鏡(AFM)等が、ホットスポット構造の基準測定を実行するために単独で、または組み合わせて用いられてもよい。これらの測定技法は、低スループット、個々のサイトそれぞれの高い測定不確実性、試料損壊の危険等により、インライン計測には用いられ得ない。基準計測システムによる測定は、基準計測測定に対してより適したものとするために、例えばスクライブライン領域に配置されたデバイス構造上またはデバイス様の構造上のいずれかで実行されてもよい。
ブロック105で、複数の計測ターゲットそれぞれの測定に関連する測定データの量が、プロセスウィンドウ内の複数のプロセスポイントそれぞれで決定される。いくつかの実施形態では、コンピューティングシステム330は、各測定サイトでのプロセスウィンドウ内の各プロセスポイントに関連する計測ターゲットパラメータ期待値を、シミュレーションに基づいて決定する。例えば、所与のセットのプロセスパラメータ値に対する計測ターゲットパラメータ(すなわち、形状または材料パラメータ)の期待される応答を規定するためにプロセスシミュレータが用いられる。さらに、コンピューティングシステム330は、各計測ターゲットに関して測定システム(例えば、図1に描写する分光エリプソメータ)によって生成された未加工測定データをシミュレートするために測定シミュレータ(例えば、RCWA等)を用いる。
いくつかの他の実施形態では、プロセスウィンドウ内の各プロセスポイントでの各計測ターゲットの測定に関連する測定データは、計測ターゲット測定システム(例えば、図1に描写する分光エリプソメータ)による1つ以上のDOEウェハの実際の測定(例えば測定311)に基づく。計測ターゲット測定システムによって探索される測定サイトは、計測ターゲット測定システムによって測定される1つ以上の注目パラメータを有する計測ターゲットを含む。
上述の実施形態のいくつかにおいて、基準ホットスポット測定と、計測ターゲットの測定のうちいずれかまたは両方は、1つ以上のDOEウェハ上に実行された実際の測定である。これらの実施形態では、1つ以上のプロセスパラメータ、構造的パラメータ、または両方のばらつきは、1つ以上の半導体ウェハの表面上のDOEパターンに、トレーニング目的で整理される。こうして、基準および計測ターゲット測定システムは、1つ以上のプロセスパラメータ、構造的パラメータ、または両方の異なる値に対応するウェハ表面上の異なる位置に問い合わせる。
いくつかの例では、計測ターゲットと、対応するホットスポット構造のDOEのセットが、単一のウェハ上に作成される。例えば、計測ターゲットとホットスポット構造のDOEのセットが、エッチング設定、リソグラフィフォーカス、ドーズ量、収差およびオーバーレイ設定のうち任意の1つまたは組み合わせを、単一のウェハ上の位置の関数として変化させることで生成され得る。いくつかの例では、計測ターゲットと対応するホットスポット構造のDOEのセットが、いくつかのウェハ上に作成される。例えば、堆積時間、エッチング時間、ウェハレベルリソグラフィフォーカス等のウェハ全体に影響するプロセスパラメータのばらつきの影響は、多数のDOEウェハのセットで探索され得る。こうして、プロセスパラメータのばらつきからの、測定された計測信号およびホットスポット注目パラメータへの影響が探索される。
トレーニングターゲットは、別々のトレーニングウェハまたは製造ウェハに提供されてもよい。いくつかの例では、計測ターゲットは製造ウェハのスクライブラインに配置される。いくつかの他の例では、計測ターゲットはアクティブダイエリアに配置される。
いくつかの例では、DOEばらつきを含む特別なマスクまたはマスクのセットが、トレーニングウェハを作成するために設計される。いくつかの別の例では、トレーニングターゲットは製造マスク内に配置される。いくつかの別の例では、DOEばらつきは、特別なマスクまたはマスク特徴なしで、全面的にプロセス制御設定によって制御される。
一例では、DOEパターンはリソグラフィフォーカス/ドーズパターンである。一般的に、フォーカス/ドーズパターンを提示するDOEウェハは、測定サイトのグリッドパターンを含む。1つのグリッド方向(例えばx方向)においてフォーカスがx方向にばらつくのに対し、y方向でのフォーカスは一定に保たれる。直交するグリッド方向(例えばy方向)において、ドーズ量誤差がばらつくのに対し、x方向でのドーズ量は一定に保たれる。こうして、DOEウェハから収集された測定データは、それぞれx方向とy方向でのリソグラフィフォーカスとドーズ量設定のばらつきに関連するデータを含む。図5は、フォーカスとドーズ量におけるばらつきに感応性を表すターゲット(例えばターゲット161)のグリッドを有するDOEウェハ160を描写する。フォーカスは、DOEウェハ160上のx方向における位置の関数として変動する。ドーズ量は、DOEウェハ160上のy方向における位置の関数として変動する。
一般に、計測ターゲットは、印刷可能性と、プロセスパラメータ、構造的注目パラメータまたはその両方の変化に関する感応性に関して設計されている。いくつかの例では、計測ターゲットは、対応するホットスポット構造とは同じタイプではない特別なターゲットである。いくつかの実施形態では、計測ターゲットは、従来型ライン/スペースターゲットに基づいている。非限定的な例として、CDターゲット、SCOLターゲットまたはAiMターゲットが用いられ得る。いくつかの他の実施形態では、計測ターゲットは、ホットスポット構造と同じタイプのデバイス状構造である。いくつかの他の例では、計測ターゲットは、デバイス構造、またはデバイス構造の一部である。使用される計測ターゲットのタイプに関らず、探索される、プロセスばらつきおよび構造的ばらつきまたはその両方に対する感応性を提示する1セットのトレーニングターゲットが、ホットスポット測定モデルをトレーニングするために提供されなければならない。モデルがトレーニングされると、モデルは、本明細書で説明するように、1つ以上の注目パラメータの未知の値を有するホットスポット構造の測定を実行するために用いられ得る。
任意で、測定データから、いくつかの主要な特徴が、数学的変換に基づいて抽出される。変換は、光学的測定データの次元を縮減し、元の信号を、新たな縮減した信号のセットにマッピングする。各測定信号は、光学的測定データのセットにおける異なる測定のプロセス範囲内で変化する元の信号として取り扱われる。変換は、測定信号全部に適用されても、または、測定信号のサブセットに適用されてもよい。いくつかの例では、解析対象の信号はランダムに選択される。いくつかの他の例では、解析対象の信号は、プロセスパラメータの変化に対する比較的高い感応性によって選択される。例えば、プロセスパラメータの変化に対する感応性のない信号は無視されてもよい。
非限定的な例として、変換は、主成分解析(PCA)モデル、カーネルPCAモデル、非線形PCAモデル、独立成分解析(ICA)モデルまたはその他の、ディクショナリー、離散コサイン変換(DCT)モデル、高速フーリエ変換(FFT)モデル、ウェーブレットモデル等を用いるその他の次元縮減方法のうちいずれを用いてなされてもよい。
ブロック106で、ホットスポット測定モデルは、プロセスウィンドウ内の複数のプロセスポイントそれぞれにおけるホットスポット構造を特徴付ける少なくとも1つのパラメータの推定値と、測定データの量に基づいてトレーニングされる。任意のデータ縮減ステップが実行される場合、ホットスポット測定モデルは測定データから抽出された主要な特徴に基づいてトレーニングされる。いくつかの実施形態では、測定モデルはニューラルネットワークモデルとして実装される。一例では、ニューラルネットワークのノードの個数は、トレーニングデータから抽出された特徴に基づいて選択される。別例では、測定モデルは線形モデル、非線形モデル、多項式モデル、応答曲面モデル、ランダムフォレストモデル、サポートベクトルマシンモデル、またはその他のタイプのモデルとして実装さてもよい。いくつかの例では、測定モデルはモデルの組み合わせとして実装されてもよい。モデルは、その出力が、DOE計測ターゲットと対応するホットスポット構造のセットによって規定されるプロセスばらつき空間内で測定された全ての信号に関する基準ホットスポットパラメータ値に適合するようにトレーニングされる。
こうして、トレーニングされた測定モデルは、計測ターゲット測定ツール(複数の場合あり)で測定された計測信号を、シミュレートまたは測定されたホットスポット注目パラメータの値にマッピングする。
別の態様では、マッピングは、DOEプロセスばらつき空間内のプロセス変数と、ホットスポット注目パラメータの値の間で決定される。モデルは、DOEのセットによって規定されるプロセスばらつき空間内の全ての測定された信号に関してトレーニングされるため、トレーニングされた測定モデルは、プロセス条件をホットスポット注目パラメータにマッピングするためにも増補され得る。こうして、トレーニングされたホットスポット測定モデルは、同じ計測ターゲットからの1つ以上のホットスポット注目パラメータ(例えば、EPE、オーバーレイ、CD、ピッチウォーク等)に加えて、プロセス注目パラメータ(例えば、フォーカス/ドーズ量、露光等)を測定するために用いられる。
いくつかの実施形態では、計測ターゲットはデザインルールターゲットである。言い換えると、計測ターゲットは、基本となる半導体製造プロセスに適用されるデザインルールに準拠する。いくつかの例では、計測ターゲットはアクティブダイエリア内に配置されることが好ましい。いくつかの例では、計測ターゲットは15μm×15μmまたはそれ未満の寸法を有する。こうして、リソグラフィーの不完全性から誘起されたフィールド内のばらつきのオーバーレイへの影響が解析され得る。いくつかの他の例では、計測ターゲットはスクライブライン内に配置されるか、または、アクティブダイエリアの外部に配置される。
一般に、測定対象の計測ターゲットは、特徴付け対象のホットスポット構造から空間的に分離されている。しかしながら、好ましい実施形態では、計測ターゲットは、対象ホットスポット構造に接近して配置されている。計測ターゲットは、注目パラメータに対する高い感応性を有する測定信号を生成するように選択される。しかしながら、トレーニングまたはマッピングは、CD−SEM、CD/OVL−SAXS、AFMまたはモデルに基づく光学CD等の基準ツールでシミュレートまたは測定された実際のデバイス構造に実行される。モデルトレーニングの後で、製造ウェハは、インラインで測定されて、計測ターゲットから測定データが収集される。測定データは、トレーニングされたホットスポット測定モデルによってホットスポット注目パラメータにマッピングされる。
いくつかの他の実施形態では、計測ターゲットは、対象ホットスポット構造から、時間で隔てられる(すなわち、1つ以上のプロセスステップ分だけ隔てられる)。これらの例では、トレーニングシーケンスは、測定対象のホットスポット構造から1つ以上のプロセスステップ分だけ隔てられた、同じまたは異なるタイプのターゲットを用いてもよい。いくつかの例では、計測ターゲットは、特定のプロセス状態における構造である。特定のプロセス状態におけるホットスポット構造から収集された測定データは、本明細書で説明するようにホットスポット測定モデルをトレーニングするために用いられる。次に、トレーニングされたホットスポット測定モデルは、後続プロセス状態におけるホットスポット構造の構造的パラメータ、プロセスパラメータまたは両方の値を、ホットスポット測定モデルをトレーニングするために用いられる同じ特定のプロセス状態での計測ターゲットから収集された測定データに基づいて計算するために用いられる。
別の態様では、同じ計測ターゲットからの同じ測定信号が、他のホットスポット注目パラメータを測定するための異なるホットスポット測定モデルを作成するために用いられ得る。一般に、上記の測定技法は、他のプロセス、構造、分散パラメータ、またはこれらのパラメータの任意の組み合わせの測定に適用されてもよい。非限定的な例として、オーバーレイ、プロファイルジオメトリパラメータ(例えばクリティカルディメンション)、プロセスパラメータ(例えばフォーカスおよびドーズ量)、分散パラメータ、ピッチウォーク、エッジ配置誤差または任意のパラメータの組み合わせが、上記の技法を用いて測定され得る。各注目パラメータのばらつきを有するトレーニングターゲットのセットが提供されなければならない。次にホットスポット測定モデルは、本明細書で説明するように、プロセスばらつきの範囲を含む計測ターゲットからシミュレートされまたは測定された測定データおよび、シミュレートされまたは測定されたホットスポットパラメータに基づいてトレーニングされる。各ホットスポット注目パラメータに関してホットスポット測定モデルがトレーニングされると、1つ以上の計測ターゲットの測定が、ホットスポット測定モデルへの入力を提供して、各注目パラメータの値を決定する。
もう1つの別の態様では、測定モデルをトレーニングするための方法およびシステムは、トレーニングされた測定モデルに達するために必要ないずれかまたは全ての要素を自動作成する最適化アルゴリズムを含む。
いくつかの例では、最適化アルゴリズムは、以下のパラメータ、すなわち、特徴抽出モデルのタイプ(すなわち、変換)、選択された特徴抽出モデルのパラメータ、ホットスポット測定モデルのタイプ、および選択されたホットスポット測定モデルのパラメータのうちいずれかまたは全ての性能を最適化することによって、測定の性能(コスト関数によって規定される)を最大化するように構成される。最適化アルゴリズムは、ユーザが規定するヒューリスティクスを含むことができ、入れ子型最適化(例えば、組み合わせおよび連続最適化)の組み合わせであり得る。
さらなる態様では、モデルの構築、トレーニングおよび測定のために、多数の異なるターゲットからの測定データが収集される。異なる構造を有するが同じプロセス条件によって形成された多数のターゲットに関連するデータを用いることは、モデルに埋め込まれた情報を増加させてプロセスまたはその他のパラメータばらつきへの相関を減少させる。モデルに埋め込まれた付加情報は、1つの注目パラメータに関連する情報コンテンツを、同様に測定された信号に影響し得るその他のパラメータ(例えば、膜厚、CD等)に関連する情報から切り離すことを可能にする。これらの例では、1つ以上の測定サイトでの多数の異なるターゲットの測定を含むトレーニングデータの使用は、より正確なパラメータ推定を可能にする。いくつかの例では、隔離された濃密なライン/スペースターゲットの混合が、下層の効果からオーバーレイを切り離すために用いられる。いくつかの例では、直交方向における多数の異なるターゲットオフセットが、各ダイに用いられる。これは、測定精度に対する下層の効果を有利に極減し得る。一例では、SRAMデバイスエリアはCD変化に対する感応性があるため、オーバーレイに対する感応性がある別の計測ターゲットと組み合わせて、1つの計測ターゲットとして用いられ得る。
別のさらなる態様では、プロセスばらつきに対する感度を下げて注目パラメータに対する感度を上げるために、多数のターゲットからの信号が処理され得る。いくつかの例では、異なるターゲットからの信号が、互いから控除される。いくつかの他の例では、異なるターゲットからの信号がモデルに当てはめられ、残りの部分が、本明細書で説明するようにホットスポット測定モデルを構築、トレーニングおよび使用するために用いられる。一例では、2つの異なるターゲットからの信号が控除されて、各測定結果におけるプロセスノイズの影響を除去、またはかなり低減する。一般に、プロセスばらつきに対する感度が低減し、注目パラメータに対する感度が増加した信号を決定するために、種々の数学的演算が異なるターゲットからの信号間に適用され得る。
別のさらなる態様では、多数の異なる測定技法の組み合わせによって実行された測定から導出された測定データが、モデル構築、トレーニングおよび測定のために収集される。多数の異なる測定技法に関連する測定データの使用は、組み合わされた信号のセットにおける情報コンテンツを増加させ、プロセスまたはその他のパラメータのばらつきへの相関を減少する。測定データは、多数の異なる測定技法の任意の組み合わせによって実行された測定から導出されてもよい。こうして、異なる測定サイトが、多数の異なる測定技法(例えば、光学的SE、イメージングオーバーレイ等)によって測定されることができ、注目パラメータの推定に利用可能な測定情報を強化する。
一般に、任意の測定技法または2つ以上の測定技法の組み合わせが、本特許文書の範囲内で、特徴抽出モデルによって処理されるデータとして想定されることができ、トレーニングおよび測定のホットスポット測定モデルはベクトルの形式である。本明細書で説明する信号応答計測技法はデータのベクトルに作用するため、収集された信号はそれぞれ個別に処理される。さらに、データが二次元データであるか、一次元データであるか、または単一のポイントデータであった場合でさえも、それに係らず、多数の異なる方法のデータから連結することが可能である。
本明細書で説明する信号応答計測技法による解析のためにデータを提供できる典型的な測定技法は、限定はしないが、イメージングに基づく測定システム、ミュラー行列偏光解析法および、多角度照明の偏光解析法、単波長偏光解析法を含む分光偏光解析法、分光反射光計測、単波長反射光計測、分光光波散乱計測、光波散乱計測オーバーレイ、ビームプロファイル反射光計測、角度分解および偏光分解両方、ビームプロファイル偏光解析法、単一または多数の離散波長偏光解析法、透過小角X線散乱計(TSAXS)、小角X線散乱(SAXS)、微小角入射小角X線散乱(GISAXS)広角X線散乱(WAXS)、X線反射率(XRR)、X線回折(XRD)、微小角入射X線回折(GIXRD)、高分解能X線回折(HRXRD)、X線光電子分光分析法(XPS)、蛍光X線(XRF)、微小角入射蛍光X線(GIXRF)、X線トモグラフィー、X線偏光解析法、光波散乱計測(例えばスペックル)、ラマン分光法、走査電子顕微鏡(SEM)、透過電子顕微鏡(TEM)および原子間力顕微鏡(AFM)を含む。一般に、イメージに基づく計測技法を含む、半導体構造の特徴付けに適用可能な任意の計測技法が、個々に、または組み合わせて想定され得る。
別のさらなる態様では、多数の計測技法によって測定された信号が、プロセスばらつきへの感応性を減少させて注目パラメータへの感応性を増加させるために処理され得る。いくつかの例では、異なる計測技法によって測定されたターゲットからの信号は互いから控除される。いくつかの他の例では、異なる計測技法によって測定されたターゲットからの信号がモデルに当てはめられ、残りの部分が、本明細書で説明するように測定モデルを構築、トレーニングおよび使用するために用いられる。一例では、2つの異なる計測技法によって測定されたターゲットからの信号が控除されて、各測定結果におけるプロセスノイズの影響を除去、またはかなり低減する。一般に、プロセスばらつきに対する感度が低減し、注目パラメータに対する感度が増加した信号を決定するために、種々の数学的演算が、異なる計測技法によって測定された信号間に適用され得る。
一般に、多数の計測技法によってそれぞれ測定された多数のターゲットからの信号は、組み合わせた信号のセット内の情報コンテンツを増加させてプロセスまたはその他のパラメータばらつきへの相関を減少する。
別の態様では、以前に本明細書で説明したホットスポット構造のトレーニングおよび測定のための方法およびシステムは、差動モードで実行される。そのようなスキームでは、計測ターゲット測定と任意の関連する基準測定またはシミュレーションは、処理フローにおける2つの別個のステップで実行される。各別個のプロセスステップで測定された信号の差異は、トレーニング目的のトレーニング信号と、測定目的の測定信号として取り扱われる。
一例では、リソグラフィーステップと、後続のエッチングステップでの計測ターゲット測定に、同じロケーションポイントが用いられる。リソグラフィーステップとエッチングステップの間の差異信号は、構造がウェハ上のポイント間でばらついたとしても(例えば、プロセスステップまたは微小な位置決め誤差により)、ポイントサイト毎のプロセスばらつきをモニタすることを可能にする。そのような差分計測モードは、ウェハ上の異なるフィールド間で測定ターゲットのばらつきが存在するSRAMデバイスエリアの計測には好ましくあり得る。
いくつかの例では、測定ターゲットのばらつきは、測定された構造の周期性不全から発生するが、それは例えば、有限構造サイズまたは、他の場合は周期的な構造が、計測システムの測定スポット内で不十分に反復されることである。いくつかの例では、測定ターゲットのばらつきは、光学計測システムのスポットサイズが小さいことから、また、計測システムの測定ロケーション配置誤差から生じる。
いくつかの例では、1つ以上のエッチングステップの前と後の実際のデバイスパラメータ値間の差異が、エッチングプロセスの閉ループ制御への入力として用いられてもよい。
一般に、差動ホットスポットモニタリングは、例えば、2つのプロセスモニタリングステップ間(すなわち、エッチングとリソグラフィー)にターゲットバイアスを設定するために、フィールド毎の補正を提供するために、または高次の補正(例えば、OVLまたはEPE制御)を提供するために用いられ得る、グローバル(ウェハ)、フィールド(フィールド平均)またはローカル(サイト毎)の結果を可能にする。
さらに別の態様では、本明細書で説明するホットスポット測定モデル結果が、プロセスツールにアクティブフィードバックを提供するために用いられ得る(例えば、リソグラフィツール、エッチングツール、堆積ツール等)。例えば、本明細書で説明する方法を用いて決定されたホットスポットパラメータの値は、リソグラフィシステムを調整して、所望の出力を達成するためにリソグラフィツールに伝えられてもよい。同様に、エッチパラメータ(例えばエッチ時間、拡散率等)または堆積パラメータ(例えば、時間、濃度等)が、測定モデルに含まれてもよく、それにより、エッチングツールまたは堆積ツールにそれぞれアクティブフィードバックを提供する。いくつかの例では、トレーニングされたホットスポット測定モデルに基づいて決定されたプロセスパラメータへの補正は、リソグラフィツール、エッチングツール、または堆積ツールに伝えられてもよい。
一般に、本明細書で説明するシステムおよび方法は、オフラインまたはオンツール測定のための測定モデルを作成するプロセスの一部として実行されてもよい。
本明細書で説明する「クリティカルディメンション」という用語は、構造のあらゆるクリティカルディメンション(例えば、底部クリティカルディメンション、中部クリティカルディメンション、頂部クリティカルディメンション、側壁角、格子高さ等)、2つ以上の構造間のクリティカルディメンション(例えば、2つの構造間の距離)、および2つ以上の構造間の変位(例えば、オーバーレイ格子構造間のオーバーレイ変位等)を含み得る。構造は、3次元構造、パターン付き構造、オーバーレイ構造等を含み得る。
本明細書で説明する「クリティカルディメンション適用」または「クリティカルディメンション測定適用」という用語は、任意のクリティカルディメンション測定を含む。
本明細書で説明する「計測システム」という用語は、クリティカルディメンション計測、オーバーレイ計測、フォーカス/ドーズ量計測および組成計測等の測定適用を含む任意の態様で試料を特徴付けるために少なくとも部分的に用いられる任意のシステムを含む。しかしながら、そのような技術用語は、本明細書で説明するように「計測システム」という用語の範囲を限定するものではない。さらに、計測システム100は、パターン付きウェハおよび/またはパターンなしウェハの測定向けに構成されてもよい。計測システムは、LED検査ツール、エッジ検査ツール、バックサイド検査ツール、マクロ検査ツールまたはマルチモード検査ツール(1つ以上のプラットフォームからの同時のデータを含む)、および、クリティカルディメンションデータに基づくシステムパラメータの校正から利益を蒙る任意のその他の計測または検査ツールとして構成されてもよい。
種々の実施形態は、試料を処理するために用いられ得る半導体処理システム(例えば、検査システムまたはリソグラフィシステム)に関して本発明で説明された。本明細書において、「試料」という用語は、ウェハ、レチクルまたは、当技術分野で公知の手段によって処理され得る(例えば、欠陥に関して印刷または検査される)任意のその他の試料を指すために用いられる。
本明細書で用いる「ウェハ」という用語は、一般に、半導体または非半導体材料から形成された基板を指す。その例は、限定はしないが、単結晶シリコン、ヒ化バリウム、およびリン化インジウムを含む。そのような基板は、半導体製造設備内で一般に見出されるおよび/または処理される。いくつかの場合には、ウェハはその基板のみを含み得る(すなわち、ベアウェハ)。代替的に、ウェハは基板上に形成された異なる材料の1つ以上の層を含んでもよい。ウェハ上に形成された1つ以上の層は、「パターン付き」でも「パターンなし」でもよい。例えば、ウェハは、反復可能なパターン特徴を有する複数のダイを含み得る。
「レチクル」は、レチクル製造プロセス中の任意の段階でのレチクルであってもよいし、または、半導体製造設備内で使用するためにリリースされてもされなくてもよい完成したレチクルであってもよい。レチクル、または「マスク」は一般に、上に形成され、パターン付きで構成された実質的に半透明な領域を有する実質的に透明な基板として定義される。基板は、例えばアモルファスSiO等のガラス材料を含み得る。レチクルは、レチクル上のパターンがレジストに転写されるように、リソグラフィプロセスの露光ステップ中に、レジストで被覆されたウェハの上に配置されてもよい。
ウェハ上に形成された1つ以上の層は、パターン付きでもパターンなしでもよい。例えば、ウェハは、反復可能なパターン特徴をそれぞれ有する複数のダイを含み得る。そのような材料の層のフォーミュレーションと処理は、最終的に、完成したデバイスをもたらす。多くの異なるタイプのデバイスがウェハ上に形成されてもよく、本明細書で用いるウェハという用語は、当技術分野で公知の任意のタイプのデバイスが製造されるウェハを包含することを目論んでいる。
1つ以上の典型的な実施形態では、説明される機能はハードウェア、ソフトウェア、ファームウェアまたはそれらの任意の組み合わせで実装されてもよい。ソフトウェアで実装される場合、機能は、コンピュータ可読媒体上の1つ以上の命令またはコードとして記憶され伝送されてもよい。コンピュータ可読媒体は、コンピュータプログラムの一箇所から他箇所への転送を助長する任意の媒体を含む、コンピュータ記憶媒体と通信媒体の両方を含む。記憶媒体は、汎用または専用コンピュータによってアクセスされ得る任意の利用可能な媒体であってよい。例として、限定はしないが、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMまたはその他の光学的ディスク記憶媒体、磁気ディスク記憶媒体またはその他の磁気記憶デバイス、あるいは、命令またはデータ構造の形式の所望のプログラムコードを担持または記憶することができ、汎用または専用コンピュータまたは汎用または専用プロセッサによってアクセスされ得る任意のその他の媒体を含み得る。また、任意の接続は、正しく名付けるとコンピュータ可読媒体である。例えば、ソフトウェアが、同軸ケーブル、光ファイバーケーブル、ツイストペア、デジタル加入者線(DLS)または、赤外線、無線およびマイクロウェーブ等のワイヤレス技術を用いてウェブサイト、サーバまたはその他のリモートソースから伝送された場合、同軸ケーブル、光ファイバーケーブル、ツイストペア、デジタル加入者線(DLS)または、赤外線、ラジオ波およびマイクロウェーブ等のワイヤレス技術は、媒体の定義に含まれる。本明細書で用いるディスク(disk、disc)は、コンパクトディスク(CD)、レーザーディスク、光ディスク、デジタル多用途ディスク(DVD)、フロッピーディスクおよびブルーレイディスクを含み、ディスク(disk)は通常データを磁気的に再生するものであるが、ディスク(disc)はデータをレーザーで光学的に再生するものである。上記の組み合わせも、コンピュータ可読媒体の範囲内に含まれる。
上記では特定の実施形態が解説のために説明されたが、本特許文書の教示は、一般的適用性を有し、上記の特定の実施形態に限定されない。したがって、説明された実施形態の種々の変更、応用および種々の特徴の組み合わせが、特許請求の範囲に規定される本発明の範囲から逸脱せずに実施され得る。

Claims (20)

  1. 測定システムであって、
    ウェハ上の第1の複数の位置に配置された複数の計測ターゲットそれぞれを照明するように構成された照明源を備え、前記ウェハはさらに、第1の複数の位置とは異なるウェハ上の第2の複数の位置に複数のホットスポット構造を含み、前記ウェハは、半導体ウェハ製造シーケンスの中間プロセスステップの後に、照明源によって照明され、
    測定システムはさらに、前記複数の計測ターゲットそれぞれの照明に応答した前記複数の計測ターゲットからの光量を検出して、検出された光量に基づいて測定データの量を生成するように構成された検出器と、
    複数のホットスポット構造のホットスポット構造を特徴付ける少なくとも1つのパラメータの値を、測定データの量とトレーニングされたホットスポット測定モデルに基づいて決定し、
    プロセスツールに信号を通信して、それがプロセスツールに、ホットスポット構造を特徴付ける少なくとも1つのパラメータの値に基づいて半導体ウェハ製造シーケンスの製造プロセスステップに関連するプロセスパラメータを調整させる、
    ように構成されたコンピューティングシステムと、
    を備えた測定システム。
  2. 請求項1に記載の測定システムであって、前記コンピューティングシステムがさらに、
    前記ウェハ上の第2の複数の位置での複数のホットスポット構造の表示を受信し、
    前記ウェハ上の第1の複数の位置での複数の計測ターゲットの表示を受信し、
    中間プロセスステップを含み中間プロセスステップ以前の任意のプロセスステップに関連する1つ以上のプロセスパラメータに関連するプロセスウィンドウの表示を受信し、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおけるホットスポット構造を特徴付ける少なくとも1つのパラメータの値を推定し、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおける複数の計測ターゲットそれぞれの測定に関連する測定データの量を決定し、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおけるホットスポット構造を特徴付ける少なくとも1つのパラメータの推定値および測定データの量に基づいてホットスポット測定モデルをトレーニングする、
    ように構成される測定システム。
  3. 請求項2に記載の測定システムであって、ホットスポット構造を特徴付ける少なくとも1つのパラメータの値を推定することは、ホットスポット構造に関して校正された半導体製造モデルのシミュレーションを含む、測定システム。
  4. 請求項2に記載の測定システムであって、ホットスポット構造を特徴付ける少なくとも1つのパラメータの値を推定することは、プロセスウィンドウ内の複数のプロセスポイントそれぞれにおいて繰り返し製造されるホットスポット構造を特徴付ける少なくとも1つのパラメータの基準計測システムによる測定を含む、測定システム。
  5. 請求項2に記載の測定システムであって、前記中間プロセスステップに関連する前記1つ以上のプロセスパラメータは、リソグラフィフォーカスとリソグラフィドーズ量を含む、測定システム。
  6. 請求項2に記載の測定システムであって、前記複数の計測ターゲットそれぞれの測定に関連する測定データの量を決定することは、プロセスウィンドウ内の複数のプロセスポイントそれぞれにおいて繰り返し製造される複数の計測ターゲットの測定を含む、測定システム。
  7. 請求項2に記載の測定システムであって、さらに、半導体製造モデルのシミュレーションによって、プロセスウィンドウ内の複数のプロセスポイントそれぞれでの前記複数の計測ターゲットそれぞれを特徴付ける1つ以上のパラメータの値を推定することを含み、前記複数の計測ターゲットそれぞれの測定に関連する測定データの量の決定は、前記測定システムによる、前記複数の計測ターゲットそれぞれを特徴付ける1つ以上のパラメータの値での前記複数の計測ターゲットそれぞれの測定を特徴付ける計測ターゲット測定モデルのシミュレーションに基づく、測定システム。
  8. 請求項1に記載の測定システムであって、前記複数のホットスポット構造は比較的密な構造と比較的粗い構造を含む、測定システム。
  9. 請求項1に記載の測定システムであって、前記複数の計測ターゲットのうち少なくとも1つは実際のデバイス構造である、測定システム。
  10. 請求項9に記載の測定システムであって、前記複数の計測ターゲットはライン−スペース格子、FinFET構造、SRAMデバイス構造、フラッシュ構造およびDRAMメモリ構造のうちいずれかを含む、測定システム。
  11. 請求項1に記載の測定システムであって、前記ホットスポット測定モデルは、線形モデル、非線形モデル、多項式モデル、ニューラルネットワークモデル、サポートベクトルマシンモデル、決定木モデルおよびランダムフォレストモデルのうちいずれかである、測定システム。
  12. 請求項1に記載の測定システムであって、前記複数の計測ターゲットと前記複数のホットスポットターゲットは同じタイプの構造である、測定システム。
  13. 請求項1に記載の測定システムであって、前記複数の計測ターゲットそれぞれの照明と、前記複数の計測ターゲットそれぞれからの光の量の検出は、複数の異なる計測技法によって取得された測定を含む、測定システム。
  14. 測定システムであって、
    ウェハ上の第1の複数の位置に配置された複数の計測ターゲットそれぞれを照明するように構成された照明源を備え、前記ウェハはさらに、第1の複数の位置とは異なるウェハ上の第2の複数の位置に複数のホットスポット構造を含み、前記ウェハは、半導体ウェハ製造シーケンスの中間プロセスステップの後に、照明源によって照明され、
    前記測定システムはさらに、前記複数の計測ターゲットそれぞれの照明に応答した前記複数の計測ターゲットからの光量を検出して、検出された光量に基づいて測定データの量を生成するように構成された検出器と、
    一定量のプログラムコードを記憶する非一時的コンピュータ可読媒体を備え、前記プログラムコードは、コンピューティングシステムによって実行された場合にコンピューティングシステムに、
    複数のホットスポット構造のホットスポット構造を特徴付ける少なくとも1つのパラメータの値を、測定データの量とトレーニングされたホットスポット測定モデルに基づいて決定させ、
    プロセスツールに信号を通信して、それがプロセスツールに、ホットスポット構造を特徴付ける少なくとも1つのパラメータの値に基づいて半導体ウェハ製造シーケンスの製造プロセスステップに関連するプロセスパラメータを調整させる、
    測定システム。
  15. 請求項14に記載の測定システムであって、前記一定量のプログラムコードはさらに、前記コンピューティングシステムに、
    前記ウェハ上の第2の複数の位置での複数のホットスポット構造の表示を受信させ、
    前記ウェハ上の第1の複数の位置での複数の計測ターゲットの表示を受信させ、
    中間プロセスステップを含み中間プロセスステップ以前の任意のプロセスステップに関連する1つ以上のプロセスパラメータに関連するプロセスウィンドウの表示を受信させ、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおけるホットスポット構造を特徴付ける少なくとも1つのパラメータの値を推定させ、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおける複数の計測ターゲットそれぞれの測定に関連する測定データの量を決定させ、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおけるホットスポット構造を特徴付ける少なくとも1つのパラメータの推定値および測定データの量に基づいてホットスポット測定モデルをトレーニングさせる、
    測定システム。
  16. 方法であって、
    半導体ウェハ製造シーケンスの中間プロセスステップの後に測定システムにウェハを提供し、前記ウェハはさらに、ウェハ上の第1の複数の位置に複数のホットスポット構造と、前記第1の複数の位置とは異なるウェハ上の第2の複数の位置に複数の計測ターゲットを含み、
    前記第2の複数の位置の前記複数の計測ターゲットを照明し、
    前記複数の計測ターゲットそれぞれの照明に応答した前記複数の計測ターゲットそれぞれからの光量を検出して、検出された光量に基づいて測定データの量を生成し、
    ホットスポット構造を特徴付ける少なくとも1つのパラメータの値を、測定データの量とトレーニングされたホットスポット測定モデルに基づいて決定し、
    ホットスポット構造を特徴付ける少なくとも1つのパラメータの値に基づいて半導体ウェハ製造シーケンスの製造プロセスステップに関連するプロセスパラメータを調整する、
    ことを含む方法。
  17. 請求項16に記載の方法であって、さらに、
    前記ウェハ上の第1の複数の位置での複数のホットスポット構造の表示を受信し、
    前記ウェハ上の第2の複数の位置での複数の計測ターゲットの表示を受信し、
    中間プロセスステップを含み中間プロセスステップ以前の任意のプロセスステップに関連する1つ以上のプロセスパラメータに関連するプロセスウィンドウの表示を受信し、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおける複数のホットスポット構造のホットスポット構造を特徴付ける少なくとも1つのパラメータの値を推定し、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおける複数の計測ターゲットそれぞれの測定に関連する測定データの量を決定し、
    プロセスウィンドウ内の複数のプロセスポイントそれぞれにおけるホットスポット構造を特徴付ける少なくとも1つのパラメータの推定値および測定データの量に基づいてホットスポット測定モデルをトレーニングする、
    ことを含む方法。
  18. 請求項17に記載の方法であって、前記ホットスポット構造を特徴付ける少なくとも1つのパラメータの値を推定することは、前記ホットスポット構造に関して校正された半導体製造モデルのシミュレーションを含む方法。
  19. 請求項17に記載の方法であって、前記中間プロセスステップに関連する前記1つ以上のプロセスパラメータは、リソグラフィフォーカスとリソグラフィードーズ量を含む方法。
  20. 請求項16に記載の方法であって、前記複数の計測ターゲットのうち少なくとも1つが実際のデバイス構造である方法。
JP2018510698A 2015-05-08 2016-05-07 モデルベースのホットスポットモニタリング Active JP6783298B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562158618P 2015-05-08 2015-05-08
US62/158,618 2015-05-08
US15/148,116 US10030965B2 (en) 2015-05-08 2016-05-06 Model-based hot spot monitoring
US15/148,116 2016-05-06
PCT/US2016/031381 WO2016182965A1 (en) 2015-05-08 2016-05-07 Model-based hot spot monitoring

Publications (2)

Publication Number Publication Date
JP2018524821A true JP2018524821A (ja) 2018-08-30
JP6783298B2 JP6783298B2 (ja) 2020-11-11

Family

ID=57221856

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018510698A Active JP6783298B2 (ja) 2015-05-08 2016-05-07 モデルベースのホットスポットモニタリング

Country Status (7)

Country Link
US (1) US10030965B2 (ja)
EP (1) EP3295478A4 (ja)
JP (1) JP6783298B2 (ja)
KR (1) KR102382490B1 (ja)
CN (1) CN107533995B (ja)
TW (1) TWI686684B (ja)
WO (1) WO2016182965A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111338187A (zh) 2014-08-29 2020-06-26 Asml荷兰有限公司 度量方法、目标和衬底
US10817999B2 (en) * 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
US10445452B2 (en) * 2017-10-04 2019-10-15 Mentor Graphics Corporation Simulation-assisted wafer rework determination
US11022966B1 (en) * 2017-12-15 2021-06-01 Synopsys, Inc. Method of modeling e-beam photomask manufacturing process using image-based artificial neural networks
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
US10714366B2 (en) * 2018-04-12 2020-07-14 Kla-Tencor Corp. Shape metric based scoring of wafer locations
US10872406B2 (en) 2018-04-13 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hot spot defect detecting method and hot spot defect detecting system
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
EP3663855A1 (en) * 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
CN109585483B (zh) * 2018-12-04 2021-05-04 上海华力微电子有限公司 一种处理半导体晶圆的方法
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
TWI733365B (zh) * 2020-03-10 2021-07-11 瑞昱半導體股份有限公司 晶圓測試機台及訓練人工智慧模型以測試晶圓的方法
CN113406464A (zh) * 2020-03-17 2021-09-17 瑞昱半导体股份有限公司 晶圆测试机台及训练人工智能模型以测试晶圆的方法
US11521874B2 (en) * 2020-09-30 2022-12-06 Kla Corporation Systems and methods for determining measurement location in semiconductor wafer metrology
US11429091B2 (en) * 2020-10-29 2022-08-30 Kla Corporation Method of manufacturing a semiconductor device and process control system for a semiconductor manufacturing assembly
CN112685889B (zh) * 2020-12-24 2022-04-29 武汉大学 用于检测系统缺陷的简化测试结构设计方法
CN113674235B (zh) * 2021-08-15 2023-10-10 上海立芯软件科技有限公司 一种基于主动熵采样和模型校准的低代价光刻热点检测方法
CN115629579B (zh) * 2022-10-13 2023-11-07 南京天洑软件有限公司 一种cstr系统的控制方法及装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004158478A (ja) * 2002-11-01 2004-06-03 Hitachi High-Technologies Corp 半導体デバイスの製造方法及びその製造システム
US20140297211A1 (en) * 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology
US20140316730A1 (en) * 2013-04-19 2014-10-23 Kla-Tencor Corporation On-device metrology

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6324298B1 (en) 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6891610B2 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
AU2003290752A1 (en) * 2002-11-12 2004-06-03 Fei Company Defect analyzer
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7904845B2 (en) * 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
US8194968B2 (en) * 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US8260034B2 (en) * 2008-01-22 2012-09-04 International Business Machines Corporation Multi-modal data analysis for defect identification
US9710903B2 (en) * 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
US8930156B2 (en) * 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US9240254B2 (en) 2011-09-27 2016-01-19 Revera, Incorporated System and method for characterizing a film by X-ray photoelectron and low-energy X-ray fluorescence spectroscopy
US8434030B1 (en) 2012-01-05 2013-04-30 United Microelectronics Corporation Integrated circuit design and fabrication method by way of detecting and scoring hotspots
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9355208B2 (en) * 2013-07-08 2016-05-31 Kla-Tencor Corp. Detecting defects on a wafer
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9612541B2 (en) * 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US20150120220A1 (en) 2013-10-29 2015-04-30 Kla-Tencor Corporation Detecting IC Reliability Defects

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004158478A (ja) * 2002-11-01 2004-06-03 Hitachi High-Technologies Corp 半導体デバイスの製造方法及びその製造システム
US20140297211A1 (en) * 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology
US20140316730A1 (en) * 2013-04-19 2014-10-23 Kla-Tencor Corporation On-device metrology

Also Published As

Publication number Publication date
TWI686684B (zh) 2020-03-01
TW201702775A (zh) 2017-01-16
KR20180005200A (ko) 2018-01-15
EP3295478A1 (en) 2018-03-21
CN107533995A (zh) 2018-01-02
EP3295478A4 (en) 2019-01-23
US10030965B2 (en) 2018-07-24
KR102382490B1 (ko) 2022-04-01
US20160327605A1 (en) 2016-11-10
CN107533995B (zh) 2019-08-30
WO2016182965A1 (en) 2016-11-17
JP6783298B2 (ja) 2020-11-11

Similar Documents

Publication Publication Date Title
JP6783298B2 (ja) モデルベースのホットスポットモニタリング
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
CN107408519B (zh) 基于模型的单个参数测量的系统和方法
US10504759B2 (en) Semiconductor metrology with information from multiple processing steps
US9721055B2 (en) Measurement model optimization based on parameter variations across a wafer
US10215559B2 (en) Metrology of multiple patterning processes
CN106062939B (zh) 用于基于图像的叠对测量的信号响应计量
US9875946B2 (en) On-device metrology
US10101670B2 (en) Statistical model-based metrology
US10769320B2 (en) Integrated use of model-based metrology and a process model
US10354929B2 (en) Measurement recipe optimization based on spectral sensitivity and process variation
CN106030282B (zh) 用于光学度量衡的自动波长或角度修剪的方法及光学系统
US10365225B1 (en) Multi-location metrology
US20240186191A1 (en) Measurements Of Semiconductor Structures Based On Spectral Differences At Different Process Steps
US11313809B1 (en) Process control metrology
WO2024118857A1 (en) Measurements of semiconductor structures based on spectral differences at different process steps
TW202244460A (zh) 基於經訓練之經參數調節之測量模型之高解析度輪廓測量

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190416

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200903

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201006

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201021

R150 Certificate of patent or registration of utility model

Ref document number: 6783298

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250