KR102064627B1 - 텅스텐 피처 충진 - Google Patents

텅스텐 피처 충진 Download PDF

Info

Publication number
KR102064627B1
KR102064627B1 KR1020147030125A KR20147030125A KR102064627B1 KR 102064627 B1 KR102064627 B1 KR 102064627B1 KR 1020147030125 A KR1020147030125 A KR 1020147030125A KR 20147030125 A KR20147030125 A KR 20147030125A KR 102064627 B1 KR102064627 B1 KR 102064627B1
Authority
KR
South Korea
Prior art keywords
feature
tungsten
layer
tungsten layer
bulk
Prior art date
Application number
KR1020147030125A
Other languages
English (en)
Other versions
KR20140141686A (ko
Inventor
아난드 찬드라쉐카
에스터 젱
라쉬나 후마윤
마이클 다넥
주웬 가오
드어치 왕
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140141686A publication Critical patent/KR20140141686A/ko
Application granted granted Critical
Publication of KR102064627B1 publication Critical patent/KR102064627B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Abstract

텅스텐으로 피처를 충진하는 방법들 및 이와 관련된 시스템들 및 장치가 본 명세서에서 기술된다. 방법들은 인사이드 아웃 충진 기법들 및 피처 내에서의 컨포멀 증착을 포함한다. 인사이드 아웃 충진 기법은 피처 내에 에칭된 텅스텐 층 상에 선택적 증착을 포함한다. 컨포멀 기법 및 비컨포멀 기법은 다양한 구현예들에 따라서 사용될 수 있다. 본 명세서에서 기술된 방법은 텅스텐 비아과 같은 수직 피처 및 수직 VAND 워드 라인과 같은 수평 피처를 충진하는데 사용된다. 애플리케이션은 로직 및 메모리 컨택트 충진, DRAM 매립형 워드라인 충진, 수직으로 집적된 메모리 게이트/워드라인 충진, 및 실리콘 관통 비아들 (TSV) 로의 3D 집적을 포함한다.

Description

텅스텐 피처 충진{TUNGSTEN FEATURE FILL}
관련 출원에 대한 교차 참조
본원은 2012년 3월 27일에 출원된 미국 가 특허 출원 번호 61/616,377의 35 USC § 119 (e) 하에서의 우선권의 이점을 주장하며, 이 출원은 모든 목적들을 위해서 그 전체 내용들이 본 명세서에서 참조로서 인용된다.
화학적 기상 증착 (CVD) 기법들을 사용하여서 텅스텐 함유 재료를 증착하는 것은 수많은 반도체 제조 프로세스들의 중요한 부분이다. 이러한 재료들은 수평형 상호접속부들, 인접하는 금속 층들 간의 비아들, 실리콘 기판 상의 디바이스들과 제 1 금속 층들 간의 컨택트들 및 고 종횡비 피처들을 위해서 사용될 수도 있다. 통상적인 증착 프로세스에서, 기판은 증착 챔버 내에서 사전결정된 프로세스 온도로 가열되고, 시드 또는 핵생성 층으로서 기능하는 텅스텐 함유 재료들의 박층이 증착된다. 이어서, 텅스텐 함유 재료의 나머지 (벌크 층) 가 핵생성 층 상에 증착된다. 통상적으로, 텅스텐 함유 재료들은 수소 (H2) 를 사용하여서 텅스텐 헥사플루오라이드 (WF6) 를 환원시킴으로서 형성된다. 텅스텐 함유 재료들은 피처들 및 필드 영역을 포함하는 기판의 전체 노출된 표면 면적에 걸쳐서 증착된다.
텅스텐 함유 재료들을 소형의 그리고 특히 고 종횡비의 피처들 내로 증착하는 것은 충진된 피처들 내에 심들 (seams) 및 보이드들 (voids) 형성을 유발할 수도 있다. 대형 심들은 고 저항, 오염, 충진된 재료 손실로 이어지며 이와 달리 집적 회로들의 성능을 저하시킬 수도 있다. 예를 들어서, 심은 충진 프로세스 이후에 필드 영역 근처로 연장되고 이어서 화학적 기계적 평탄화 동안에 개방된다.
본 개시에서 기술된 논의 대상의 일 양태는 피처 충진 방법으로 구현되며 이 이 방법은 하나 이상의 피처 개구들, 피처 측벽들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 피처를 제 1 벌크 텅스텐 층으로 충진하도록 피처 내에 텅스텐을 컨포멀하게 증착하는 단계;상기 피처 내에 에칭된 텅스텐 층이 남도록 제 1 벌크 텅스텐 층의 일부를 제거하는 단계로서, 하나 이상의 피처 측벽들로부터 텅스텐을 제거하는 것을 포함하는, 제 1 벌크 텅스텐 층의 일부를 제거하는 단계; 및 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함한다. 다양한 구현예들에 따라서, 제 2 벌크 텅스텐 층은 피처를 충진할 수 있거나 하나 이상의 추가 텅스텐 층들이 피처 충진을 완료하게 선택적으로 또는 컨포멀하게 증착될 수 있다. 일부 구현예들에서, 제 2 벌크 텅스텐 층은 피처의 잔여 부분이 충진되지 않게 남도록 피처를 부분적으로 충진할 수 있다.
다양한 구현예들에 따라서, 제 1 벌크 텅스텐 층으로 피처를 컨포멀하게 충진하는 단계는 하나 이상의 보이드들 및/또는 심들이 피처 내에 형성되게 하는 단계를 포함한다. 심들 및/또는 보이드들 중 하나 이상은 증착된 텅스텐 층의 일부를 제거할 때에 개방 또는 제거될 수 있다.
상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계는 피처 내에 핵생성 층을 형성하지 않고서 에칭된 텅스텐 층 상에 바로 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함한다. 일부 구현예들에서, 제 2 벌크 텅스텐 층에서의 그레인 성장의 방향 및/또는 길이는 제 1 벌크 텅스텐 층에서의 그레인 성장의 방향 및/또는 길이와 상이할 수 있다.
다양한 구현예들에 따라서, 피처는 기판의 플레인에 대해서 수직으로 배향되거나 피처는 기판의 플레인에 대해서 수평으로 배향된다. 일부 구현예들에서, 피처는 하나 이상의 협폭부 또는 오버행을 포함하며 및/또는 재차 들어간 프로파일을 가질 수도 있다. 협폭부들의 실례는 3차원 구조물 내의 필러 협폭부들을 포함한다. 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 협폭부 또는 오버행을 넘어서까지 에칭하는 단계를 포함한다.
제 1 벌크 텅스텐 층은 피처 표면 상에, 예를 들어서, 유전체 표면 상에, 피처를 라이닝하는 언더 층 표면 상에 또는 부분적으로 증착된 텅스텐 핵생성 층 또는 벌크 텅스텐 층 상에 증착될 수 있다. 언더 층들의 실례는 티타늄 (Ti), 티타늄 질화물 (TiN), 텅스텐 질화물 (WN), 무불소 텅스텐 (FFW) 및 TiAl (티타늄 알루미나이드) 를 포함한다.
제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 원격에서 생성된 및/또는 인-시츄 생성된 플라즈마에서 생성된 것들을 포함하여, 플라즈마 생성기에서 생성된 활성화된 종들을 층을 노출시키는 단계를 포함할 수 있다. 사용될 수 있는 플라즈마 생성기의 실례는 용량적으로 커플링된 플라즈마 (CCP) 생성기, 유도적으로 커플링된 플라즈마 (ICP) 생성기, 트랜스포머 커플링된 플라즈마 (TCP) 생성기, 전자 사이클로트론 공진 (ECR) 생성기 또는 헬리콘 플라즈마 생성기를 포함한다. 활성화된 종들의 실례는 이온들, 라디칼들 및 원자적 종들을 포함한다. 일부 구현예들에서, 방법들은 텅스텐을 실질적으로 어떠한 이온성 종들로 존재하지 않으면서 라디칼 및 원자적 종들에 노출하는 단계를 포함한다. 일부 다른 구현예들에서, 방법들은 텅스텐을 이온성 종들에 노출하는 단계를 포함한다.
다른 구현예들에서, 피처는 100 퍼센트 이상의 스텝 커버리지를 갖는 텅스텐으로 충진된다. 일부 구현예들에서, 텅스텐의 제 2 벌크 텅스텐 층은 피처에 대해서 비컨포멀할 수 있다.
본 명세서에서 기술되는 논의 대상의 다른 양태는 텅스텐으로 피처를 충진하는 방법들로 구현되며, 이 방법들은 하나 이상의 피처 개구들, 피처 측벽들, 피처 내부 및 피처의 길이를 따라서 연장된 피처 축을 갖는 피처를 포함하는 기판을 제공하는 단계; 제 1 벌크 텅스텐 층으로 피처를 충진하도록 피처 내에 텅스텐을 증착하는 단계로서, 그레인 성장은 피처 축에 대해서 실질적으로 직교하는, 제 1 벌크 텅스텐 층을 증착하는 단계; 에칭된 텅스텐 층이 피처에 남도록 제 1 벌크 텅스텐 층의 일부를 제거하는 단계; 및 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계로서, 그레인 성장이 피처 축에 대해서 실질적으로 평행한, 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함한다.
본 명세서에서 기술되는 논의 대상의 다른 양태는 피처를 제 1 벌크 텅스텐 층으로 충진하도록 피처 내에 텅스텐을 컨포멀하게 증착하는 단계; 텅스텐의 일부가 제거된 후에 기판을 수용하는 단계로서, 수용된 피처는 에칭된 텅스텐 층을 포함하는, 기판을 수용하는 단계; 및 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계로서, 제 2 벌크 텅스텐 층은 피처에 대해서 비컨포멀한, 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하는 방법으로 구현될 수 있다. 일부 구현예들에서, 제 2 벌크 텅스텐 층은 피처에 대해서 비컨포멀할 수 있다.
본 명세서에서 기술되는 논의 대상의 다른 양태는 피처 개구, 피처 측벽들 및 폐쇄된 피처 단부를 갖는 피처를 포함하는 기판을 수용하는 단계로서, 피처는 컨포멀 벌크 텅스텐 층으로 충진되며, 컨포멀 벌크 텅스텐 층은 그 내에 형성된 보이드 및/또는 심을 포함하는, 기판을 수용하는 단계; 및 컨포멀 벌크 텅스텐 층의 일부를 에칭하는 단계를 포함하는 방법으로 구현되며, 에칭하는 단계는 텅스텐이 실질적으로 피처의 폐쇄된 단부에서만 남도록 피처의 피처 측벽들로부터 텅스텐을 제거하는 단계를 포함한다.
본 명세서에서 기술되는 논의 대상의 다른 양태는 피처 개구, 피처 측벽들 및 폐쇄된 피처 단부를 갖는 피처를 포함하는 기판을 수용하는 단계로서, 피처는 컨포멀 벌크 텅스텐 층으로 충진되며, 컨포멀 벌크 텅스텐 층은 그 내에 형성된 보이드 및/또는 심을 포함하는, 기판을 수용하는 단계; 및 컨포멀 벌크 텅스텐 층의 일부를 에칭하는 단계를 포함하는 방법으로 구현되며, 에칭하는 단계는 텅스텐이 실질적으로 피처 내부에서만 남도록 피처의 피처 측벽들로부터 텅스텐을 제거하는 단계를 포함한다.
본 명세서에서 기술되는 논의 대상의 다른 양태는 하나 이상의 피처 개구들, 피처 측벽들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 피처 내에 제 1 벌크 텅스텐 층을 증착하는 단계; 에칭된 텅스텐 층을 형성하도록 제 1 벌크 텅스텐 층을 에칭하는 단계로서, 제 1 벌크 텅스텐 층을 에칭하는 단계는 피처 내의 실질적으로 모든 텅스텐을 하나 이상의 피처 개구들로부터 연장되는 리세스 깊이까지 제거하는 단계를 포함하는, 제 1 벌크 텅스텐 층을 에칭하는 단계; 및 피처 내에 제 2 벌크 텅스텐 층을 증착하는 단계를 포함하는, 방법으로 구현될 수 있다.
다양한 구현예들에 따라서, 제 1 벌크 텅스텐 층은 피처를 오직 부분적으로 충진한다. 일부 구현예들에서, 보이드 또는 심이 제 1 벌크 층 내에 형성될 수 있다. 일부 구현예들에서, 제 1 벌크 텅스텐 층을 에칭하는 단계는 제 1 벌크 텅스텐 층의 적어도 일 영역의 측방향 에칭을 포함한다. 제 2 벌크 텅스텐 층은 에칭된 텅스텐 층 상에 선택적으로 또는 컨포멀하게 증착된다.
본 명세서에서 기술되는 논의 대상의 다른 양태는 피처 내에 붕소 층을 컨포멀하게 증착하는 단계; 피처 내의 붕소 층의 일부를 텅스텐으로 변환하여서 피처 내에 잔류 붕소 층을 남기는 단계; 잔류 붕소 층을 에칭하지 않고서 텅스텐을 선택적으로 에칭하는 단계; 및 잔류 붕소 층을 텅스텐으로 변환하는 단계를 포함하는, 방법으로 구현될 수 있다.
본 명세서에서 기술되는 논의 대상의 다른 양태는 피처 내에 붕소 층을 컨포멀하게 증착하는 단계로서, 붕소 층은 적어도 약 5 nm의 두께를 갖는, 붕소 층을 증착하는 단계; 피처의 충진된 부분이 체적 팽창을 경험하도록 피처 내의 붕소 층의 전체 두께를 텅스텐으로 변환하는 단계; 및 텅스텐으로 피처를 부분적으로 또는 완전히 충진하도록 컨포멀 증착 단계 및 변환 단계를 1 회 이상 반복하는 단계를 포함하는, 방법으로 구현될 수 있다.
본 명세서에서 기술되는 논의 대상의 다른 양태는 피처 내에 무불소 텅스텐 질화물 층 (fluroine-free tungsten 질화물 layer) 을 컨포멀하게 증착하는 단계; 및 무불소 텅스텐 질화물 층을 무불소 텅스텐 층으로 변환하는 단계를 포함하는, 방법으로 구현될 수 있다.
본 명세서에서 기술되는 논의 대상의 또 다른 양태는 할로겐 함유 환원제를 사용하여서 피처 내에 텅스텐 층을 컨포멀하게 증착하는 단계; 및 할로겐 함유 부산물들을 펌핑하는 단계; 및 컨포멀 텅스텐 층 상에 무불소 텅스텐 함유 층을 증착하는 단계를 포함하는, 방법으로 구현될 수 있다.
다른 양태들이 본 명세서에서 기술된 방법들을 구현하도록 구성된 장치로 구현될 수 있다.
이러한 양태 및 다른 양태들이 도면들을 참조하여서 더 기술된다.
도 1a 내지 도 1g는 본 명세서에서 기술되는 프로세스들에 따라서 충진될 수 있는 다양한 구조물들의 실례들을 도시한다.
도 2 및 도 2a는 텅스텐으로 피처들을 인사이드-아웃 (inside-out) 충진하는 방법들에서의 특정 동작들을 예시하는 프로세스 흐름도들이다.
도 3a 내지 도 4b는 인사이드-아웃 피처 충진의 다양한 스테이지들에서의 피처들을 도시하는 개략도들이다.
도 5a 내지 도 5d는 다양한 온도들에서의 텅스텐 (W), 티타늄 (Ti) 및 티타늄 질화물 (TiN) 에칭 레이트들 및 에칭 선택도들을 예시하는 그래프들이다.
도 6은 리세스 에칭된 텅스텐 층을 포함하는 피처의 개략도이다.
도 7은 리세스 에칭의 다양한 스테이지들에서의 피처의 개략도이다.
도 8은 리세스 에칭을 채용한 피처 충진의 다양한 스테이지에서의 피처의 개략도이다.
도 9a는 에칭 컨포멀성 (conformality) 조절을 예시하는 에칭의 다양한 스테이지들에서의 피처들의 개략도들이다.
도 9b는 상이한 에천트 플로우들에 대한 에칭 온도의 함수로서 텅스텐 에칭 레이트를 나타내는 그래프이다.
도 10은 비-컨포멀 에칭을 채용하는 피처 충진의 다양한 스테이지들에서의 소형 피처의 개략도이다.
도 11은 텅스텐 핵생성의 선택적 억제를 채용한 피처 충진의 다양한 스테이지들에서의 피처의 개략도이다.
도 12는 고 전력 에칭 및 저 전력 에칭 후에 증착된 막들에 대한 시간 벌크 층 성장 지연을 나타내는 그래프이다.
도 13a는 텅스텐으로의 붕소의 변환을 채용한 피처 충진의 다양한 스테이지들에서의 피처의 개략도이다.
도 13b는 텅스텐으로의 붕소의 부분적 변환을 사용한 피처 충진 방법들에서의 특정 동작들을 예시하는 프로세스 흐름도들이다.
도 13c 및 도 13d는 무불소 (fluorine-free) 텅스텐 함유 층들을 사용한 피처 충진 방법들에서의 특정 동작들을 예시하는 프로세스 흐름도들이다.
도 14 내지 도 23은 피처 충진의 다양한 스테이지들에서의 3차원 수직 NAND (3D VNAND 피처들의 개략도들이다.
도 24 내지 도 25b는 본 명세서에서 기술되는 방법들을 실시하기에 적합한 장치의 실례들을 도시하는 개략도들이다.
다음의 설명에서, 다수의 특정 세부사항들이 본 발명의 철저한 이해를 제공하기 위해서 제안된다. 본 발명은 이러한 특정 세부사항들 전부 또는 일부 없이도 실시될 수도 있다. 다른 실례들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다. 본 발명이 특정 구현예들과 함께 기술될 것이지만, 이는 본 발명을 구현예들로 한정하고자 하는 것이 아님이 이해될 것이다.
본 명세서에서, 텅스텐으로 피처들을 충진하는 방법들 및 이와 관련된 시스템들 및 장치들이 기술된다. 애플리케이션의 실례들은 로직 및 메모리 컨택트 충진, DRAM 매립형 워드라인 충진, 수직으로 집적된 메모리 게이트/워드라인 충진, 및 실리콘 관통 비아들 (TSV) 로의 3D 집적을 포함한다. 본 명세서에서 기술되는 방법들은 예를 들어서 텅스텐 비아들에서와 같은 수직 피처들 또는 수직 NAND (VNAND) 워드라인들과 같은 수평형 피처들을 충진하는데 사용될 수 있다. 이 방법들은 컨포멀한 보텀-업 (bottom-up) 또는 인사이드-아웃 (inside-out) 충진을 위해서 사용될 수도 있다.
다양한 구현예들에 따라서, 피처들은 좁고 및/또는 재차-들어간 (re-entrant) 개구들, 피처 내의 협폭부 (constriction) 및 고 종횡비 중 하나 이상에 의해서 특성화될 수 있다. 충진될 수 있는 피처들의 실례들은 도 1a 내지 도 1c에서 도시된다. 도 1a는 텅스텐으로 충진될 수직 피처 (101) 의 단면도의 실례이다. 피처는 기판 (103) 내의 피처 홀 (105) 을 포함할 수 있다. 기판은 그 상에 증착된 유전체, 도전성 또는 반도전성 재료와 같은 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함하여, 예를 들어서 200 mm 웨이퍼, 300 mm 웨이퍼, 450 mm 웨이퍼와 같은 실리콘 웨이퍼일 수도 있다. 피처는 이러한 층들 중 하나 이상 내에 형성될 수 있다. 예를 들어서, 피처는 유전체 층 내에 적어도 부분적으로 형성될 수 있다. 몇몇 구현예들에서, 피처 홀 (105) 은 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1 또는 이보다 높은 종횡비를 가질 수도 있다. 피처 홀 (105) 은 또한 약 10 nm 내지 500 nm, 예를 들어서, 약 25 nm 내지 300 nm의, 개구 근처의 치수, 예를 들어서, 개구 직경 또는 폭을 가질 수도 있다. 피처 홀 (105) 은 충진되지 않은 피처 또는 간단하게 피처로서 지칭될 수도 있다. 이 피처 및 임의의 피처는 피처의 길이를 통해서 연장되는 축 (118) 에 의해서 부분적으로 특성화될 수 있으며, 수직으로 배향된 피처들은 수직 축을 가지며 수평으로 배향된 피처들은 수평 축들을 갖는다.
도 1b는 재차-들어간 프로파일을 갖는 피처 (101) 의 실례를 도시한다. 재차 들어간 프로파일은 피처의 하단, 폐쇄된 단부 또는 내부로부터 피처 개구로 좁아지는 프로파일이다. 다양한 구현예들에 따라서, 이 프로파일은 점진진적으로 좁아지고 및/또는 피처 개구에서의 오버행 (overhang) 을 포함할 수도 있다. 도 1b는 피처 홀 (105) 의 측벽 또는 내측 표면부들을 라이닝하는 언더-층 (under-layer) (113) 을 갖는, 후자의 실례를 도시한다. 언더-층 (113) 은 예를 들어서, 확산 장벽 층, 접착 층, 핵생성 층, 이들의 조합 또는 임의의 다른 도포가능한 재료일 수 있다. 언더-층 (113) 이 피처 (101) 의 내측에서보다 피처 (101) 의 개구 근처에서 더 두껍도록 오버행 (115) 을 형성한다.
몇몇 구현예들에서, 피처 내의 하나 이상의 폭이 좁아지는 부분을 갖는 피처들이 충진될 수도 있다. 도 1c는 협폭부을 갖는 다양한 충진된 피처들의 뷰들의 실례들을 도시한다. 도 1c에서의 실례들 (a), (b) 및 (c) 각각은 피처 내의 중간 지점에서 협폭부 (109) 을 포함한다. 협폭부 (109) 는 예를 들어서, 약 15 nm 내지 20 nm 폭을 가질 수도 있다. 협폭부들은 통상적인 기법들을 사용하여서 피처 내에 텅스텐을 증착하는 동안에 핀치 오프 (pinch off) 를 발생시킬 수도 있으며, 증착된 텅스텐은 협폭부을 지난 부분이 충진되기 이전에 이 부분을 후속 증착하는 것을 막으며, 이로써 피처 내에 보이드들을 낳는다. 실례 (b) 는 피처 개구에서 라이너/장벽 오버행 (115) 을 더 포함한다. 이러한 오버행은 또한 잠재적 핀치-오프 지점일 수도 있다. 실례 (c) 는 실례 (b) 에서의 오버행 (115) 보다 필드 영역으로부터 더 멀어진 부분에서의 협폭부 (112) 를 포함한다. 이하에서 더 기술될 바와 같이, 본 명세서에서 기술된 방법들은 도 1c에서 도시된 바와 같은 무-보이드 충진을 가능하게 한다.
예를 들어서, 3-D 메모리 구조체들에서의 수평형 피처들이 또한 충진될 수 있다. 도 1d는 협폭부 (151) 을 포함하는 수평형 피처 (150) 의 실례를 도시한다. 예를 들어서, 수평형 피처 (150) 는 VNAND 구조체 내의 워드라인일 수 있다.
몇몇 구현예들에서, 이 협폭부들은 VNAND 또는 다른 구조체에서 필러들 (pillars) 의 존재로 인한 것일 수 있다. 도 1e는 예를 들어서 VNAND 구조체 내의 필러들 (125) 또는 수직으로 집적된 메모리 (VIM) 구조물 (148) 의 평면도를 도시하며, 도 1f는 필러들 (125) 의 단면도의 단순화된 개략도이다. 도 1e에서의 화살표들은 재료 증착을 나타내며; 필러들 (125) 은 구역 (127) 과 가스 유입구 또는 다른 증착 소스 간에 배치되기 때문에, 인접하는 필러들은 구역 (127) 의 무-보이드 충진 시에 문제가 되는 협폭부들을 낳을 수 있다.
구조물 (148) 은 예를 들어서 기판 상에 교번하는 층간 유전체 층들 (154) 및 희생층들 (미도시) 의 스택을 증착하고 희생층들을 선택적으로 에칭함으로써 형성될 수 있다. 층간 유전체 층들 (154) 은 예를 들어서 실리콘 산화물 및/또는 실리콘 질화물 층들일 수도 있으며, 희생층들은 에천트로 선택적으로 에칭가능한 재료일 수 있다. 이어서, 필러들 (125) 을 형성하도록 에칭 프로세스 및 증착 프로세스가 따르며, 이 필러들 (125) 은 완성된 메모리 디바이스의 채널 영역들을 포함할 수 있다.
기판 (100) 의 주 표면은 x 방향 및 y 방향으로 연장되며, 필러들 (125) 은 z 방향으로 배향된다. 도 1e 및 도 1f의 실례에서, 필러들 (125) 은 오프셋 방식으로 배열되고, 이로써 x 방향으로 바로 인접한 필러들 (125) 은 y 방향으로 서로 오프셋되고, 이와 반대로도 된다. 다양한 구현예들에 따라서, 필러들 (및 인접하는 필러들에 의해서 형성된 대응하는 협폭부들) 은 임의의 수의 방식들로 배열될 수도 있다. 또한, 필러들 (125) 은 원형, 정방형, 등을 포함하여 임의의 형상일 수도 있다. 필러들 (125) 은 환상 반도전성 재료 또는 원형 (또는 정방형) 반도전성 재료을 포함할 수 있다. 게이트 유전체가 이 반도전성 재료를 둘러쌀수 있다. 각 층간 유전체 층 (129) 간의 구역은 텅스텐으로 충진되며, 이로써 구조물 (148) 은 충진될 x 방향 및/또는 y 방향으로 연장되는 복수의 적층된 수평으로-배향된 피처들을 갖는다.
도 1g는 필러 협폭부 (151) 를 포함하는 예를 들어서, VNAND 또는 다른 구조체의 수평형 피처의 다른 실례를 제공한다. 도 1g에서의 실례는 개방 단부를 가지며, 증착될 재료가 화살표들로 표시된 바와 같이 양 측으로부터 수평으로 들어갈 수 있다. (도 1g에서의 실례는 3D 피처들을 만드는 2D 구조물로서 보여질 수 있으며, 도 1g는 충진될 구역의 단면도이며, 이 도면에서 도시된 필러 협폭부들은 단면도보다는 평면도로 보여질 협폭부들을 나타낸다는 것이 주목되어야 한다). 일부 구현예들에서, 3D 구조물들은 (예를 들어서, 도 1f의 실례에서 X, Y 및 Z 방향들로) 2 차원 또는 3차원을 따라서 연장하여 충진될 구역으로 특성화되며, 1 차원 또는 2 차원을 따라서 연장하는 홀들 또는 트렌치들을 충진하는 것보다 많은 충진 시의 과제를 나타낼 수 있다. 예를 들어서, 3D 구조물의 충진을 제어하는 것은 증착 가스들이 여러 방향들로부터 피처 내로 진입할 수 있기 때문에 해결과제를 낳을 수도 있다.
텅스텐-함유 재료들로 피처들을 충진하는 것은 충진된 피처들 내측에 보이드들 및 심들의 형성을 유발할 수도 있다. 보이드는 충진되지 않은 채로 남겨진 피처 내의 영역이다. 보이드는 예를 들어서, 증착된 재료가 피처 내에서 핀치 포인트를 형성하여서, 피처 내의 충진되지 않은 공간을 밀봉하여서 반응물이 들어가서 증착되는 것을 방지하는 경우에 형성될 수 있다.
보이드 및 심 형성에 대한 다수의 잠재적 요인들이 존재한다. 일 요인은 확산 장벽 층 또는 핵생성 층과 같은, 텅스텐 함유 재료 또는 보다 통상적으로 다른 재료들의 증착 동안에 피처 개구 근처에 형성된 오버행이다. 그 실례가 도 1b에 도시된다.
도 1b에서는 도시되지 않았지만 그럼에도 심 형성 또는 심 확장으로 이어질 수도 있는 보이드 또는 심 형성의 다른 요인은 피처 홀들의 곡면형 (또는 보우형 (bowed)) 측벽들이며, 이는 또한 보우형 피처들로서 지칭된다. 보우형 피처들에서, 개구 근방의 캐비티의 단면 치수는 피처 내측의 것보다 작다. 보우형 피처들에서의 이러한 보다 좁아진 개구들의 효과는 상술한 오버행 문제와 다소 유사하다. 도 1c, 도 1d 및 도 1g에서 도시된 바와 같은 피처 내의 협폭부들도 또한 소수의 보이드 또는 심만을 갖게 또는 보이드 또는 심을 전혀 갖지 않으면서 텅스텐을 충진하는데 있어서 과제를 만들 것이다.
무 보이드 충진이 달성된다고 하여도, 피처 내의 텅스텐은 비아, 트렌치, 라인 또는 다른 피처의 축 또는 중간을 통해서 연장하는 심을 포함할 수도 있다. 이는 텅스텐 성장이 일 측벽에서 시작하여서, 그레인들이 이와 반대되는 측벽으로부터 성장하는 텅스텐과 만날때까지 계속될 수 있기 때문이다. 이 심은 하이드로플루오릭 산 (HF) 와 같은 불소 함유 화합물들을 포함하는 불순물들의 트랩핑을 허용할 수 있다. 화학적 기계적 평탄화 (CMP) 동안에, 코어링 (coring) 이 또한 이 심으로부터 전파될 수 있다. 다양한 구현예들에 따라서, 본 명세서에서 기술된 방법들은 보이드 및 심 형성을 줄이거나 제거할 수 있다. 또한, 본 명세서에서 기술된 방법들은 다음 중 하나 이상을 처리할 수 있다:
1) 상당한 과제를 낳은 프로파일들: 무 보이드 충진은 본 명세서에서 참조로서 인용된 미국 특허 출원 번호 13/351,970에서 기술된 바와 같은 증착-에칭-증착 사이클들을 사용하여서 대부분의 재차 들어간 피처들 내에서 달성될 수 있다. 그러나, 치수들 및 기하구조에 따라서, 다수의 증착-에칭-증착 사이클들이 무 보이드 충진을 달성하기 위해서 필요할 수도 있다. 이는 프로세스 안정성 및 처리량에 영향을 줄 수 있다. 본 명세서에서 기술된 구현예들은 소수의 증착-에칭-증착 사이클들 또는 이러한 사이클을 전혀 없이 피처 충진을 제공할 수 있다.
2) 소형 피처들 및 라이너/장벽 영향: 피처 크기들이 극히 작은 경우에, 언더층 라이너/장벽의 무결성에 영향을 주지 않고서 에칭 프로세스를 튜닝하는 것은 매우 어려울 수 있다. 몇몇 경우들에서, 단속적 Ti 공격-가능하게는 에칭 동안에 패시베이션 TiFx 층 형성으로 기인됨-이 텅스텐-선택성 에칭 동안에 발생할 수 있다.
3) W 그레인 바운더리들에서의 산란: 피처 내에서의 다수의 텅스텐 그레인들의 존재는 그레인 바운더리 산란으로 인한 전자 손실을 낳을 수도 있다. 이로써, 실제 디바이스 성능은 이론적 예측치들 및 블랜킷 웨이퍼 결과들에 비해서 저하될 것이다.
4) W 충진에서의 감소된 비아 체적: 특히, 보다 작고 보다 새로운 피처들에서, 금속 컨택트의 상당한 부분이 W 장벽 (TiN, WN 등) 에 의해서 점유된다. 이러한 막들은 통상적으로 텅스텐보다 높은 저항을 가지며 컨택트 저항 등과 같은 전기적 특성에 부정적 영향을 준다.
보이드 및 심 형성을 줄이거나 제거할 수 있는, 텅스텐으로 피처들을 충진하는 다양한 방법들이 본 명세서에서 제공된다. 이 방법들은 수직 배향 및 수평 배향을 포함하여서, 임의의 배향을 갖는 피처들의 피처 충진을 위해서 사용될 수 있다. 몇몇 구현예들에서, 이 방법들은 기판의 플레인 (plane) 에 대해서 경사진 배향을 갖는 피처들을 충진하는데 사용될 수도 있다. 일부 구현예들에서, 이 방법들은 다수의 배향들을 갖는 피처를 충진하는데 사용될 수도 있다. 이러한 피처들의 실례는 피처를 수직으로 그리고 측방향으로 증착 가스들이 진입할 수 있는 3 차원 피처들을 포함한다. 또한, 몇몇 구현예들에서, 이 방법들은 단일 기판 상에 상이한 배향들을 갖는 다수의 피처들을 충진하는데 사용될 수도 있다.
수평으로 배향된 피처 및 수직으로 배향된 피처에 대한 피처 충진의 실례들이 이하에서 기술된다. 대부분의 경우들에서, 실례들은 수평으로 배향된 피처 및 수직으로 배향된 피처 모두에 대해서 적용가능하다는 것이 주목되어야 한다. 또한, 이하의 설명에서, "측방향"은 피처 축에 대해서 대체적으로 직교하는 방향을 말하며 용어 "수직방향"은 대체적으로 피처 축을 따르는 방향을 말한다.
이하의 설명이 텅스텐 피처 충진에 집중하지만, 본 개시의 양태들은 다른 재료로 피처들을 충진할 시에도 구현될 수도 있다. 예를 들어서, 인사이드-아웃 피처 충진, 에칭 컨포멀성 조절, 환원제 변환, 에칭 정지제로서 사용되는 변환되지 않은 환원제를 갖는 부분적 환원제 변환, 및 무할로겐 (halogen-free) 충진의 위에서 기술된 하나 이상의 기법들을 사용하는 피처 충진은 텅스텐 함유 재료들 (예를 들어서, 텅스텐 질화물 (WN) 및 텅스텐 카바이드 (tungsten carbide) (WC)), 티타늄 함유 재료들 (예를 들어서, 티타늄 (Ti), 티타늄 질화물 (TiN), 티타늄 실리사이드 (TiSi), 티타늄 카바이드 (TiC) 및 티타늄 알루미드 (titanium alumide) (TiAl)), 탄탈륨 함유 재료들 (tantalum-containing materials) (예를 들어서, 탄탈륨 (Ta), 및 탄탈륨 질화물 (tantalum 질화물) (TaN)), 및 니켈 함유 재료들 (nickel-containing materials) (예를 들어, 니켈 (Ni) 및 니켈 실리사이드 (nickel silicide) (NiSi)) 을 포함하는 다른 재료들로 피처들을 충진하는데 사용될 수도 있다.
인사이드-아웃 충진 (inside-out fill)
재차-들어간 (re-entrant) 피처들 내로의 통상적인 텅스텐 충진은 측벽 표면으로부터 시작하여서 측방향으로 (즉, 측벽 표면 및 피처 축에 대해서 수직인 방향으로) 피처가 충진될 때까지 진행한다. 본 명세서에서 기술된 인사이드-아웃 충진을 사용하면, 텅스텐 성장은 피처와 함께 수직으로 (즉, 피처 축을 따라서) 진행한다. 일부 구현예들에서, 피처 측벽들로부터의 텅스텐 성장은 제거 또는 지연되어서, 텅스텐이 인사이드-아웃, 즉 내부에서 외부로 성정하는 것을 가능하게 한다. 이는 대형 텅스텐 그레인들 및 보다 낮은 저항을 낳으며, 피처 축을 따라서 어떠한 심도 형성되지 않으며 이로써 화학적 기계적 평탄화 (CMP) 동안 코어링을 줄이며, 피처 내의 보이드들을 제거하거나 줄일 수 있다.
본 명세서에서 기술된 구현예들은 피처 내에 텅스텐 증착 및 이어서 피처 내에서 멀리 있는 위치에서, 예를 들어서, 수직으로 배향된 피처의 하단 상과 같은 피처의 폐쇄된 단부 또는 수평으로 배향된 피처의 폐쇄된 단부 또는 다수의 개구들을 갖는 수평으로 배향된 피처의 내부에서, 텅스텐을 남기면서 측벽들 상에 증착된 텅스텐의 일부 또는 전부를 제거하도록 에칭하는 동작을 포함한다. 이 초기 증착은 피처의 모든 접근가능한 표면들로부터 균일하게 성장하는 텅스텐으로 인해서 컨포멀할 수 있다. 이어서, 후속 증착은, 텅스텐이 언더-층 (under-layer) 또는 기판 구조물 상에서보다, 피처 내에 남아 있는 텅스텐 상에서 우선적으로 성장한다는 점에서 "선택적"일 수 있다. 일부 구현예들에서, 전체 증착 프로세스 (예를 들어서, 컨포멀 증착-에칭-선택적 증착) 는 컨포멀하기보다는 인사이드-아웃 방식으로서 특성화될 수 있다. 인사이드-아웃 충진은 피처의 내측으로부터 발생하는 성장을 말하며, 도 1a 및 도 1b에서와 같은 수직형 폐쇄된 단부를 갖는 구조물들에 대해서서는 "보텀-업" 충진으로서 지칭될 수도 있다.
도 2는 인사이드-아웃 충진의 방법의 특정 동작들을 예시하는 프로세스 흐름도이다. 이 방법은 블록 (201) 에서 피처 내에 텅스텐을 컨포멀하게 증착함으로써 시작된다. 몇몇 구현예들에서, 블록 (201) 은 텅스텐 핵생성 층의 증착 및 이후의 벌크 증착을 수반할 수 있다. 텅스텐 핵생성 층 증착 기법 및 벌크 증착 기법은 이하에서 더 기술된다. 일부 구현예들에서, 예를 들어서, 피처가 텅스텐 증착을 지원하는 언더-층 (under-layer) 을 포함하는 경우에, 블록 (201) 은 오직 벌크 증착만을 포함할 수도 있다. 협폭부들을 포함하거나 또는 이와 달리 핀치-오프에 민감한 피처들에서, 블록 (201) 은 적어도 피처가 핀치 오프될 때까지 수행될 수 있다. 컨포멀 증착에서, 증착은 각 표면에서 시작하고 이 표면에 대체적으로 직교하는 방향으로 성장하게 진행한다. 피처 내에서의 텅스텐 성장은 각 측벽에서 시작하고 성장이 피처를 핀치 오프시킬 때까지 진행된다. 일부 구현예들에서, 블록 (201) 에서 증착된 텅스텐의 양은 가장 좁은 피처 치수에 기초하여서 결정될 수 있다. 예를 들어서, 가장 좁은 치수가 50 nm이면, 블록 (201) 에서의 CVD 반응은 각 표면 상에서 25 nm 만큼 증착하기에 충분하게 길게 진행되게 허용되고, 이 25 nm 위치에서 증착된 텅스텐은 피처 내로의 추가 반응물 확산을 차단한다. 이는 대체적으로 반응 키네틱 사항들, 텅스텐 핵생성 층 두께 등에 의거하여서 반응 이전에 결정될 수 있다. 일부 구현예들에서, 블록 (201) 은 본 명세서에서 참조로서 인용되는 미국 특허 출원 번호 13/016,656에 기술된 바와 같이 다수의 증착-에칭-증착 사이클들을 수반할 수도 있다. 일부 구현예들에서, 블록 (201) 은 임의의 에칭 동작들을 포함하지 않으며, 적어도 피처가 핀치 오프될 때까지 오직 증착만이 있을 수 있다. 블록 (201) 은 단일 챔버에서, 다중-스테이션 또는 단일 스테이션 챔버의 단일 스테이션에서, 다중-스테이션 장치의 다수의 스테이션들에서, 또는 다수의 챔버들에서 발생할 수 있다. 또한, 블록 (201) 은 챔버의 일 스테이션에서 텅스텐 핵생성 층 증착을 하고 이어서 이 챔버의 다른 스테이션에서 벌크 증착을 하는 것을 포함할 수 있다.
프로세스는 블록 (203) 에서 텅스텐의 부분적 에칭으로 계속된다. 일부 텅스텐은 피처 내에 남지만, 에칭은 피처의 측벽의 적어도 일부로부터 텅스텐을 제거한다. 블록 (203) 은 대체적으로 예를 들어서 불소 함유 종들 또는 다른 에천트 종들을 사용하여서 화학적 에칭을 하는 것을 수반할 수 있다. 일부 구현예들에서, 활성화된 종들들이 사용될 수도 있다. 활성화된 종들은 원자적 종들, 라디칼 종들, 및 이온성 종들을 포함한다. 본 애플리케이션의 목적들을 위해서, 활성화된 종들은 플라즈마 생성기로 초기에 유입된 가스들 및 재결합된 종들과는 구별된다. 예를 들어서, 증착된 텅스텐을 부분적으로 에칭하는 것은 원격 또는 인 시츄 플라즈마 생성기에서 생성된 에천트 종들에 노출시키는 것을 수반할 수 있다. 일부 구현예들에서, 원격에서 생성된 플라즈마 종들 및 인 시츄 생성된 플라즈마 종들 모두가 순차적으로 또는 동시에 사용될 수도 있다. 일부 구현예들에서, F2, CF3Cl, 또는 다른 에천트 화학물을 사용하는 비-플라즈마 화학적 에칭이 사용될 수도 있다. 블록 (203) 은 블록 (201) 에서와 동일한 챔버에서 또는 상이한 챔버에서 발생할 수 있다. 피처 내의 텅스텐을 에칭하는 방법들은 이하에서 더 기술된다. 피처 아키텍처에 따라서, 에칭이 컨포멀하거나 컨포멀하지 않을 수도 있다. 또한, 역 에칭 (etch back) 은 대체적으로 측방향으로 (피처 축에 직교하는 방향으로) 및/또는 수직으로 (피처 축을 따라서) 진행될 수 있다.
다양한 구현예들에서, 에칭은 언더-층에 대해서 선호적 또는 비선호적일 수도 있다. 예를 들어서, 에칭은 Ti 또는 TiN 언더-층이 에칭 정지제로서 역할을 하는 경우에 W에 선호적일 수 있다. 일부 구현예들에서, 에칭은 그 아래에 높인 유전체가 에칭 정지제 역할을 하면 W 및 Ti 또는 TiN을 에칭할 수 있다.
이어서, 프로세스는 블록 (205) 로 진행하여서 여기서 잔여 텅스텐 상에 선택적으로 증착한다. 선택적 증착은 텅스텐이 제거된 측벽 또는 다른 표면들에 비해서 이 텅스텐 표면들 상으로 우세적으로 증착하는 것을 말한다. 일부 구현예들에서, 선택적 증착 프로세스는 측벽 표면들에는 실질적으로 어떠한 텅스텐도 증착하지 않는다. 일부 구현예들에서, 선택적 증착 프로세스는 측벽 표면들 상에 소량의 텅스텐을 증착할 수 있지만, 이는 텅스텐 표면들 상에서의 증착보다 매우 느린 레이트로 진행한다. 예를 들어서, 성장 레이트 및 증착된 두께는 텅스텐 표면 상에서의 것보다 측벽 표면들 상에서의 것이 대략 1/2 정도일 수 있다. 일부 구현예들에서, 이는 1/10 또는 1/100 일 수 있다.
일부 구현예들에서, 블록 (205) 은 핵생성 층의 증착 없이 진행될 수도 있다. 이는 오직 피처 내의 잔여 텅스텐 상에서만 선택적 증착이 되게 한다. 다수의 구현예들에서, 블록 (201) 은 컨포멀 증착을 달성하기 위해서 핵생성 층의 증착을 수반하는데 반해서 블록 (205) 은 중간의 핵생성 층 증착 없이 에칭된 텅스텐 층 상에서의 증착으로 진행된다. 일부 구현예들에서, 핵생성 층은 추가 성장이 요구되는 피처의 적어도 일부 상에 증착될 수도 있다. 핵생성 층이 후속 증착이 요구되지 않는 측벽 또는 다른 표면들 상을 포함하여서 블록 (205) 에서 증착되면, 이러한 표면들 상에서의 텅스텐 핵생성은 선택적으로 억제될 수 있다. 텅스텐 핵생성을 억제하는 방법은 본 명세서에서 참조로서 인용된 미국 특허 출원 번호 13/774,350에 기술된다.
도 2a는 도 2에 따른 인사이드-아웃 충진의 실례에서의 특정 동작들을 예시하는 프로세스 흐름도이다. 이 프로세스는 원자 층 증착 (ALD) 또는 펄스된 핵생성 층 (PNL) 프로세스에 의해서 텅스텐 핵생성 층을 형성하도록 텅스텐 함유 프리커서 및 하나 이상의 환원제들을 순차적으로 펄싱함으로써 시작될 수 있다 (201a). 후속 벌크 증착을 지원하는 컨포멀 핵생성 박층이 형성된다. 핵생성 층 증착의 추가 설명은 이하에서 제공된다. 이어서, 텅스텐 함유 프리커서 및 환원제가 피처를 하우징하는 챔버로 동시에 도입된다 (201b). 이로써 블록 (201a) 에서 형성된 텅스텐 핵생성 층 사에 화학적 기상 증착 (CVD) 에 의해서 텅스텐 벌크 층이 증착된다. 컨포멀 증착의 경우에, 벌크 텅스텐 층은 그 아래의 텅스텐 핵생성 층의 윤곽을 따르며, 텅스텐 핵생성 층은 피처의 윤관을 따른다. 이어서, 텅스텐이 도 2을 참조하여서 상술한 바와 같이 부분적으로 에칭된다 (203). 프로세스는 다시 다른 벌크 층을 CVD에 의해서 증착하기 위해서 텅스텐 함유 프리커서 및 환원제를 동시에 도입함으로써 계속된다 (205a). 도 2a의 실례에서, 블록 (205a) 에서 증착된 벌크 텅스텐 층은 다른 핵생성 층의 형성 없이 에칭된 텅스텐 상에 직접적으로 증착된다.
일부 구현예들에서, 피처 충진은 피처를 충진하기 위해서 컨포멀 텅스텐 증착을 수반할 수 있으며, 이로써 이 증착은 피처 내에서 보이드 또는 심의 형성에도 진행되게 하며, 이어서 피처를 개방하게 에칭이 수행되며, 이어서 피처 내에 선택적 증착이 수행된다. 다양한 구현예들에 따라서, 피처를 충진하기 위한 컨포멀 증착은 피처의 상단까지의 또는 오직 협폭부 또는 핀치 지점을 통해서만 충진하는 것을 포함할 수 있다. 어느 경우에든, 심 및/또는 보이드를 포함하는 피처의 부분은 에칭 이전에 추가 증착을 위해서 폐쇄될 수도 있다.
이전의 방식들은 비아 또는 다른 피처가 개방되고 막히지 않게 남겨두는, 제 1 증착 동작 동안의 부분적 충진을 수반하였다. 후속 에칭 단계 동안에, 이러한 방식들에서, 필드 및 핀치 지점에서의 텅스텐 제거를 목표로 하는 후속 에칭은 비아 또는 다른 피처 내측의 텅스텐을 제거하는 원하지 않은 부작용을 가질 수 있다. 이어서, 후속 증착 단계는 비아 또는 다른 피처 내측에서 그리고 핀치 지점에서 동일한 레이트로 텅스텐을 재성장시키며, 이로써 오직 증착만으로 피처를 충진하는 방식과 동일한 키홀 (keyhole) 보이드가 남게 된다. 이와 대조하여서, 본 명세서에서 기술되는 방법들은 피처 내에서 부분적 성장을 남기고, 이 부분적 성장은 후속 증착 동작에서 선택적 증착을 낳는다. 예를 들어서, 프로세스는 필드 및 핀치 지점으로부터 텅스텐을 완전히 제거하도록 핀치-오프된 비아를 에칭하여서, 비아 내측의 부분적 텅스텐 성장은 영향을 받지 않게 하는 것을 수반한다. 후속 텅스텐 증착은 기존의 텅스텐 층 상에서 비아 내측에서 부분적 텅스텐 재성장을 가능하게 하면서, 필드에서의 상당한 성장 지연이 최종 비아 충진 시에 핀치-오프 및 보이드를 방지한다. 상술한 바와 같이, 상당한 성장 지연은 적어도 부분적으로 텅스텐 성장을 지원하는 표면의 제거로 인한 것이다. 일부 구현예들에서, 에칭은 언더-층에 비해서 텅스텐에 대해 선호적일 수 있다. 예를 들어서, TiN/W (티타늄 질화물 언더-층/텅스텐 층) 이중층을 포함하는 피처는 텅스텐에 대해 선호적인 에칭을 받을 수 있다. 이 선호적인 에칭 (또는 선택적 에칭으로도 지칭됨) 은 필드 및 핀치 지점에서 TiN을 통한 에칭 없이 필드 및 핀치 지점으로부터 텅스텐을 제거할 수 있다. 후속하는 증착은 텅스텐 재성장이 피처 내측에서 이루어지지만 필드 상 또는 핀치 지점의 측벽 상에서 일어나지 않게 한다. 이로써, 이 성장은 컨포멀하기보다는 인사이드-아웃 (예를 들어서, 보텀-업) 이다. 다양한 구현예들이 도 3a, 도 3b, 도 4a 및 도 4b를 참조하여서 이하에서 기술된다.
일부 구현예들에서, 피처 충진은 (1) 피처를 핀치 오프하도록 증착하는 것; (2) 핀치 지점을 통해서 텅스텐을 제거하기 위해서 에칭하는 것; (3) 핀치 지점 아래를 선택적 증착에 의해서 충진하는 것; 및 (4) 피처의 나머지 부분을 충진하는 것을 포함할 수 있다. 일부 구현예들에서, (2) TiN, Ti 또는 다른 언더-층에 비해서 텅스텐에 대해서 선택성인 (즉, 선호적인) 에칭 조건을 수반한다. 도 3a는 이러한 방법을 사용하는 피처 충진의 개략적 단면도의 실례를 도시한다. 먼저, 핀치 지점 (351) 및 TiN 언더-층 (313) 을 포함하는 피처 (310) 가 동작 (310) 에서 컨포멀 증착 기법에 의해서 충진된다. 피처가 핀치 오프되고 텅스텐이 역시 필드 영역에도 증착될 때까지 증착이 계속 진행된다. 이 동작에서의 증착은 대체적으로 피처에 대해서 컨포멀하며, 충진된 피처 (301) 내에 보이드 (312) 를 남긴다. 컨포멀 증착의 실례가 도 3c에서 개략적으로 도시되며, 이 도면은 피처 (301) 내의 텅스텐 성장 스테이지들 (350, 360 및 370) 을 예시한다. 텅스텐 성장 스테이지들 (350, 360 및 370) 은 예를 들어서, 피처 (310) 내로의 텅스텐을 증착하는 CVD 프로세스의 진행에서의 스테이지들을 나타낸다. 스테이지 (350) 에서, 텅스텐 층 (302) 이 피처 (301) 를 컨포멀하게 라이닝한다. 텅스텐 성장은 피처 표면들로부터 직교방향으로 계속 진행하며, 스테이지 (360) 에서 도시된 바와 같이 대체적으로 균일하게 성장한다. 스테이지 (370) 에서, 핀치 지점 (351) 에서 측벽 표면들로부터 성장은 피처 (301) 를 폐쇄하고 보이드 (312) 를 남긴다. 도 3a로 다시 돌아가면, 동작 (310) 에서의 충진은 일부 구현예들에서 정확하게 컨포멀할 수 있다. 일부 다른 구현예들에서, 충진은 어느 정도는 비컨포멀 측면을 가지면서 대체적으로 컨포멀할 수도 있다. 예를 들어서, 텅스텐 핵생성은 핀치 지점 (351) 에서 억제되어서 피처 (310) 를 폐쇄하는 것을 지연할 수도 있다. 어느 경우에서든, 보이드 (312) 가 동작 (310) 동안에 존재한다.
동작 (320) 에서, 피처 (301) 는 텅스텐에 대해 선택적인 에칭으로 개방된다. 즉, 피처 (301) 는 언더-층 (313) 을 크게 에칭하지 않고서 텅스텐을 에칭하는 에칭 화학물을 사용하여서 에칭된다. 피처 (301) 실례에서, 텅스텐은 티타늄 질화물을 에칭하지 않고서 에칭된다. 이 에칭은 핀치 지점 (351) 에서 텅스텐이 제거될 때까지 진행된다. 에칭 프로세스의 끝부분까지 피처 (301) 는 폐쇄된 채로 유지되기 때문에 핀치 지점 아래의 텅스텐은 영향을 받지 않은 채로 유지된다. 이와 동시에, 필드에서 그리고 핀치 지점에서 그리고 그 위의 텅스텐은 오버-에칭되어서, 언더-층을 노출시킨다. 선택적 에칭이 사용되기 때문에, 티타늄 질화물 층 (313) 이 핀치 지점 (351) 의 측벽들 및 필드 영역 상에서 유지된다. 이로써, 핀치 지점 (351) 아래에서 피처 (310) 내에서 텅스텐 (303) 이 존재하며, 핀치 지점 (351) 에서 그리고 그 위에서 측벽들을 따라서 최소한의 텅스텐 또는 거의 텅스텐이 존재하지 않는다. 존재한다면, 임의의 남은 텅스텐은 측벽들로부터의 고품질의 일정한 성장을 지원하기에 대체적으로 불충분하다. 예를 들어서, 몇몇 구현예들에서, 그것은 불연속 막일 수도 있다.
동작 (330) 에서, 텅스텐이 남겨진 텅스텐 (303) 상에서 피처 (301) 내에 선택적으로 충진된다. 텅스텐이 핀치 지점 (351) 아래에서만 존재하기 때문에, 텅스텐은 핀치 지점 (351) 아래에서 선택적으로 증착된다. 이 동작에서의 충진은 보텀-업으로서 특성화될 수 있다.
몇몇 구현예들에서, 동작 (330) 은 동작 (320) 바로 후에 수행될 수도 있다. 핀치 지점 (351) 에서 그리고 그 위에서 노출된 언더-층 (313) 상에서의 느린 텅스텐 성장에 비해서 피처 (301) 내측에 존재하는 텅스텐 (303) 상에서는 신속한 재성장이 존재하기 때문에 이 증착은 선택적이다. 몇몇 구현예들에서, 핀치 지점 (351) 에서의 핵생성은 동작 (330) 이전에 억제될 수도 있다. 도시되지는 않았지만, 몇몇 구현예들에서, 동작들 (320 및 330) 은 1 회 이상 반복될 수도 있다. 예를 들어서, 수행된 동작 (330) 이 심 형성을 낳는다면, 다른 선택적 증착 동작 이전에, 에칭이 수행되어서 심을 제거할 수도 있다. 심 제거는 도 3b를 참조하여서 이하에서 기술된다.
이어서, 충진이 피처 (301) 를 완전히 충진하도록 계속될 수 있다. 일부 구현예들에서, 피처 내에서의 선택적으로 보다 고속인 재성장은 상단이 핀치 오프되기 이전에 완벽한 충진을 가능하게 할 수도 있다 (미도시). 일부 구현예들에서, 에칭 및 선택적 충진 프로세스는 완벽한 충진을 달성하기 위해서 1 회 이상 반복될 수도 있다. 피처가 동작들 (320) 및 (330) 의 1 회 이상 반복 이후에도 완전히 충진되지 않으면, 일부 구현예들에서, 동작 (340) 이 수행될 수 있으며, 이 동작에서 컨포멀 충진이 피처 (301) 의 충진을 완료하기 위해서 수행된다. 동작 (340) 은 일부 구현예들에서 핀치 지점 (351) 의 측벽들 상에서의 텅스텐 핵생성 층의 증착을 수반할 수 있다. 일부 구현예들에서, 핀치 지점에서의 선행하는 선택적 억제 처리는 동작 (340) 에서 감소될 수 있으며, 이로써 핵생성 층 증착 없이 컨포멀 충진이 이루어진다.
일부 구현예들에서, 피처 충진은 1) 피처 충진을 위한 증착; 2) 심 형성을 통한 텅스텐 제거를 위한 에칭-백 (etch-back); (3) 선택적 증착에 의한 충진; 및 4) 피처의 나머지 부분 충진을 수반할 수 있다. 도 3b는 이러한 방법을 사용하는 피처 충진의 개략적 단면도들의 실례를 도시한다. 먼저, 티타늄 질화물 언더-층 (313) 을 포함하는 피처가 동작 (315) 에서 컨포멀 증착 기법을 충진된다. 본 실례에서, 피처 (301) 는 실질적으로 수직인 측벽들을 가지며, 어떠한 협폭부도 가지지 않으며 따라서 보이드가 피처 (301) 내에서 형성되지 않는다. 그러나, 심 (314) 이 각 측벽으로부터의 성장이 만나는 피처 (301) 의 축을 따라서 형성된다. 성장이 또한 피처 (301) 의 하단으로부터 발생할 수 있기 때문에, 심 형성은 피처 하단 위의 지점 (352) 에서 시작된다. 증착이 심 형성이 시작된 후에 일부 지점에서 중지되는데; 피처들 내로의 표준 CVD-W 프로세스의 컨포멀 특성으로 인해서, 이는 도 3b의 실례에서 도시된 바와 같이 동작 (315) 에서 피처를 완벽하게 충진하는 것을 대체적으로 수반한다. 엔드포인트가 피처 치수들 및 텅스텐 증착 레이트에 기초하여서 증착 이전에 결정될 수도 있다. 일부 구현예들에서, 핵생성 억제 및/또는 하나 이상의 비컨포멀 에칭이 동작 (315) 에서 사용되어서 피처가 심 형성 시에 오직 부분적으로 충진되도록 피처 프로파일을 맞춤시킨다.
이어서, 동작 (325) 에서 피처가 텅스텐에 대해서 선택적인 에칭으로 개방되며, 이 때에 티타늄 질화물 (또는 다른 언더-층) (313) 이 에칭 정지제로서 역할을 한다. 에칭은 적어도 심 형성 지점 (352) 까지 진행되어서 심 형성 지점 (352) 에서 또는 그 이하에서 층 (303) 을 남긴다. 동작 (325) 에서 수행되는 에칭은 도 3a를 참조하여서 기술된 동작 (320) 에서 수행된 것과는 몇몇 측면에서 상이할 수 있다. 동작 (325) 에서, 심 형성 지점에 도달할때까지 컨포멀 에칭이 피처 내에서 균일하게 텅스텐을 제거하게 수행된다. 에칭 컨포멀성을 제어하는 방법들은 이하에서 더 기술된다. 이와 대조하여서, 동작 (320) 에서, 에칭은 오직 피처의 상단 근처에서만 텅스텐을 제거하고 보다 비컨포멀하다. 그러나, 비컨포멀 에칭에서 사용되는 조건들은 동작 (320) 동안에 필수적이지 않을 수도 있는데 그 이유는 폐쇄된 핀치 지점 (351) 의 존재가 보이드 (312) 내로의 에천트 확산을 방지하기 때문이다는 것이 주목되어야 한다.
도 3b로 돌아가면, 필드 내에 그리고 심 형성 지점 위의 텅스텐이 오버-에칭되며 이로써 언더-층 (313) 을 노출시킨다. 선택적 에칭이 사용되기 때문에, 티타늄 질화물 층 (313) 이 필드 영역 및 피처 (301) 의 측벽들 상에 잔류한다. 이로써, 심 형성 지점 아래의 피처 (301) 내에서 텅스텐 (303) 이 존재하고, 측벽들을 따라서 그리고 심 형성 지점 (352) 에서 그리고 그 위에서는 최소한의 텅스텐 또는 거의 텅스텐이 남지 않는다.
이어서, 보텀-업 충진을 낳는 선택적 증착이 동작 (335) 에서 수행되며, 피처의 하단에서 텅스텐 층 (303) 상에 보다 신속한 성장 키네틱에 의해서 선택적으로 유도된다. 도 3a를 참조하여서 기술된 실례에서와 같이, 일부 구현예들에서, 선택성은 에칭 동작 (325) 이후에 그리고 증착 동작 (335) 이전에 피처 (301) 내에서의 텅스텐 핵생성의 선택적 억제가 되게 더 유도될 수 있다. 선택적 억제를 사용하는 보텀-업 방법들은 본 명세서서에서 참조로서 인용되는 미국 특허 출원 번호 13/774,350에 기술된다. 일부 구현예들에서, 동작 (335) 은 피처가 피처의 상단까지 충진될 때까지 수행될 수도 있다. 일부 구현예들에서, 피처 충진은 특히 일부 측벽 성장이 발생하여서 다른 심을 형성하면, 피처의 상단에 도달하기 이전에 어느 지점에서 중지될 수도 있다. 도 3b에 도시된 실례에서, 제 2 에칭 동작 (326) 은, 피처 (301) 의 완전한 또는 부분적인 충진 이후에, 수행되어서 측벽들로부터 텅스텐을 다시 제거한다. 심이 에칭 시에 제거된다. 이어서, 선택적 증착 (336) 이 피처를 충진하게 수행된다. 선택적 에칭 및 증착 동작들은 피처를 충진하게 1 회 이상 반복될 수도 있다.
다양한 구현예들에 따라서, 상술된 방법들은 또한 수평으로 배향된 피처들의 인사이드-아웃 충진에서 사용될 수도 있다. 또한, 도 3a 및 도 3b에 대해서 상술된 방법들은 언더-층이 변화되지 않게 하면서 텅스텐을 제거하는 선택적 에칭을 사용하지만, 일부 구현예들에서, 베리어 층 또는 다른 언더-층은 에칭 동안에 제거될 수도 있다.
도 4a는 협폭부 (451) 를 포함하는 수평으로-배향된 피처 (401), 예를 들어 워드 라인 (WL) 이 충진되는, 인사이드-아웃 충진의 다른 실례를 도시한다. 피처 (401) 는 유전체 (419) 언더층 및 티타늄 질화물 (413) 언더층을 포함한다. (일부 구현예들에서, 이미지 (410) 가 측면도이면, 협폭부 (451) 는 예를 들어서, 3D 구조물에서의 필러 배치에 의해서 유발되는 페이지 (page) 내로 연장되는 플레인에서의 폭이 좁아진 구역을 나타낼 수도 있음이 주목되어야 한다.) 상단 이미지 (410) 는 표준 CVD를 사용한 WL 충진을 도시하며, 여기서 텅스텐 핵생성 층이 티타늄 질화물 층 (413) 상에서 피처 내에 컨포멀하게 증착되고 이어서 텅스텐 핵생성 층 상에 CVD 증착이 이루어진다. 텅스텐 (402) 은 협폭부 (451) 를 지나서 WL 내에 존재하는 큰 보이드 (412) 가 남게 피처를 충진한다. 또한, 심 (414) 이 텅스텐 충진 시에 협폭부 (451) 를 통해서 피처 개구 (401) 까지 존재한다.
본 명세서에서 기술된 바와 같은 방법에서, 충진은 동작 (420) 에서 시작되며, 여기서 컨포멀 증착이 피처를 부분적으로 충진하며, 보이드 (412) 를 핀치 오프한다. 동작 (420) 는 티타늄 질화물 (413) 상으로의 컨포멀 텅스텐 핵생성 층 증착을 하고 이후에 협폭부가 충진될 때까지 CVD 증착하여서 보이드 (412) 를 핀치 오프하는 것을 포함한다. 상술한 바와 같이, 증착 엔드포인트는 협폭부 (451) 의 치수들 및 텅스텐 증착 레이트에 기초하여서 결정될 수도 있다.
텅스텐 및 티타늄 질화물의 컨포멀 에칭이 동작 (430) 에서 수행되어서 피처 (401) 의 개구와 협폭부 (451) 간에 증착된 텅스텐 및 티타늄 질화물을 제거한다. 일부 구현예들에서, 에칭 온도는 에칭 비선택도를 증가시키기 위해서 상대적으로 높게 될 수 있다. 유전체 층 (419) 이 에칭 정지제 역할을 할 수 있다. 에칭은 "측방향 에칭" 또는 피처 축에 대해서 직교하는 방향으로 간주될 수 있다. 에칭은 동작 (440) 에서 계속되고, 에칭은 협폭부 (451) 를 지나서 보이드가 제거될 때까지 텅스텐 및 티타늄 질화물을 제거하고, 이로써 텅스텐의 하단 층 (403) 만이 남는다. 이 시점에서, 에칭은 "수직 에칭" 또는 피처 축에 대해서 평행한 방향으로 간주될 수 있다. (에칭 조건들은 동작 (430) 으로부터 동작 (440) 으로 반드시 변화되어야 하는 것이 아님이 주목되어야 하며; 에칭의 방향은 제거될 증착된 텅스텐의 두께 및 위치에 따라서 변할 수도 있다.) 층 (403) 이 후속하는 선택적 증착에 대한 하단 텅스텐 시드 층 역할을 할 수도 있다. 이어서, 동작 (450) 에서 선택적 인사이드-아웃 충진이 수행된다. 텅스텐이 기존의 텅스텐 시드 층 (403) 상에서만 선택적으로 증착되고 유전체 (419) 상에서는 증착되지 않는다. 상술한 방법들에서와 같이, 일부 구현예들에서, 에칭 및 선택적 증착 동작들은 1 회 이상 반복될 수도 있다. 결과는 통상적인 CVD로 충진된 이미지 (410) 에서 도시된 층 (402) 보다 큰 그레인들 및 보다 소수의 그레인 바운더리들을 갖는 무보이드 무심 층 (404) 이다. 또한, TiN 언더-층 (413) 이 피처의 하단에서만 존재한다. 텅스텐 층 (404) 과 유전체 층 (419) 간의 베리어 층은 필요하지 않을 수도 있으며; 텅스텐 및 티타늄 질화물의 비선택적 에칭은 보다 많은 WL 체적이 텅스텐 층 (404) 에 의해서 점유되게 할 수도 있다.
일부 구현예들에서, 기판으로의 인사이드-아웃 충진된 텅스텐의 접착을 증가시키기 위해서, 접착 층은 선택적 증착 동안에 피처 내에 및/또는 피처의 인사이드-아웃 충진 동안, 이전에 또는 이후에 필드 영역 상에 증착될 수도 있다. 예를 들어서, 도 4a에서, 동작 (450) 에서의 인사이드-아웃 성장은 어느 지점에서 중지되고, 이어서 접착 층 증착이 있고, 이어서 텅스텐 증착이 진행된다. 일 실례는 이하에서 도 4b에서 도시된다.
특정 구현예들에서, 방법들은 적합한 오버버든 (overburden) 두께로 피처를 충진하는 텅스텐 증착을 포함할 수 있다. 일부 경우들에서, 위에서 참조된 미국 특허 출원 번호 13/016,656 에서 개시된 증착-에칭-증착 시퀀스가 무보이드 충진을 달성하기 위해서 사용될 수도 있다. 피처가 충진된 후에, 피처는 피처 내의 텅스텐을 리세스하는 조건들에서 에칭되고 동시에 필드에서 그리고 측벽을 따라서 리세스 깊이 플레인까지 임의의 언더-층, 예를 들어서, TiN, Ti, WN 중 하나 이상, 또는 무불소 텅스텐 (FFW) 을 제거할 수 있다. 다양한 구현예들에서, 언더-층 유전체는 제거되거나 제거되지 않을 수도 있다. 리세스 에칭 이후에 벌크 텅스텐 증착이 따르며 여기서 피처의 축을 따라서 인사이드-아웃 (보텀 업) 성장이 이루어진다. 일부 구현예들에서, 라이너, 베리어, 또는 접착 층 또는 다른 언더-층이 제거되면, 다른 언더-층이 필드에서의 텅스텐 증착 및 CMP 이전에 필드에서 및/또는 피처 측벽을 따라서 증착될 수도 있다.
리세스 에칭 후에 인사이드-아웃 충진 방법의 실례에서의 피처 (401) 의 단면도들이 도 4b에서 주어진다. 먼저, 이미지 (460) 에서, 피처 (401) 는 텅스텐 (402) 으로 충진된다. 피처 (401) 는 언더-층들 (461) 및 (463) 을 포함하고, 이들은 예를 들어서 Ti, TiN, WN, TiAl, 등 중 임의의 것일 수 있다. 심 (414) 이 피처 (401) 에 존재한다. 이미지 (462) 에서, 리세스 에칭이 텅스텐 (402), 및 언더-층들 (461 및 (463) 을 리세스 깊이 플레인까지 제거하여서, 에칭된 텅스텐 층 (403) 을 남기도록 수행된다. 다른 구현예들에서, 언더-층 (461) 또는 언더-층들 (461) 및 (463) 이 선택적 에칭으로 남겨질 수도 있다. 리세스 에칭은 피처 (401) 의 리세스된 볼륨 내의 심 (414) 을 제거하고, 이는 후속하는 CMP 동작 동안에 코어링을 방지하는 것을 지원할 수 있다. 따라서, 리세스 깊이는 심 (414) 이 적어도 부분적으로는, CMP에 영향을 주지 않도록 피처 개구로부터 충분하게 먼 거리에 기초하여서 선택될 수 있다. 리세스 에칭을 수행하는 방법들은 이하에서 더 기술된다. 이어서, 이미지 (464) 에서 피처가 인사이드-아웃 충진 동작에서 텅스텐으로 충진된다. 상술한 바와 같이, 인사이드-아웃 충진은 피처 (401) 에서 남은 에칭된 텅스텐 (403) 상에서의 선태적 증착을 수반한다. 이는 인사이드-아웃 충진 텅스텐 층 (404) 을 낳으며, 이 층은 대형의 수직으로-배향된 그레인 성장을 갖는다. 이미지 (466) 에서, 인사이드-아웃 성장은 중지되고 하나 이상의 층들 (465) 이 피처 (401) 위에 증착된다. 층 (465) 은 예를 들어서 접착 층 또는 베리어 층일 수 있다. 실례는 Ti, TiN, Ti/TiN, 및 WN을 포함한다. 텅스텐이 하나 이상의 층들 (465) 의 노출된 표면 상에서 얼마나 양호하게 성장하는냐에 따라서, 텅스텐 핵생성 층이 이어서 하나 이상의 층들 (465) 상에 증착될 수도 있다. 일부 구현예들에서, 층 (465) 은 텅스텐 핵생성 층이다. 오버버든 텅스텐 층 (405) 이 이어서 이미지 (468) 증착된다. 오버버든 층 (405) 이 보텀-업 성장으로 증착되지 않을 수도 있지만, 이 층은 CMP 동안에 제거될 것이며 따라서 코어링과 관련하여서 문제가 되지 않을 수도 있다. CMP 후의 피처 (401) 가 이미지 (470) 에서 도시된다. CMP 동안에 코어링 리스크를 낳지 않는 텅스텐 층 (404) 이외에, 층 (404) 에 의해서 충진된 보다 큰 볼륨 및 이 층의 대형 그레인들은 개선된 전기적 특성을 제공한다.
도 2, 2a, 3a, 3b, 4a, 및 4b 를 참조하여서 기술된 방법들은 다양한 이점들을 갖는다. 예를 들어서, 무보이드 충진이 가장 재차 들어간 피처들에서도 위에서 참조된 미국 특허 출원 번호 13/351,970에 기술된 바와 같은 부분적 증착-에칭-증착 사이클들을 사용하여서 달성될 수 있지만, 치수들 및 기하구조들에 따라서, 다수의 증착-에칭 사이클들이 무보이드 충진을 달성하기 위해서 필요할 수도 있다. 이는 프로세스 안정성 및 처리량에 영향을 줄 수 있다. 예를 들어서 도 3a를 참조하여서 본 명세서에서 기술된 구현예들은 보다 소수의 사이클들을 사용하여서 재차 들어간 피처들의 피처 충진을 제공할 수 있다.
무보이드 충진이 달성된다고 하여도, 피처 내의 텅스텐은 비아 축, 트렌치 중간, 또는 다른 피처 축을 통해서 연장하는 심을 포함할 수도 있다. 이는 텅스텐 성장이 측벽들에서 시작하여서 텅스텐이 반대되는 측벽들로부터 성장하여서 그레인들이 만날때까지 계속되기 때문이다. 이 심은 HF (hydrofluoric acid) 와 같은 불순물의 트랩핑을 허용하며, CMP 코어링이 또한 심으로부터 전파될 수도 있다. 도 3b, 4a, 및 4b에 도시된 바와 같이, 본 명세서에서 기술된 인사이드-아웃 충진 방법들은 심들을 제거 또는 줄일 수 있다. 측벽들로부터의 통상적인 텅스텐 성장과는 달리, 인사이드-아웃 충진 방법들은 하단으로부터 또는 내부 텅스텐 시드 층으로부터의 수직 텅스텐 성장 (즉, 피처 축을 따른 성장) 을 촉진할 수도 있다. 이로써, 심 형이 피해질 수 있이며, 이는 심을 따른 CMP 슬러리의 트랩핑 없음, 심 내에서의 HF와 같은 가스성의 불순물들의 트랩핑 없음 등과 같은 이점을 제공하고 디바이스 내의 심에서의 전자 전송 손실을 최소화할 수 있다.
피처 내측에서의 다수의 W 그레인들의 존재는 그레인 바운더리 산란으로 인한 전자 손실을 낳을 수도 있다. 실제 디바이스 성능은 이론적 예측치들 및 블랜킷 웨이퍼 결과들에 비해서 저하될 수도 있다. 도 2, 2a, 3a, 3b, 4a 및 4b를 참조하여서 기술된 방법들은 보다 소수의 그레인 바운더리들을 낳아서, 전기적 저항을 낮추며 성능을 개선시킨다. 예를 들어서, 도 3b를 참조하면, 심 (314) 에서의 그레인 바운더리가 제거된다. 일부 구현예들에서, 층 (303) 에 존재하는 수직으로 배향된 그레인들은 후속하는 선택적 증착 동작들에서 계속 성장하여서, 그레인 바운더리들의 개수를 줄인다.
피처 크기들이 극히 작은 경우에, 언더-층 라이너/베리어 무결성에 영향을 주지 않으면서 에칭 프로세스를 튜닝하는 것은 매우 어려울 수도 있다. 단속적 Ti 공격-가능하게는 에칭 동안에 패시베이션 TiFx 층 형성으로 기인됨-이 텅스텐-선택적 에칭 동안에 발생할 수 있다. 따라서, 선택적 에칭에 의존하지 않은 방법들이 단속적 (intermittent) 티타늄 공격 및 작은 피처들을 선택적으로 에칭하는 것의 과제들을 피할 수 있다. 특정 구현예들에서, 예를 들어서, 도 4a 및 도 4b에 도시된 방법에서, 텅스텐 베리어 및 라이너와 같은 언더-층들이 제거된다. 이로써, 텅스텐 에칭 양은 라이너/베리어 무결성을 줄이는 것을 피하기 위해서 매우 엄격하게 제어될 필요가 없다. 이는 매우 얇은 텅스텐 막들을 갖는 극히 작은 피처들에서 유용할 수 있다. 예를 들어서, 라이너가 티타늄인 경우에, 티타늄 막의 불소 공격이, 에칭 프로세스가 티타늄 또는 티타늄 질화물 에칭에 대항하여서 매우 선택적일지라도, 발생한다. 티타늄을 제거함으로써, 불소에 의한 티타늄 막의 공격이 방지될 수 있다.
또한, 금속 컨택트의 상당한 부분이 텅스텐 베리어 또는 다른 언더-층 (TiN, WN, 등) 에 의해서 점유되면, 이는 저항을 증가시킬 수도 있다. 이는 이러한 막들이 텅스텐보다 높은 저항을 가지기 때문이다. 이는 컨택트 저항과 같은 전기적 특성에 부정적인 영향을 준다. 예를 들어서, 2× 컨택트 및 1× 컨택트와 같은 극히 작은 피처들에서, 컨택트의 상당한 부분이 텅스텐보다 매우 높은 저항을 갖는 베리어 재료 (TiN, WN, 등) 에 의해서 점유될 수 있다. 이 베리어를 에칭하고 이 체적을 텅스텐을 성장시키는데 사용함으로써, 개선된 전기적 성능이 예상될 수 있다.
특정 구현예들에서, 인사이드-아웃 충진 방법들은 개선된 프로세스 제어 및 재현성 (repeatability) 을 포함할 수 있는데, 이는 이들이 컨포멀 에칭 프로세스들을 사용하지 세밀하게 튜닝된 에칭 프로세스들을 사용하지 않기 때문이며, 이는 피처 개구에서 선호적으로 (preferentially) 에칭한다. 특정 프로세스 조건들 하에서, 단일 그레인의 무심의 인사이드-아웃 텅스텐은 비아들, 트렌치-라인들, 및 다른 피처들 내에서 성장될 수 있다. 인사이드-아웃 충진 방법들의 추가적인 실례들 및 이점들이 도 15, 16, 17, 및 19를 참조하여서 이하에서 논의된다.
다양한 구현예들에서, 본 명세서에서 기술된 방법들은 선택적 또는 비-선택적인 에칭들을 사용하는 것을 수반할 수 있다. 방법들은 다양한 언더-층들에 비해서 텅스텐을 선호적으로 또는 비선호적으로 에칭하는 에칭 선택도를 튜닝하는 방법들을 채용할 수 있다. 예를 들어서, 방법들은 TiN 및 Ti에 비해서 W에 대해서 선호적인 에칭 또는 텅스텐에 비해서 TiN 및 Ti에 대하여 선호적인 에칭 또는 비선호적인 에칭을 채용할 수 있다.
일부 구현예들에서, 피처 충진 재료를 에칭하는 것은 다운스트림 (원격에서 생성된) F-계 플라즈마를 포함한다. 도 5a 및 5b는 텅스텐 (W), 티타늄 질화물 (TiN) 및 티타늄 (Ti) 에칭 레이트들 및 W:Ti 및 W:TiN 에칭 선택도를 온도의 함수로서 원격 플라즈마 소스에 공급된 20 sccm 질소 트리플루오라이드 (NF3) 에서 도시한다. 도면들에서 볼 수 있는 바와 같이, W:TiN 및 W:Ti 의 에칭 선택도는 온도를 제어함으로써 튜닝될 수 있으며, 에칭은 온도가 감소할 수록 W에 대해서 선호적이다. 온도가 증가하면 W에 비해서 TiN 및 Ti에 대하여 선호적이다. 도 5c 및 5d 는 50 sccm에서 온도의 효과를 도시한다. 그래프들은 에칭 선택도를 튜닝하는데 온도 및 플로우 레이트가 조절될 수 있음을 입증한다. 추가 정보가 본 명세서에서 참조로서 인용되며 July 28, 2012에 출원된 미국 특허 출원 번호 13/536,095에 개시된다. 이 문헌에서 기술된 바와 같이, 온도, 에칭제 플로우 레이트들, 및 다른 파라미터들이 <0.5:1 to >100:1에 이르는 W:TiN 및 W:Ti 에칭 선택도들을 제공하도록 제어될 수도 있다. 예를 들어서, TiN에 비해서 W에 대하여 선택적인 에칭은 100oC 또는 75oC 보다 작은 온도에서 원격에서 생성된 불소 라디칼들을 사용하여서 수행될 수 있다. 마찬가지로, 비-선택적 에칭이 보다 높은 온도들에서 수행될 수도 있다.
리세스 에칭 및 에칭 스텝 커버리지 조절
일부 구현예들에서, 본 명세서에서 기술된 방법들은 충진된 피처들 내에서 목표된 컨포멀성 정도를 갖는 측방향 에칭을 수직 리세스 에칭과 결합시키는 능력을 제공한다. 일부 신규 기술들 (new technologies) 및 애플리케이션들 (applications), 예를 들어서, 매립된 워드 라인 (bWL) 및 단일 실린더 스토리지 (OCS) 에서, 피처의 오직 하부 부분만이 텅스텐으로 충진될 수 있고, 상부 부분은 개방된 체적을 가져서 다른 재료로의 충진을 가능하게 한다. 도 6은 리세스된 텅스텐 층 (603) 을 갖는 피처 (601) 의 실례를 도시한다. 리세스된 층 (603) 은 개구 (602) 로부터 리세스되며, 리세스된 깊이 D는 피처 (601) 를 따라서 실질적으로 균일하다. 표준 W-CVD가 컨포멀 증착 프로세스이며 텅스텐이 측벽들로부터 피처 내로 측방향으로 성장하기 때문에, 이러한 프로파일은 표준 W-CVD 프로세스를 사용하여서 달성하기 어렵다. 개방 체적 (605) 은 일부 구현예들에서 다른 재료로 충진되게 가용된다. 피처의 오직 하부 부분만이 텅스텐으로 충진되는 bWL 및 OCS 애플리케이션들 이외에, 리세스된 텅스텐 층은 피처 내에서의 인사이드-아웃 충진을 위한 시드로서 사용될 수도 있다. 그 실례가 도 4b에서 상술되었다.
3-D NAND 및 수직 집적 메모리 (VIM) 디바이스들과 같은 경우들에서, 텅스텐 충진은 핀치 지점 위치들에서 또는 이를 넘어서 예상된다. 이러한 애플리케이션에서, 예를 들어서, 측방향 텅스텐 성장은, 핀치 지점 위치에서의 WF6 및 H2 분자들 및 다른 반응물들 간의 반응으로 인하여, WF6 및 H2 의 핀치 지점을 넘어서 보다 넓은 영역으로 확산되는 것을 방지하여서, 보이드를 유발한다. 본원에서 제공된 방법들은 이러한 보이드 형성을 해결할 수 있다. 일부 구현예들에서, 이러한 방식들 중 하나 이상은 함께 또는 개별적으로 사용될 수 있다. 일 방식은 보이드가 형성되게 하고 이어서 언더-층에 대항하는 선택도를 갖거나 가지지 않을 수도 있는 에칭 프로세스로 수직으로 에칭하고, 보이드를 개방하고 텅스텐으로 재충진하는 것을 수반한다. 다른 방식은 보다 많은 텅스텐이 부분적 텅스텐 증착 및 이후에 핀치 지점을 넘어서 에칭되는 것보다 핀치 지점에서 에칭되도록 에칭 컨포멀성의 목표된 정도를 달성하게 에칭 조건을 신중하게 튜닝하는 것을 수반할 수 있다. 잠재적 유입 프로파일 및 이로 인한 텅스텐 충진의 일부 실례들이 도 1c에 도시된다. 어느 한 또는 양 방식들이 도 2 내지 도 4b에 대해서 상술된 인사이드-아웃 충진 방법들과 함께 사용될 수 있다. 예를 들어서, 도 3a 및 도 4a는 보이드가 개방되고 피처가 텅스텐으로 재충진되는 방법들을 도시한다. 다른 실례에서, 도 3b 및 도 4b는 부분적 증착-에칭-증착 방식들을 사용할 수 있는 초기 무보이드 컨포멀 충진들을 도시한다. 또한, 인사이드-아웃 충진 방법들 중 임의의 것에서 선택적 증착은 에칭 컨포멀성이 인사이드-아웃 텅스텐 성장을 성형하도록 튜닝되는 증착-에칭-증착 방식을 수반할 수 있다. 리세싱은 기존의 보이드들, 그레인 위치들 및 피처 기하구조에 따라서 피처 내에서 수직으로 (피처 축을 따라서) 또는 측방향으로 (피처 축에 직교하게, 측벽들을 향해서) 진행할 수도 있다.
상술한 바와 같이, W-CVD 성장은 측벽들로부터 측방향으로 이다. 도 6에 예시된 최종 프로파일을 달성하기 위해서, 일부 구현예들에서, 구조물은 W-CVD로 완전하게 충진되고 이어서 리세스 또는 개방 볼륨을 생성하게 수직 에칭이 수행된다. 일부 구현예들에서, 에칭 조건들은 오직 W만을 에칭하고 언더-층 재료를 에칭하는 것을 피하기 위해서 선택적일 수 있다. 도 7은 예를 들어서, 표준 CVD-W 프로세스를 사용하여서 텅스텐 (702) 으로 충진된 피처 (701) 를 도시한다. 리세스 에칭은 리세스된 텅스텐 층 (703) 및 개방 볼륨 (705) 을 형성하도록 수행된다. 개방 볼륨 (705) 은 예를 들어서 WN 또는 다른 재료로 충진될 수 있다. 일부 구현예들에서, 리세스 에칭은 1 개, 2 개 또는 그 이상의 에칭 동작들로 수행될 수 있다. 예를 들어서, 제 1 동작에서, 필드 영역 (720) 에서 텅스텐을 제거하는 신속한 프로세스가 수행되고, 이어서 영역 (722) 에서 에칭을 하고 리세스 깊이를 제어하도록 보다 세밀하게 제어되는 프로세스가 이어진다. 일 실례에서, 보다 신속한 프로세스는 보다 높은 온도에서, 보다 높은 에천트 플로우 레이트를 사용하여서 플라즈마 기반 에칭 동안에, 보다 높은 플라즈마 전력으로 수행될 수 있다. 예시적인 에칭 레이트는 고속 에칭의 경우에 10 Å/초 내지 50 Å/초일 수 있다. 보다 저속의 보다 제어되는 프로세스는 보다 낮은 에천트 플로우 레이트를 사용하여서 그리고 플라즈마 기반 에칭 동안에, 보다 낮은 플라즈마 전력으로 수행될 수 있다. 언더-층에 대한 목표된 에칭 선택도에 따라서, 온도가 고속 에칭 동안에서보다 제어되는 에칭 동안에 보다 낮을 수도 있으며 그렇지 않을 수도 있다. 에칭 레이트 예들은 제어된 에칭의 경우에 3 Å/초 내지 20 Å/초 또는 3 Å/초 내지 10 Å/초 일 수 있다.
일부 구현예들에서, 유사한 리세스 에칭은 도 1c에서 도시된 것과 유사한 구조물들을 충진하는 것을 달성하는데 사용될 수 있다. 도 1c의 패널 (a) 에서의 경우들에서 가장 간단한 경우에, 협폭부 (109) 는 피처의 하부 부분 (119) 내에 심각한 보이드 형성을 유발할 수 있다. 일부 구현예들에서, 이러한 보이드 형성을 해결하는 것은 보이드가 개방될 때까지 다시 에칭하고 이어서 W-CVD로 보이드를 재충진하는 것을 수반할 수 있다. 특정 경우들에서, 피처 크기들로 인해서, 에칭 백은 구조물의 상부 부분 (121) 에 대해서는 측방향으로 (측벽들을 향해서) 그리고 협폭부 (109) 에서는 수직으로 될 수 있다. 일부 구현예들에서, 다수의 증착-에칭-증착 사이클들이 사용되어서 완벽한 충진 처리량을 달성한다. 도 8은 일 잠재적 시퀀스를 예시한다.
도 8은 시퀀스를 도시하며, 이 시퀀스에서, 협폭부 (851) 를 포함한 피처 (801) 가 충진된다. 피처 (801) 는 수평으로-배향된 피처 (예를 들어서, 도 4a에서의 워드 라인 피처 (401)) 또는 수직으로-배향된 피처일 수 있다는 것이 주목되어야 한다. 피처 (801) 는 언더-층들 (813 및 819) 를 포함한다. 810에서, 표준 CVD-W을 사용하는 피처 충진이 도시된다. 이는 도 4b에 도시된 표준 CVD-W을 사용하는 피처 (401) 의 충진과 유사하며; 텅스텐 (802) 이 협폭부 (851) 를 지나서 피처 (801) 내에 존재하는 상당한 보이드 (812) 가 낮게 피처 (801) 를 충진한다는 것이 주목된다.
본 명세서에서 기술된 방법에서, 충진은 동작 (820) 에서 시작하고 여기서 컨포멀 증착이 수행되어서 피처를 부분적으로 충진하며 보이드 (812) 를 핀치 오프하는 것을 포함한다. 동작 (820) 은 언더-층 (813) 상에서의 컨포멀 텅스텐 핵생성 층 증착을 하고 이어서 CVD 증착을 협폭부 (851) 가 충진될 때까지 하여서 보이드 (812) 를 핀치 오프한다. 상술한 바와 같이, 증착 엔드포인트는 협폭부 (851) 의 치수들 및 텅스텐 증착 레이트에 기초하여서 결정될 수도 있다. 이 스테이지에서, 방법은 도 4a에서 도시된 것과 유사하다. 이어서, 텅스텐 에칭이 동작 (830) 에서 수행되어서 피처 (801) 의 개구와 협폭부 (851) 간의 증착된 텅스텐을 제거한다. 도 4a에서 도시된 것과는 달리, 본 실례에서의 에칭은 언더-층 (813) 에 비해서 텅스텐에 대해서 선택적이며, 이로써 언더-층 (813) 이 에칭 정지제 역할을 한다. 일부 구현예들에서, 동작 (830) 에서 수행된 에칭은 상술한 바와 같은 리세스 에칭이며, 리세스 깊이는 849로 표시된다. 에칭은 동작 (840) 에서 계속되어 보이드가 재개방될 때까지 협폭부 (851) 를 지나서 피처의 하부 부분 (852) 내의 텅스텐을 제거한다. 일부 구현예들에서, 잔류 텅스텐 층 (803) 을 포함하는 피처 치수들은, 동작 (850) 에서 보이드를 형성하지 않으면서 후속하는 컨포멀 에칭이 수행될 수 있도록 된다. 예를 들어서, 치수들이 협폭부 (851) 가 피처 (801) 의 하부 부분 (852) 에서의 가장 좁은 치수와 대략 동일하거나 이보다 크도록 된다면, 후속하는 컨포멀 증착은 무보이드 충진을 위해서 사용될 수 있다.
일부 구현예들에서, 텅스텐이 협폭부 (851) 로부터, 동작 (840) 에서 핵생성 층의 후속하는 증착없이 완전히 제거되며, 이로써 후속하는 동작에서 피처의 하부 부분 (852) 의 무보이드 충진을 더 용이하게 한다. 이 경우에, 텅스텐은 피처의 하부 부분 (852) 에서 남아있는 텅스텐 (803) 상에서 선택적으로 증착될 수 있다. 도 4b의 동작 (450) 에서와는 달리, 피처의 하부 부분 (852) 에서의 선택적 증착은 피처의 하부 부분 (852) 에서의 컨포멀 충진을 낳는다. 협폭부에서의 그리고 일부 구현예들에서, 피처의 상부 부분 (854) 에서의 텅스텐 핵생성의 선택적 억제는 피처의 하부 부분 (852) 에서의 선택적 증착을 촉진시키도록 수행될 수 있다.
일부 구현예들에서, 동작 (840) 이후에, 하나 이상의 추가 증착-에칭 사이클들이 충진 개선을 위해서 수행될 수도 있다. 수행된다면, 하나 이상의 추가 증착-에칭 사이클들은 동작들 (820-840) 을 1 회 이상 반복하는 것을 수반할 수 있다. 일부 다른 구현예들에서, 비-컨포멀 에칭들이 후속하는 증착에 대해서 피처 프로파일을 맞춤화하도록 이하에서 더 기술된다.
도 8의 실례에서, 동작 (850) 에서, 컨포멀 증착이 무보이드 피처 충진을 완료하도록 수행된다. 이는 텅스텐 핵생성 층의 컨포멀 증착을 하고 이어서 텅스텐 벌크 층의 CVD 증착을 하는 것을 수반하며 상술한 바와 같이, 동작들 (820-840) 의 반복 후에 또는 하나 이상의 추가 에칭 및 또는 증착 동작들 이후에 바로 수행될 수 있다.
보다 복잡한 기하구조들에서, 상단 부분의 프로파일은 도 1c의 패널들 (b) 및 (c) 에서 도시된 실례들에서와 같이 재차 들어간 형상일 수 있다. 다양한 이유들 (reasons) 로 인해서, 재차 들어간 섹션은 표면/필드 근처에 있을 수 있거나 (예를 들어서, 패널 (b) 에서의 오버행 (overhang) (115) 참조) 또는 피처 내측으로 더 깊이 있을 수 있다 (예를 들어서, 패널 (c) 에서의 협폭부 (112) 참조)). 이러한 경우들에서, 도 8에서 도시된 바와 동일한 시퀀스가 끝에서 두번째의 단계까지 수행될 수 있다. 비-컨포멀 에칭이 이어서 수행되어서 그 아래에서 거의 에칭되지 않거나 최소한으로 에칭되게 핀치 오프 섹션에서만 선호적으로 에칭된다.
비-컨포멀 에칭의 양태들이 본 명세서에서 참조로서 인용되는 미국 특허 출원 번호 13/351,970에서 기술되며, 여기서 비아는 텅스텐으로 부분적으로 충진되고 이어서 피처의 깊은 내측에서보다 개구 근처에서 텅스텐을 보다 많이 에칭하도록 텅스텐을 불소계 에칭한다. 이어서, 피처를 충진하도록 텅스텐 증착이 이루어진다. (미국 특허 출원 번호 13/351,970에서 기술된 비-컨포멀 에칭은, 다른 위치들에서보다 피처의 특정 위치들에서 보다 많은 재료들이 제거된다는 점에서 "선택적 제거"로서 여러 구절에서 지칭된다는 것이 주목되어야 한다. 이 문헌에서 기술된 선택적 제거는 상술한 바와 같은 다른 재료에 비해서 일 재료를 선택적으로 에칭하는 것과는 구별된다.) 비-컨포멀 에칭은 또한 선호적 (preferential) 또는 저 스텝 커버리지 (low-step coverage) 에칭으로서 지칭된다. 우세한 (또는 저 스텝 커버리지) 에칭을 달성하기 위해서, 에칭 프로세스 조건들이 신중하게 설계된다. 올바른 에칭 온도, 에칭제 플로우 및 에칭 압력의 조합들이 목표된 컨포멀성을 달성하는 것을 돕는다. 에칭 컨포멀성이 각 타입의 재차 들어간 구조물에 대해서 올바르게 튜닝되지 않으면, 이는 증착-에칭-증착 시퀀스 후의 빈약한 충진 품질을 낳을 수 있다.
스텝 커버리지는 (반응에 이용될 수 있는 반응물 종들) / (반응 레이트) 에 비례한다. 주 에천트가 원자적 불소인 본 명세서에서 기술된 피처 에칭의 일부 구현예들에서 이는 다음과 같이 단순화될 수 있다:
Figure 112014102882796-pct00001
따라서, 특정 텅스텐 에칭 스텝 커버리지 (또는 목표된 에칭 컨포멀도 또는 에칭 비-컨포멀도) 를 달성하기 위해서, NF3 플로우 레이트 (또는 다른 F-함유 플로우 레이트) 및 에칭 온도가 키 파라미터들인데 이는 이들이 원자적 불소 농도 및 에칭 레이트에 직접적으로 영향을 주기 때문이다. 다른 변수들, 예를 들어서 에칭 압력 및 캐리어 가스 플로우도 어느 정도 중요하다.
보다 높은 온도들에서, 유입 불소 원자들은 피처 입구에서 용이하게 반응 및 에칭할 수 있어서, 보다 비-컨포멀한 에칭을 낳으며; 보다 낮은 온도에서, 유입 불소 원자들은 피처 내로 깊이 확산되어서 에칭할 수 있으며 이로써 보다 컨포멀한 에칭을 낳는다. 보다 높은 에천트 플로우 레이트는 보다 많은 불소 원자들이 생성되게 하며 이로써 보다 많은 불소 원자들이 피처들 내로 더 깊이 확산 및 에칭하여서 보다 컨포멀한 에칭을 낳는다. 보다 높은 에천트 플로우 레이트는 보다 소수의 불소 원자들이 생성되게 하여서 피처 입구에서 반응 및 에칭하는 경향이 있어서 보다 비-컨포멀한 에칭을 낳는다. 보다 높은 압력은 불소 라디칼들의 보다 많은 재결합을 초래하여서 분자적 불소를 더 많이 낳는다. 분자적 불소는 불소 라디칼들보다 보다 낮은 점착 계수를 가지므로 텅스텐을 에칭하기 이전에 보다 용이하게 피처 내로 확산되어 버려서 보다 컨포멀한 에칭을 낳는다. 도 9a는 상이한 프로파일들을 갖는 피처들 (901,902) 에서의 부분적 증착 및 에칭의 개략적 단면도들이다. 피처 (901) 는 피처의 수직 부분의 중간 정도에 협폭부 (951) 를 포함하며, 피처 (902) 는 피처 개구 근처에서 오버행 (overhang) (915) 을 포함한다. 표준 텅스텐 CVD는 각기 협폭부 (951) 및 오버행 (915) 에 의한 핀치-오프로 인해서 피처 내에서 보이드를 발생시킬 수 있다. 피처 (901) 에칭은 저온에서의 및/또는 본 실례에서는 불소 라디칼 (F*) 인 에천트 종들이 보다 많은 컨포멀한 에칭이며, 에천트 종들이 피처 내로 더 깊이 확산될 수 있다. 피처 (902) 의 에칭은 고온에서의 및/또는 에천트 농도가 낮은 보다 비-컨포멀한 에칭이다.
도 9b은 상이한 NF3 플로우들에 있어서 에칭 온도의 함수로서 에칭 레이트를 플롯팅한다. 에칭 컨포멀성은 높은 NF3 플로우 레이트로 저 에칭 레이트 프로세스를 설계함으로써 증가할 수 있다. 일 실례에서, "매우 선택적이면서 매우 컨포멀한 에칭"으로 표시된 영역은 에칭이 (Ti 또는 TiN에 비해서 W에 대해서) 선택적이며 피처에 걸쳐서 매우 컨포멀한 프로세스 조건들을 나타낸다. 테스트된 최저 에칭 온도 및 최고 NF3 플로우 레이트가 각기 25 ℃ 및 100 sccm인 때에, 반응 레이트 제한된 방식을 달성하기 위해서 NF3 플로우 레이트를 증가시키고 (보다 많은 원자적 불소 라디칼들이 사용됨) 에칭 온도를 증가시킴으로써 보다 더 높은 컨포멀성이 달성될 수 있다. 반대로, 높은 에칭 레이트가 낮은 NF3 플로우 레이트 (보다 적은 원자적 불소 라디칼들이 사용됨) 로 달성되는 매스 이송 제한된 방식 (mass transport limited regime) 으로 동작함으로써 에칭 비-컨포멀성 (etch non-conformality) 이 증가될 수 있다. 예를 들어서, "온화하게 선택적인 그리고 매우 비-컨포멀한 에칭"으로 표시된 영역을 참조하라. 매스 이송 제한된 영역 및 반응 레이트 제한된 영역에서의 동작에 대한 후속 설명이 이하에서 제공된다.
몇몇 구현예들에서, 컨포멀 에칭은 다음의 프로세스 조건들 중 하나 이상을 수반할 수 있다: 약 25 ℃보다 낮은 온도, 약 50 sccm보다 높은 에천트 플로우 레이트, 및 약 0.5 Torr보다 높은 압력. 몇몇 구현예들에서, 비-컨포멀 에칭은 다음의 프로세스 조건들 중 하나를 수반할 수 있다: 약 25 ℃보다 높은 온도, 약 50 sccm보다 낮은 에천트 플로우 레이트, 및 약 0.2 Torr보다 낮은 압력. 단차 커버리지의 목표된 레벨 (예를 들어서, 60 퍼센트 단차 커버리지) 은 프로세스가 보다 더 컨포멀하거나 보다 덜 컨포멀하게 되게 이러한 프로세스 조건들 중 하나 이상을 조절하는 동작을 수반할 수 있다.
피처에서의 핀치 오프의 위치에 따라서, 에칭 프로세스는 목표된 에칭 스텝 커버리지를 달성하게 맞춤될 수 있다. 비-컨포멀 에칭 프로세스는 이하에서 더 기술되는 도 10에서 도시된 바와 같이, 도 8의 시퀀스에 부가될 수 있다. 매립된 워드 라인, 단일 실린더 스토리지, VNAND 및 다른 3D 디바이스들은 통상적인 W 충진 프로세스가 충분하지 않을 수 있으며, 본 명세서에서 기술된 방법들이 목표된 텅스텐 프로파일을 달성할 수 있는 애플리케이션들이다. 또한, 프로세스 조건들을 조절함으로써 에칭 스텝 커버리지를 맞춤시키는 능력은 상이한 프로파일들에서 양호한 충진을 달성하는데 유용하다. 라디칼-기반 에칭들에서, 낮은 온도 에칭 방식은 재결합된 종들 (예를 들어서, F2 종들) 을 기여를 최소화하고 플로우 제어와 함께 라디칼들 (예를 들어서, F 라디칼들) 이 비-컨포멀 및 컨포멀 에칭 조건들 모두에 대해서 사용될 수 있게 한다. 라디칼-전용 에칭도 라디칼들이 소형 심들을 통과하여서 확산하여 이를 개방하거나 핀홀들을 침투할 가능성이 재결합된 종들에 비해서 낮다는 점에서 보다 표면 제한적이다. 이는 일련의 새로운 에칭 방식들을 가능하게 한다: 부분적 충진에 의존하는 대신에, 심이 폐쇄될 수 있으며 오버버든 (overburden) 에칭 백이 예를 들어서 될 수 있다. 다른 실례에서, 텅스텐 매립된 워드 라인 (bWL) 에서의 상단 층은 심을 재개방하지 않고서 에칭될 수 있다. 이 방법들은 다양한 동작들에 대해서 상이한 타입들의 에칭 능력들을 상이한 프로세스 조건들에서 제공할 수 있다.
에칭 컨포멀도 조절은 위에서 주로 라디칼-기반 에칭들의 맥락에서 기술되었지만, 에칭 컨포멀도는 또한 다른 타입들의 에칭들을 사용하여서 조절될 수도 있다. 예를 들어서, 온도, 압력, 플로우 레이트, 및 에칭제 종들이 비-플라즈마 화학적 에칭들을 제어하는데 사용될 수 있다. 이러한 파라미터들 및 기판에 인가된 임의의 바이어스는 이온성 기반 에칭들을 제어하는데 사용될 수 있다. 일 실례, 보다 높은 전력 바어스 (power bias) 가 수직으로-배향된 피처 내에서 에칭을 더 깊이 하기 위해서 사용될 수 있다.
일부 구현예들에서, 비-컨포멀 에칭이 사용되어서 도 2 내지 도 8을 참조하여서 상술된 방법들에서의 다양한 스테이지들에서의 증착 이전의 텅스텐 프로파일을 성형할 수 있다. 도 10은 비-컨포멀 에칭이 피처 (1001) 의 하부 부분 (1052) 에서 무보이드 충진이 달성된 후에 피처 (1001) 의 상부 부분 (1054) 에서 증착을 하는데 사용될 수 있는 일 방법의 실례이다. 1010에서, 협폭부 영역 (1051), 협폭부 영역 (1051) 위의 상부 부분 (1054) 및 협폭부 영역 (1051) 아래의 하부 부분 (lower part) (1052) 를 포함하는 피처 (1001) 가, 측방향 및 수직 에칭 사이클들 후의 텅스텐 충진 후에 도시된다. 협폭부 영역 (1051) 아래의 피처 (1001) 의 하부 부분 (lower part) (1052) 은 예를 들어서 도 8에 기술된 프로세스를 사용하여서 텅스텐으로 무보이드 충진된다. 그러나, 보이드 (1012) 가 협폭부 (1053) 의 존재로 인해서 상부 부분 (1054) 에서 존재한다.
일부 구현예들에서, 1010에서 도시된 바와 같이 피처 (1001) 를 충진하기 보다는, 충진은 먼저 동작 (1020) 에서 수행되는 부분적 충진을 수반하는데, 여기서 증착은 협폭부 (1053) 아래의 상부 부분 (1054) 의 구역이 핀치 오프되기 이전에 중지된다. 하부 부분 (1052) 에서의 무보이드 충진은 상술된 방법들 중 임의의 것에 의해서 달성될 수 있다. 상부 부분 (1054) 은 컨포멀 증착 프로세스로 텅스텐으로 부분적으로 충진된다. 이어서, 비-컨포멀 에칭이 1030에서 수행되어서 오직 협폭부 (1053) 에서 그리고 그 위에서만 에칭을 하여서, 재차 들어간 프로파일을 제거한다. 컨포멀 증착 (1040) 이 이어서 사용되어서 무보이드 피처 충진을 완료한다.
따라서, 상이한 피처 깊이들에서 2 개의 협폭부들을 갖는 피처를 충진하기 위한 일 가능한 시퀀스는: (1) 하부 협폭부에서 핀치 오프 및 하부 협폭부 아래에서 보이드 형성까지 텅스텐 핵생성 + CVD 벌크 증착 (예를 들어, 도 8의 동작 (820) 참조), (2) 컨포멀 에칭하여서 하부 협폭부 위에서 그리고 통해서 모든 텅스텐을 제거하고 보이드 재개방 (예를 들어서, 도 8의 동작들 (830-840) 참조), (3) 하부 협폭부에서 그리고 아래에서의 무보이드 충진 및 하부 협폭부 위에서의 부분적 충진을 위한 텅스텐 핵생성 + CVD 벌크 증착 (예를 들어서, 도 10의 동작 (1020) 참조), (4) 하부 협폭부 위에서 비-컨포멀 에칭을 하여서 하부 협폭부 위에서 텅스텐 프로파일 성형 (예를 들어서, 도 10의 동작 (1030) 참조), 및 (5) 컨포멀 증착을 하여서 무보이드 피처 충진 완료 (예를 들어서, 도 10의 동작 (1040) 참조). 기술된 시퀀스는 에칭 컨포멀도 조절이 무보이드 충진을 달성하기 위해서 피처 충진 동안에 상이한 시간들에 어떻게 사용될 수 있는지를 보여하는 실례이며, 피처 프로파일에 따라서 다른 시퀀스도 가능하다. 에칭 컨포멀도 조절 이외에, 선택적 증착 및 컨포멀 증착은 증착 프로파일을 제어하고 무보이드 충진을 달성하기 위해서 피처 충진 동안에 상이한 시간들에 사용될 수 있다.
상술한 바와 같이, 일부 구현예들에서, 피처의 선택적 패시베이션 (passivation) 이 피처 충진을 제어하는데 채용될 수 있다. 선택적 패시베이션은 예를 들어서, 미국 특허 출원 번호 13/351,970 및 13/774,350에 개시되며, 이들은 모두 본 명세서에서 참조로서 인용되며, 이하에서 도 11 및 도 12를 참조하여서 더 기술된다.
붕소 변환으로 피처 충진
일부 구현예들에서, 피처 충진은 컨포멀 붕소 증착을 하고 이어서 텅스텐-함유 프리커서 (예를 들어서, WF6) 를 붕소에 의해 환원하여서 텅스텐 층 형성을 포함할 수 있다. 실례 반응은 다음과 같다:
WF6(g)+ 2B(s) → W(s) + BF3(g)
도 (13a는 피처를 충진하는 이러한 방법에서의 특정 동작들을 예시하는 흐름도이다. 먼저, 동작 (1310), 얇은 컨포멀 붕소 층 (1325) 이 피처 (1301) 내에서 티타늄 질화물 층 (1313) 위에 증착된다. 동작 (1320) 에서, 얇은 컨포멀 붕소 층 (1325) 이 텅스텐 층 (1327) 으로, 예를 들어서, 위에서 주어진 반응에 의해서 변환된다. 붕소 증착 및 변환 동작은 동작 (1330) 및 동작 (1340) 에서 반복되어서 다른 컨포멀 붕소 층 (1325) 을 형성하는데, 이는 이어서 텅스텐으로 변화되고, 이로써 텅스텐 층 (1327) 이 성장한다. 증착 및 변환 반응들은 피처가 텅스텐 (1327) 으로 완전히 충진될 때까지 반복될 수 있다. 얇은 컨포멀 붕소 (또는 환원 재료) 의 텅스텐으로의 변환의 다수의 사이클들을 매우 컨포멀하고 작은 그레인을 갖는 평탄한 텅스텐을 증착하기 위해서 사용하는 것은 심을 줄일 수 있는데, 이 방법을 사용하지 않고 이 심은 CVD 방법들을 사용하여서 형성될 수 있으며, 이는 대형의 거친 그레인 성장을 보인다. 일부 구현예들에서, 각 사이클은 약 10 nm 에 이르는 두께를 갖는 텅스텐 층을 형성할 수 있다. 붕소에서 텅스텐으로의 변환과 연관된 볼륨 증가가 있을 수도 있다.
원소적 텅스텐을 형성하도록 텅스텐-함유 프리커서를 환원시킬 수 있는 층을 형성하기 위해서 분해 또는 반응할 수 있는 임의의 붕소-함유 화합물이 동작 (1310) 및 후속하는 붕소 증착 동작들에서 사용될 수 있다. 실례들은 BnHn+4, BnHn+6, BnHn+8, BnHm을 포함하는 보란들을 포함하며, 여기서 n은 1 내지 10의 정수이며, m은 n과 상이한 정수이다. 다른 붕소-함유 화합물들, 예를 들어서 알킬 보란들, 알킬 붕소, 아미노보란들 (CH3) 2NB(CH2)2, 카보란들, 예를 들어 C2BnHn+2, 및 보란 할라이드들, 예를 들어 B2F4이 사용될 수도 있다.
일부 구현예들에서, 층 (1325) 은 실리콘 또는 실리콘-함유 재료, 인 또는 인-함유 재료, 게르마늄 또는 게르마늄-함유 재료, 및 수소를 포함하는 텅스텐 프리커서를 환원시킬 수 있는 임의의 재료이다. 이러한 층들을 형성하는데 사용될 수 있는 실례 프리커서들은 SiH4, Si2H6, PH3, SiH2Cl2, 및 GeH4를 포함한다. 텅스텐 피처 충진에서 붕소 변환을 사용하는 다른 실례가 이하에서 도 18을 참조하여서 기술된다.
도 13a를 참조하여서 기술된 방법은 디보란 또는 다른 환원제들을 사용하는 통상적인 ALD 프로세스들과는 다르다. 이는 증착된 컨포멀 붕소 (또는 다른 환원제 층들) 및 결과적인 텅스텐 층들이 통상적인 ALD 방법들에서 증착된 것보다 상당히 두껍기 때문이다. 예를 들어서, 각 붕소 층 (1325) 에 대한 실례 두께들은 약 1.5 nm 내지 10 nm, 또는 일부 구현예들에서, 3 nm 내지 10 nm, 또는 5 nm 내지 10 nm일 수 있다.
두께의 상한치는 다양한 프로세스 조건들에서 텅스텐으로 변환될 수 있는 최대 두께에 의해서 결정될 수 있다. 300oC 내지 400oC 및 40 Torr에서 WF6을 사용한 변환의 경우에, 약 10 nm의 상한치가 얻어졌다. 최대 두께는 온도, 압력, 고체 환원제들, 및 텅스텐 프리커서들에 따라서 변할 수 있다. 예를 들어서, 보다 높은 압력 및/또는 온도를 사용하면 환원제 층이 100 nm 정도까지 변환될 수 있다. 일부 구현예들에서, 각 붕소 (또는 다른 환원제) 층 두께는 약 5 nm 내지 50 nm 또는 10 nm 내지 50 nm일 수 있다.
일부 구현예들에서, 텅스텐으로 변환 시에 발생할 수 있는 체적 팽창 (volumetric expansion) 은 충진을 위해서 특히 유용하다. 예를 들어서, 환원제로부터 텅스텐으로 변환한 각 층은 환원제 층보다 5 퍼센트 이상으로 더 두꺼울 수 있다.
일부 구현예들에서, 텅스텐 충진은 붕소를 에칭 정지제로서 사용하여서 수행될 수 있다. 텅스텐으로의 변환은 일부 구현예들에서 약 10 nm로 제한될 수 있는데, 이는 텅스텐으로의 부분적 변환을 하고 이후에 피처 충진을 맞춤화하도록 붕소에 비해서 텅스텐에 대해서 선택적인 에칭을 하게 할 수 있다. 도 13b는 붕소 층이 텅스텐으로 부분적으로 변환되고 에칭 정지제로서 사용되는 방법의 실례의 동작들을 예시하는 흐름도이다. 이 방법은 피처 내에 컨포멀 붕소 증착을 함으로써 시작된다 (1352). 다양한 구현예들에서, 컨포멀 붕소 증착은 피처 내로의 초기 텅스텐 증착 이전에 또는 이후에 발생할 수도 있다. 다양한 구현예들에서, 예를 들어서, 붕소는 베리어 또는 라이너 층 표면, 텅스텐 표면, 또는 이러한 표면들의 조합 상에 형성된다. 블록 (1352) 은 피처를 붕소-함유 화합물 (compound) 노출하는 것을 포함한다. 일부 구현예들에서, 붕소-함유 화합물은 열적 분해를 경험하여서 피처 표면 상에 원소적 붕소 (B) 또는 붕소-함유 층을 형성한다. 붕소 층은 적합한 화학적 반응에 의해서 증착될 수 있다. 실례 붕소-함유 화합물들은 위에서 주어졌다.
열적 분해가 사용되어서 붕소 (또는 다른 컨포멀 재료) 를 증착하면, 블록 (1352) 에서의 온도는 분해점보다 높다. 예를 들어서, B2H6 경우에, 온도는 250oC보다 높다. B2H6는 예를 들어서 컨포멀 붕소 증착의 경우에 300oC, 375oC, 및 395oC 온도 및 40 Torr 에서 450 sccm 플로우 레이트를 사용하여서 성공적으로 사용될 수 있지만, 플로우 레이트들, 온도들, 및 압력들은 이와 상이한 것들이 사용될 수도 있다. 블록 (1352) 은 목표된 붕소 두께가 형성될 때까지 붕소-함유 화합물에 대해서 연속하는 플로우 또는 펄싱을 할 수 있다.
이어서, 증착된 붕소는 부분적으로 텅스텐으로 변환되어, 붕소-막의 일부가 남게 한다 (1304). 블록 (1304) 은 일반적으로 붕소 층을 텅스텐 함유 프리커서 증기에 노출하는 것을 포함하며, 이 증기와 반응하여서 원소적 텅스텐이 남는다. 텅스텐 헥사플루오라이드 가스와 고체 붕소 간의 반응의 실례는 위에서 주어졌다. 온도는 자발적 반응이 발생하도록 된다. 예를 들어서, 텅스텐 헥사플루오라이드는 예를 들어서 300oC, 395oC 온도 및 40 Torr 에서 400 sccm 플로우 레이트를 사용하여서 텅스텐으로 변환되기에 성공적으로 사용되었다. 변환된 붕소의 양은 플로우 레이트, 압력, 온도 및 텅스텐 함유 프리커서의 플로우 기간에 의존할 수 있다. 그러나, 텅스텐으로의 변환은 약 10 nm로 한정될 수 있다. 따라서, 일부 구현예들에서, 10 nm보다 두꺼운 붕소가 블록 (1352) 에서 사용되면, 오직 대략 붕소의 상단 10 nm까지만 텅스텐으로 변환되어, 붕소-텅스텐 이중층이 남는다.
이어서, 텅스텐이 붕소에 대해서 선택적으로 에칭된다 (1306). 일부 구현예들에서, 붕소는 에칭 정지제 역할을 한다. 이로써, 피처 충진은 맞춤될 수 있다. 예를 들어서, 붕소 층은 피처 충진 시에 에칭 정지제 역할을 한 도 3a, 도 3b 및 도 10에서 도시된 언더-층들과 유사하게 사용될 수 있다. 이러한 언더-층들고 달리, 일부 구현예들에서, 잔류 붕소는 에칭 프로세스 후에 텅스텐으로 변환될 수 있다 (1308). 이로써, 대부분의 피처는 보다 낮은 저항 재료에 의해서 점유된다. 일부 구현예들에서, 붕소는 블록 (1302) 엣 약 20 nm 정도의 두께로 형성되어서 2 개의 변환 동작들에서 그것이 모두 텅스텐으로 변환될 수 있으며 각 변환은 약 10 nm 두께까지의 붕소 층을 텅스텐으로 변환시킨다. 마찬가지로, 일부 다른 구현예들에서, 붕소는 n*10 nm 정도의 두께로 형성되며, 여기서 n는 수행될 에칭 동작들의 수이다. 도 13b를 참조하여서 기술된 프로세스의 실례는 도 19를 참조하여서 이하에서 기술된다.
에칭 정지제로서 붕소를 사용하도록 에칭 선택도를 제어하는 것은 예를 들어서 W:Ti 및 W:TiN 에칭 선택도들을 참조하여서 상술한 바와 같이, 온도, 플로우 레이트 및 다른 파라미터들을 조절하는 것을 수반할 수 있다. 일 실례에서, 붕소는 NF3 → NFx + F* 화학물을 사용하는 25℃ F계 원격 플라즈마 에칭을 사용하여서 에칭 정지제 역할을 한다. 이러한 온도에서, W는 B보다 신속하게 선택적으로 에칭되며, 이는 반응 B + 3F* → BF3 대 반응 W + 6F* → WF6 의 열역학들과 관련된다. 다른 타입들의 에칭들 및 에칭 화학물들이 사용될 수 있다.
도 13b에 기술된 방법은 또한 붕소 이외에 또는 붕소에 추가하여서 다른 고체 층들과 함께 사용될 수도 있다. 예를 들어서, 실리콘, 실리콘-함유 재료, 인, 인-함유 재료, 게르마늄 또는 게르마늄-함유 재료가 증착되고 도 13a를 참조하여서 상술한 바와 같이 텅스텐 함유 프리커서와 반응을 통해서 텅스텐으로 부분적으로 변환될 수 있다. 약 10 nm의 변환 한계치가 특정 프로세스 조건들을 사용하여서 WF6에 대해서 관찰되었지만, 변환 한계치들은 다른 텅스텐 함유 화합물들 및/또는 다른 환원제들에 대해서 실험적으로 또는 이론적을 결정될 수 있다는 것이 주목되어야 한다. 따라서, 본 명세서에서 기술된 방법들은 환원제의 텅스텐으로의 부분적 변환 이전에 보다 많은 또는 보다 적은 환원제를 증착하도록 조절될 수 있다.
무불소 텅스텐 (FFW) 및 텅스텐 질화물 (FFWN) 으로의 피처 충진
도 13c 및 도 13d는 피처 충진 시에 무불소 층들을 사용하는 실레들에서의 특정 동작들을 도시하는 흐름도들이다. 텅스텐 및 텅스텐 프리커서들 내에서 불소 (F) 는 추가 집적 동작들 동안에 반응하여서 강하게 반응성이 있는 하이드로플루오릭 산 (HF) 를 형성한다. HF는 예를 들어서 산화물 스택들에서 산화물 내로 침투 (eat) 되거나 그렇지 않으면 집적에 악영향을 줄 수 있다.
도 13c는 무불소 텅스텐 질화물 층이 피처 내에 증착되고 이어서 무불소 텅스텐 층으로 변환되는 일 실례를 도시한다. 먼저, 무불소 텅스텐 질화물 층이 피처 내에 증착된다 (1352). 일부 구현예들에서, 이 텅스텐 질화물 층은 열적 ALD 또는 PNL 프로세스에 의해서 증착되는데, 여기서 환원제, 텅스텐-함유 프리커서, 및 질소-함유 반응물이 펄싱되어서 (다양한 순서들로) 피처 상에 컨포멀 텅스텐 질화물 층을 형성한다. 텅스텐 질화물 막들을 증착하기 위한 ALD 또는 PNL 프로세스의 실례들은 미국 특허 7,005,372 및 미국 가 출원 번호 (U.S. Provisional Patent Application No) 61/676,123에 기술되며, 이들은 본 명세서에서 참조로서 인용된다.
무불소 층들을 증착하기 위해서, 대체적으로 반응물들 모두에 불소가 없다. 일부 구현예들에서, 질소-함유 화합물은 환원제 기능을 하며, 이로써 개별 환원제가 사용되거나 사용되지 않을 수도 있다. 일부 구현예들에서, 텅스텐-함유 프리커서는 또한 질소를 포함할 수도 있으며, 따라서 별도의 질소-함유 화합물이 사용되거나 사용되지 않을 수도 있다.
사용될 수 있는 무불소 텅스텐 프리커서들의 실례들은 W(CO)6 및 유기텅스텐 프리커서들, 예를 들어서 W2(NMe2)6, W(OEt)6, W(OnPr)6, (tBuN-)2W(NMe2)2, (tBuN-)2W(NEtMe)2, W(Cp)2H2, W(NEt2)2(NEt)2, W(iPrCp)2H2, (tBuN-)2W(HNMe)2, W(EtCp)2H2 및 이들의 유도체를 포함한다. 다른 실례는 EDNOW ( ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten), MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten), 및 ETHW (ethylcyclopentadienyltricarbonylhydridotungsten) 를 포함하며, 이들은 Praxair에서 입수가능하며, 또한 다음과 같은 구조를 갖는 텅스텐 bis(alkylimino)bis(alkylamino) 화합물들도 포함한다:
Figure 112014102882796-pct00002
여기서, 각 R은 메틸, 에틸, 프로필, 부틸 및 테트라-부틸 그룹들로부터 독립적으로 선택될 수 있다. 이러한 그룹들은 치환 또는 치환되지 않을 수 있지만, 통상적으로는 치환되지 않는다. 예를 들어서, 텅스텐-함유 프리커서는 비스(테트라-부틸리미노(butylimino)) bis(디메틸아미노) 텅스텐 (W[N(C4H9)]2[N(CH3)2]2이다.
환원제들의 실례는 보란들, 실란들, H2, NH3, N2H4, N2H6, 및 이들의 조합 (combinations thereof) 을 포함한다. 질소-함유 화합물들의 실례는 N2, NH3, N2H4, 및 N2H6을 포함한다. 일부 구현예들에서, 증착된 막은 예를 들어서 약 5 원자적 % 보다 작거나 또는 약 2 원자적 % 보다 작은 탄소와 같은 상대적으로 소량의 탄소를 갖는 WN 막이다. 일부 구현예들에서, 텅스텐 질화물을 증착하는 CVD 법은 블록 (1352) 에서 ALD 또는 PNL 방법 대신에 또는 더불어서 사용될 수 있다. 일 실례에서, 유기-텅스텐 프리커서 또는 W(CO)6 를 사용하는 열적 ALD가 사용되어서 블록 (1352) 에서 피처를 핀치 오프하지 않고서 WN 층을 증착할 수 있다.
다양한 구현예들에서, FFWN 막 내에서의 증착된 때의 W 함량은 약 20% 내지 80% (원자적) 이여 N 함량은 약 10% 내지 60% 원자적이다. 탄소의 일부량이 상술한 바와 같이 존재할 수 있다. 또한, 텅스텐 막들을 참조하여서 이하에서 기술될 바와 같이, 다른 원소들이 존재할 수 있는데, 예를 들어서 산소, 붕소, 인, 황, 실리콘, 게르마늄 등이 특정 프리커서들 및 사용된 프로세스들에 따라서 존재할 수 있다. 예를 들어서, 위에서 참조된 미국 가 특허 출원 번호 61/676,123 가 텐너리 (ternary) WBN 막들의 증착을 기술한다.
도 13c로 돌아가면, 무불소 텅스텐 질화물이 이어서 무불소 텅스텐으로 변환된다 (1354). 이는 대체적으로 텅스텐 질화물 막 내의 질소가 질소 가스 (N2) 로서 남도록, 적어도 약 600 ℃ 의 온도에서 예를 들어서 약 5 초 내지 120 초의 기간 동안에 텅스텐 질화물을 열적으로 어닐링함으로써 이루어진다. 일부 구현예들에서, 블록 (1352) 은 휘발된 N2 가스에 대한 플로우 경로를 허용하게 피처를 폐쇄하지 않고서 수행된다. 예를 들어서, 적어도 약 1 내지 2 nm가 핀치 지점 또는 협폭부에서 개방되게 남기는 것은 어닐링을 가능하게 하여서 실질적으로 모든 텅스텐 질화물이 텅스텐으로 변환되게 한다.
일단 텅스텐 질화물 막이 텅스텐으로 변환되었으면, 다른 무불소 텅스텐 또는 텅스텐 질화물 막이 선택적으로 증착되어서 임의의 협폭부들을 폐쇄하고 및/또는 피처 충진을 완료할 수 있다 (1358). 무불소 텅스텐 질화물 막 증착은 블록 1352에서 상술되었다. 무불소 텅스텐 막 증착이 열적 ALD 또는 PNL 프로세스를 사용하여서 수행될수 있으며, 여기서 환원제 및 무불소 텅스텐-함유 프리커서가 펄싱되어서 피처 상에 컨포멀 텅스텐 층을 형성한다. 다양한 구현예들에서, 예를 들어서 인사이드-아웃 충진, 리세스 에칭, 에칭 컨포멀도 조절, 및 붕소 변환을 포함하는, 본 명세서에서 기술된, 하나 이상의 다른 기법들이 사용되어서 무보이드 피처 충진을 일부 구현예들에서, 무불소 프리커서들 및 환원제들을 사용하여서 완료할 수 있다. 일부 다른 구현예들에서, 피처는 무불소 WN 또는 W 층으로 캡핑될 수 있으며 보이드가 피처 내에 남겨진다. 캡 층이 사용되어서 블록 1352에서 남겨진 가스 플로우 경로를 폐쇄할 수 있다. 무불소 텅스텐 질화물 층 블록 1358에서 증착되면, 이를 원소적 텅스텐으로 변환하기 위한 열적 어닐링이 이어서 수행될 수도 있고 그렇지 않을 수도 있다. 일부 구현예들에서, 예를 들어서, 얇은 WN 층 (예를 들어서, 5 Å) 을 W로 변환하는 것을 특별하게 유리하지 않을 수 있다. 도 13c에 따른 프로세스의 실례는 도 22를 참조하여서 이하에서 기술된다.
도 13c는 무불소 텅스텐-함유 층이 사용되어서 불소-함유 가스를 사용하여서 증착된 텅스텐 층을 실링 (seal) 하는 방법의 실례에서의 특정 동작들을 예시하는 흐름도이다. 먼저, 피처가 불소-함유 화합물로 증착된 텅스텐 층으로 부분적으로 충진된다 (1362). 블록 1362 은 텅스텐 핵생성 층을 증착하고 이어서 텅스텐 헥사플루오라이드 (hexafluoride) 와 같은 프리커서를 사용하여서 벌크 텅스텐 층을 증착하는 것을 수반할 수 있다. 피처가 개방된 상태로 유지하면서 부분적 충진이 수행된다. 이는 블록 1364에서 HF 가스가 피처 외부로 펌핑되게 하며 일부 구현예들에서, 블록 1368에서 무불소 층이 이 층 상에 증착되게 할 수 있다.
이어서, 반응 부산물 또는 이와 달리 피처 내에 존재하는 임의의 HF (또는 다른 불소-함유 가스) 이 외부로 펌핑된다 (1364). 일부 구현예들에서, 일부 불소는 잔류 텅스텐 막 내에 존재할 수 있다. 텅스텐 막은 무HF 프로세스를 사용하여서, 예를 들어서 상술한 바와 같이 무불소 텅스텐 또는 텅스텐 질화물 층을 증착하거나 무불소 텅스텐 프리커서를 사용하는 붕소 변환을 사용하여서, 실링될 수 있다. 다양한 구현예들에서, 임의의 협폭부들이 무불소 막으로 실링되고 및/또는 블록 1362에서 증착된 텅스텐 막의 임의의 노출된 표면이 무불소 막으로 피복될 수 있다. 이는 이 막 내에 존재할 수 있는 불소가 집적 동안에 하이드로플루오릭 산을 형성하는 것을 방지한다. 도 13d를 참조하여서 기술된 방법은 임의의 잔류 불소가 후속하는 집적에 영향을 주지 않게 하면서, 불소 기반 프로세스로 피처 내에 대부분의 텅스텐을 효율적으로 증착하는데 유용할 수 있다.
다양한 구현예들에 따라서, 블록 1368에서 증착된 막들은 임의의 협폭부들을 폐쇄하고 및/또는 피처 충진을 완료한다. 다양한 구현예들에 따라서, 인사이드-아웃 충진, 리세스 에칭, 에칭 컨포멀도 조절, 및 붕소 변환을 포함하는 본 명세서에서 기술된 하나 이상의 다른 기법들이 일부 구현예들에서, 무불소 프리커서들 및 환원제들을 사용하여서 무보이드 피처 충진을 완료하는데 사용될 수 있다.
도 13c 및 도 13d를 참조하여서 상술된 방법들은 무불소 텅스텐 및 텅스텐 질화물을 참조하였지만, 이들은 무할로겐 텅스텐 및 텅스텐 질화물 막들로 일반화될 수 있다. 마찬가지로, 블록 1362에서 증착된 텅스텐은 WCl6와 같은 할로겐-함유 프리커서로 증착될 수 있다.
피처 충진 실례들
본 발명의 양태들이 VNAND 워드 라인 (WL) 충진의 맥락에서 이제 기술될 것이다. 이하의 설명들이 다양한 방법들에 대한 프레임워크를 제공하지만, 방법들은 이로 한정되지 않고 역시 다른 애플리케이션들, 예를 들어서 로직 및 메모리 컨택트 충진, DRAM 매립된 워드 라인 충진, 수직으로 집적된 메모리 게이트/워드 라인 충진, 및 실리콘 관통 비아 (TSV) 로의 3D 집적에서도 구현될 수 있다. 이하에서 기술된 프로세스들은 텅스텐 비아 및 트렌치 충진을 포함하여서, 하나 이상의 협폭부들을 포함하는 임의의 수평으로 또는 수직으로-배향된 구조체에도 적용될 수 있다.
상술한 도 1f는 충진될 VNAND 워드 라인 (WL) 의 실례를 제공한다. 상술한 바와 같이, 이러한 구조체들의 피처 충진은 필러 배치에 의해서 제공되는 협폭부들을 포함하는 몇몇 과제들을 제공할 수 있다. 또한, 고 피처 밀도는 반응물들이 충진을 완료하기 이전에 소모되어버리는 로딩 효과를 유발할 수 있다. 다양한 방법들이 전체 WL을 통한 무보이드 충진을 위해서 이하에서 기술된다. 특정 구현예들에서, 저 저항 텅스텐이 증착된다. 또한, 특정 구현예들에서, 막은 어떠한 HF 트래핑도 업는 저 F 함량을 갖는다. 일부 구현예들에서, 피처는 모두가 충진되지 않을 수도 있으며, 피처 충진은 충진이 피처 개구에 도달하기 이전에 중지된다. 실례가 1740에서 도 17에서 도시된다.
도 14는 컨포멀 증착 동작들이 피처 내에 고 W:TiN 에칭 선택도를 사용하여서 에칭하는 것과 교번하는 시퀀스를 도시한다. 피처 (1401) 는 협폭부들 (1451), 및 2 개의 단부들 (1455) 로부터 접근될 수 있는 내부 영역 (1452) 을 포함한다. 도 1g를 참조하여서 상술한 바와 같이, 도 14는 3차원 피처의 2차원 렌더링으로서 보여지며, 도면은 충진될 구역의 단면도를 도시하며, 협폭부 (1451) 는 단면도보다는 평면도로 보여질 필러들로부터의 협폭부들을 나타낸다. 위의 도 1e 및 도 1f는 필러들이 어떻게 배열되는지의 추가 설명을 제공한다. 피처는 언더-층 (1413) 을 포함하며, 이 언더 층은 도 14의 실례에서 TiN 층이지만, 이는 임의의 언더-층이 될 수 있다. 이 시퀀스는 1410에서 시작하는데 여기서 피처를 충진하도록 텅스텐이 컨포멀하게 증착되며 보이드 (1412) 가 피터 내부에 남는다.
이어서, 언더-층 TiN 에 비하여서 W에 대해서 선택적인 에칭이 1420에서 수행되어서 도 2를 참조하여서 상술한 바와 같이 피처 내에 텅스텐 (1403) 을 남긴다. 잔류 텅스텐 (1403) 이 피처 내부 (1455) 에 피처 크기들 (dimension) 을 제공하며, 이 피처 크기들은 협폭부 (1451) 에서의 피처 크기들에 가깝다. 이는 후속 컨포멀 증착 시의 보이드 형성이 제거되거나 감소되게 한다. 예를 들어서, 1430에서의 다른 컨포멀 증착에서, 2 개의 보이드들 (1414) 이 형성되는데, 이들은 1410에서 형성된 보이드 (1412) 보다 작으며 피처 단부들 (1455) 에 더 근접한다. 1440에서 수행된 선택적 에칭은 보이드들 (1414) 을 개방하고, 피처 프로파일을 재성형하여서, 피처 내에 재차 들어간 부분 (re-entrancy) 을 없앤다. 1450에서의 최종 컨포멀 증착은 워드 라이의 무보이드 충진을 제공할 수 있다. 도시된 실례에서, 증착 동작들은 컴포멀하며 피처 내의 컨포멀 핵생성 층의 증착을 수반할 수 있음이 주목되어야 한다. 에칭 후에 남겨진 잔류 W의 정확한 프로파일들은 특정 구현예에 따라서 변할 수 있다.
도 15는 도 14와 유사하지만, 예를 들어서 도 3a 내지 도 4b를 참조하여서 상술한 바와 같이 인사이드-아웃 충진을 제공하도록 컨포멀 증착을 하기보다는 선택적 증착을 갖는 시퀀스를 도시한다. 이 프로세스는 1510에서 컨포멀 증착으로 시작하며, 예를 들어서 PNL 핵생성 층 + CVD 동작을 하여서 피처 핀치 오프한다. 이어서, W에 대하여 선택적인 에칭이 1520에서 수행되어서 협폭부들을 개방하고, 에칭된 텅스텐 층 (1503) 을 남긴다. 이어서, 텅스텐의 선택적 증착 (통상적으로 어떠한 새로운 컨포멀 핵생성 층도 없음) 이 수행되어서 인사이드-아웃 충진을 달성하고 협폭부들을 지나간다. 피처의 내부 영역 (1555) 을 충진하고 이어서 협폭부들 (1551) 을 지나가는데 사용되는 CVD 동작의 진행들이 각기 1530 및 1540에서 도시된다. 도시된 실례에서, 컨포멀 증착이 사용되어서 1550에서 충진을 완료한다. 컨포멀 증착은 텅스텐 핵생성 층을 피처의 충진되지 않은 단부 부분들에 증착하고 이어서 벌크 증착을 포함한다. 일부 구현예들에서, 도 15에서 초기 증착 및 에칭 동작들 (1510 및 1520) 은 도 14에서의 동작들 (1410 및 1420) 에서와 유사한 프로파일을 낳을 수 있다 (이와 반대도 된다). 또한, 일부 구현예들에서, 1450 및/또는 1550에서 충진을 완료하기 위한 증착들은 상술한 바와 같은 선택적 제거 동작들 및/또는 패시베이션 (passivation) 동작들 중 하나 이상을 포함한다.
도 16은 도 15에 도시된 인사이드 아웃 (inside out) 성장 프로세스에 대한 변형을 도시한다. 도 15에서의 동작 (1510) 과 유사하게, 프로세스는 1610에서 컨포멀 증착, 예를 들어서, PNL 핵생성 층 + CVD 동작으로 시작하여서, 피처 핀치 오프한다. 이어서, W에 대하여 선택적인 에칭이 1620에서 수행되어서 협폭부들을 개방하고, 에칭된 텅스텐 층 (1603) 을 남긴다. 이어서, 텅스텐의 선택적 증착 (통상적으로 어떠한 새로운 컨포멀 핵생성 층도 없음) 이 1630에서 수행되어서 인사이드-아웃 충진을 달성하고 협폭부들을 지나간다. 에칭된 텅스텐 층 (1603) 의 프로파일은 도 15에서의 1520에서 형성된 것과는 상이하며, 이는 선택적 증착의 진행에 영향을 줄 수 있다. 그러나, 양 실례들에서, 에칭된 텅스텐 층은 후속 CVD를 위한 시드 층 역학을 하며 인사이드 아웃 충진을 용이하게 한다. 컨포멀 증착이 사용되어서 1640에서 충진을 완료한다. 이는 일부 구현예들에서 상술한 바와 같은 선택적 제거 동작들 및/또는 패시베이션 (passivation) 동작들 중 하나 이상을 포함한다.
도 17은 선택적 및 비-선택적 W/TiN 에칭들을 사용한 시퀀스를 도시한다. 먼저, 막이 1710에서 피처 내에서 컨포멀하게 증착되어 보이드 (1712) 를 남긴다. 초기 선택적 에칭이 사용되어서 핀치 지점 내로 1720에서 에칭하며, 이후에 1730에서 W 및 TiN에 대해 비선택적인 에칭이 수행된다. 잔류 막이 W 선택적 증착을 위한 시드 층으로서 1740에서 사용될 수 있다.
도 18은 붕소 층이 텅스텐으로 변환되는 시퀀스르 도시한다. 이 방법은 1810에서 예를 들어서 디보란 또는 다른 붕소-함유 프리커서를 사용하여서 기판 상에 붕소가 흡착되게 함으로써 시작할 수 있다. 두께는 핀치 오프 지점 근처에 이르며 예를 들어서 10 nm 일 수 있다. 상술한 바와 같이, 일부 구현예들에서, 10 nm은 적절한 시간의 양에서 텅스텐 변환을 위한 한계치에 근사할 수 있다. 이어서, 텅스텐 헥사플루오라이드 또는 텅스텐 함유 프리커서가 붕소 층으로 환원되어서 1820에서 원소적 텅스텐을 형성한다. 이 변환과 연관된 두께 팽창이 존재한다 (원자적 체적에 기초하여서 3.6 퍼센트). 다양한 구현예들에 따라서, 변환은 협폭부들 아래에서 피처를 실링하거나 실링하지 않을 수도 있다. 일부 구현예들에서, 변환 동안에 어떠한 수소도 사용되지 않으며 이로써 피처 내에서 어떠한 HF도 갇히지 않는다. 붕소-변환된 텅스텐 내의 F 농도는 낮다. 다양한 구현예들에 따라서, 피처는 텅스텐 핵생성 층을 형성하지 않고서 텅스텐으로 충진될 수 있다. 또한, 일부 구현예들에서, 붕소 증착 및 변환 동작들은 예를 들어서 도 13a에 도시된 바와 같이 반복될 수 있다. 또한, 일부 구현예들에서, 텅스텐 변환 이후에 피처 충진을 완료하기 위해서 하나 이상의 컴포멀 또는 선택적 텡스텐 증착 동작들 또는 증착-에칭-증착 동작들이 수행될 수 있다.
도 19은 붕소의 텅스텐으로의 변환을 수반하는 다른 시퀀스를 도시한다. 도시된 실례에서, 붕소는 텅스턴 에칭을 위한 에칭 정지제로서 사용되고 텅스텐으로 변환하는 등으로 교번하여서 사용된다. 텅스텐이 에칭되어서 인사이드-아웃 충진을 위한 개시 층을 남긴다. 하나 이상의 컨포멀 또는 선택적 증착들 또는 이들의 조합 (combinations thereof) 이 사용되어서 충진을 완료한다. 변환은 약 10 nm까지로 자기 정지적이기 때문에, 피처 내로 더 깊이 있는 붕소는 변환되지 않은 상태로 남으며 에칭 정지제로서 사용될 수 있다. 도 19의 실례에서, 프로세스는 1910에서 피처 내에 초기 붕소 층 (1925) 을 컨포멀하게 증착함으로써 시작되고 이로써 핀치 지점들을 폐쇄하고 보이드 (1912) 를 남긴다. 붕소를 증착하는데 사용될 수 있는 화합물들의 실례는 상술되었다. 이어서, 붕소 층 (1925) 의 일부가 1920에서 텅스텐 층 (1927a) 으로 변환된다. 상술한 바와 같이, 일부 구현예들에서, 특정 한계치 (예를 들어서, 10 nm) 까지의 붕소가 변환된다. 이 부분적 변환은 잔류 붕소 층 (1925a) 을 남긴다. 텅스텐 층 (1927a) 이 이어서 선택적으로 제거되어서, 붕소 층 (1925a) 을 1930에서 남긴다. 붕소 층 (1925a) 이 이어서 텅스텐으로 부분적으로 변환되어, 텅스텐 층 (1927b) 및 잔류 붕소 층 (1925b) 을 1940에서 남긴다. 이 실례에서, 붕소는 피처가 후속하는 W-선택적 에칭에서 개방되도록 핀치 지점을 바로 통과하여서까지 변환된다. 일부 다른 구현예들에서, 하나 이상의 추가 부분적 변환들/선택적 에칭들이 수행되어서 변환의 자기 정지적 성질로 인해서 피처를 개방한다. 텅스텐 층 (1927b) 이 선택적으로 제거되고 후속하는 동작에서, 잔류 붕소 층 (1925b) 이 텅스텐 층 (1927c) 으로 변환된다. 이어서, 텅스텐 층 (1927c) 이 (예를 들어서, 도 16에서와 같이) 피처를 충진하기 위한 컨포멀 증착 또는 (예를 들어서, 도 14에서와 같이) 피처를 충진하기 위한 선택적 증착을 위한 토대가 될 수 있다.
도 20은 본 명세서에서 참조로서 인용된 미국 특허 출원 번호 13/774,350에 기술된 시퀀스를 도시하며, 여기서 비-컨포멀 선택적 억제가 사용되어서 핀치 오프 이전에 피처의 내부를 충진한다. 논의된 선택적 억제 기법은 본 명세서에서 기술된 하나 이상의 기법들과 함께 사용될 수 있다. 도 20에서, 텅스텐 핵생성 층 (2004) 이 언더-층 (2013) 상에 컨포멀하게 2010에서 증착된다. 상술한 PNL 프로세스가 사용될 수 있다. 일부 구현예들에서, 컨포멀 핵생성 층을 증착하는 이 동작은 생략될 수도 있음이 주목되다. 이어서, 피처가 억제 화학물에 노출되어서 부분들 (2006) 을 2020에서 선택적으로 억제한다. 이 실례, 필러 협폭부 (2051) 를 통한 부분들 (2008) 이 선택적으로 억제된다. 이 억제는 예를 들어서, N2, H2, 형성 가스, NH3, O2, CH4, 등과 같은 가스로부터 생성된 다이렉트 (인-시츄) 플라즈마에 노출하는 것을 수반한다. 피처들을 억제 종들에 노출시키는 다른 방법들이 이하에서 더 기술된다. 이어서, CVD 프로세스가 수행되어서 억제 프로파일에 따라서 선택적으로 텅스텐을 증착하고: 벌크 텅스텐 (2008) 이 핵생성 층 (2004) 의 비억제된 부분들 상에 선호적으로 증착되며 이로써 협폭부 후방의 충진하기 어려운 영역들이 2030에서 충진된다. 이어서, 나머지 피처가 벌크 텅스텐 (2009) 으로 2040에서 충진된다. 텅스텐을 선택적으로 증착하는데 사용된 것과 동일한 CVD 프로세스가 나머지 피처에 대해서 사용되거나, 상이한 화학물 또는 프로세스 조건들을 사용하는 상이한 CVD 프로세스를 사용하거나, 핵생성 층이 증착된 후에 수행된 상이한 CVD 프로세스가 사용될 수 있다.
다양한 구현예들에서, 상술한 실례들 중 임의의 것이 컨포멀 또는 비-컨포멀 에칭들을 사용하여서 다양한 구현예들ㅇ 따라서 피처 충진을 맞춤화시킬 수 있다. 도 21은 비-컨포멀 에칭을 사용하는 피처 충진의 실례를 도시한다. 도 21의 실례에서, PNL 핵생성 + CVD W 이 사용되어서 얇은 컨포멀 텅스텐 층 (2102) 을 피처 내에 2110에서 증착한다. 이후에, 비-컨포멀 에칭이 수행되는데, 언더-층 (2113) 을 보호하도록 높은 선택도로 2120에서 수행된다. 예를 들어서, 도 9b를 참조하여서 상술한 바와 같이 고 W:TiN 선택도를 갖는 비-컨포멀 에칭이 TiN 언더-층들에 대해서 수행될 수 있다. 이는 피처의 내부 (2153) 내에 텅스텐 층 (2002) 을 남기고 그것을 피처 단부들 (2155) 근처에서는 제거한다. 2130에서의 다른 얇은 텅스텐 층 (2103) 의 CVD W 증착 이후에 다른 비-컨포멀, W-선택적 에칭이 수행된다. 이러한 증착-에칭-증착 동작들은 2140에서 피처를 충진하게 반복될 수 있다. 다양한 구현예들에서, 각 후속하는 증착 동작은 컨포멀 또는 선택적 증착을 위한 핵생성 층 증착을 포함하거나 포함하지 않을 수 있다. 일부 구현예들에서, 핵생성 지연 (패시베이션) 이 예를 들어서 고 전력 소스에서 CVD W 동안에 사용되어서 개구 근처에서의 성장을 억제할 수 있다.
일부 구현예들에서, 무불소 텅스텐 및 텅스텐 질화물 막들이 사용되어서 텅스텐 피처 충진시에 불소-계 프로세싱 및 불소-함유 부산물들 (byproducts) 을 줄일 수 있다. 도 22는 피처 충진 시에 열적 ALD 무불소 텅스텐 질화물 (FFWN) 막이 무불소 텅스텐 (FFW) 으로 변환되는 시퀀스의 실례를 도시한다. 이 시퀀스는 2210에서 FFWN 층을 도 13c 및 도 13d를 참조하여서 열적 ALD 또는 PNL에 의해서 증착하면서 시작된다. FFWN 층은 질소 가스가 누설되게 구조물의 내부를 완전하게 핀치 오프하지 않고서 증착된다. 이어서, FFWN는 FFW로 열적 어닐링 동안에 변환되고, N2 가스가 2220에서 남는다. 도시된 실례에서, FFWN 또는 FFW 캡 층 (2208) 이 이어서 증착되어서 피처 내부 (2253) 를 폐쇄한다. 캡 층 (2208) 의 두께에 따라서, 열적 어닐링은 FFW 캡 층 (2208) 을 FFW로 제거 변환하게 수행될 수 있다. 일부 구현예들에서, 캡 층 (2208) 은 무시할만한 양의 질소가 텅스텐-충진된 피처 내에 존재하고 어떠한 어닐링도 수행되지 않도록 충분하게 얇을 수 있다. 일부 구현예들에서, 캡 층 (2208) 은 피처 내에 이 층의 증착 이전에 내부 (2253) 를 폐쇄할 수 있다. 도 23을 참조하여서 기술된 시퀀스와는 달리, 이는 불소 관리 측면에서 허용가능한데 그 이유는 이 프로세스에서는 불소가 사용되지 않기 때문이다. 도 22에 도시된 시퀀스가 보이드 (2212) 를 남기지만, 다른 구현예들에서, 보이드들을 저감 또는 제거하기 위한 상술된 하나 이상의 기법들이 사용될 수도 있다.
도 23은 열적 ALD 무불소 텅스텐 질화물 (FFWN) 또는 무불소 텅스텐 (FFW) 막이 텅스텐 피처 충진 시에 사용되어서 불소를 사용하여서 증착된 층을 실링하는 시퀀스의 실례를 도시한다. 이 시퀀스는 2310에서 텅스텐 층 (2302) 을 불소- (또는 다른 할로겐)-함유 화합물을 사용하여서 컨포멀 증착하는 것으로 시작한다. 이 컨포멀 증착은 예를 들어서, 텅스텐 핵생성 층 증착 및 이후에 텅스텐 헥사플루오라이드 또는 텅스텐 헥사클로라이드 (hexachloride) 를 사용하는 벌크 증착을 수반할 수 있다. 일부 구현예들에서, 증착이 핀치 이전에 중지되어, 적어도 5-10 nm 두께를 핀치 지점 (2351) 에서 근접한 측벽들 간에서 남긴다. 2320에서, 펌프 다운 동작이 수행되어서HF와 같은 모든 불소-함유 부산물을 제거한다. FFWN 또는 FFW 층 (2308) 이 이어서 증착되어서 텅스텐 층 (2302) 을 피복한다. 일부 구현예들에서, 이는 피처의 내부 (2353) 내에 층 (2308) 을 증착하여서 텅스텐 층 (2302) 에 존재하는 임의의 불소의 방출을 방지하는 것을 돕는 것을 포함한다. 도 23에 도시된 시퀀스가 보이드 (2312) 를 남기지만, 다른 구현예들에서, 보이드들을 저감 또는 제거하기 위한 상술된 하나 이상의 기법들이 사용될 수도 있다.
다양한 구현예들에 따라서, 상술한 프로세스 시퀀스들에서 기술된 에칭들은 목표된 에칭 프로파일에 따라서, 컨포멀할 있거나, 온화하게 비-컨포멀하거나, 도 9a 및 도 9b를 참조하여서 상술한 바와 같이 강하게 비-컨포멀할 수 있다. 예를 들어서, 핀치-오프 피처를 개방하는데 사용되는 에칭들이 컨포멀 에칭들을 생성하는 프로세스 조건들을 사용할 수 있다.
핵생성 층 증착
일부 구현예들에서, 본 명세서에서 기술된 방법들은 텅스텐 핵생성 층 증착을, 벌크 층 증착 이전에 포함한다. 핵생성 층은 그 상에 벌크 텅스텐-함유 재료의 후속하는 증착을 용이하게 하는 통상적으로 얇은 컨포멀 층이다. 다양한 구현예들에서, 핵생성 층은 임의의 피처 충진 피처 이전에 및/또는 피처 충진 동안의 후속하는 시점들에서 증착될 수 있다. 예를 들어서, 일부 구현예들에서, 핵생성 층은 피처 내의 텅스텐 에칭 이후에 증착될 수 있다.
특정 구현예들에서, 핵생성 층이 펄싱된 핵생성 층 (PNL) 기법을 사용하여서 증착된다. PNL 기법에서, 환원제, 선택적 퍼지 가스들, 및 텅스텐-함유 프리커서의 펄스들이 반응 챔버 내로 순차적으로 주입되고 이로부터 퍼징된다. 이 프로세스는 목표된 두께가 달성되기 까지 사이클릭 방식으로 반복될 수 있다. PNL은 넓게는 원자적 층 증착 (ALD) 기법들을 포함하여서, 반도체 기판 상에의 반응을 위해서 반응물들을 순차적으로 첨가하는 임의의 사이클릭 (cyclical) 프로세스를 구현한다. 텅스텐 핵생성 층들을 증착하기 위한 PNL 기법들은 미국 특허 번호 6,635,965; 7,005,372; 7,141,494; 7,589,017, 7,772,114, 7,955,972 및 8,058,170, 및 미국 특허 공개 (U.S. Patent Publication) 번호 2010-0267235에 기술되며, 이 문헌들을 모두가 그 전체내용이 본 명세서에서 참조로서 인용된다. 핵생성 층 두께는 핵생성 층 증착 방법 및 목표된 벌크 증착 품질에 의존할 수 있다. 일반적으로, 핵생성 층 두께는 고품질 균일한 벌크 증착을 지원하기 위해서 충분하다. 실례들은 10 Å 내지 100 Å일 수 있다.
PNL 증착 실례들이 위에서 제공되었지만, 본 명세서에서 기술된 방법들은 텅스텐 핵생성 층 증착의 특정 방법으로 한정되지 않고, PNL, ALD, CVD, 및 물리적 기상 증착 (PVD) 을 포함하여 임의의 방법에 의해서 형성된 텅스텐 핵생성 층들 상에 벌크 텅스텐 막의 증착을 포함한다. 예를 들어서, 일부 구현예들에서, 피처 표면 및/또는 이미-증착된 언더-층이 벌크 텅스텐 증착을 지원한다. 일부 구현예들에서, 핵생성 층을 사용하지 않는 벌크 텅스텐 증착 프로세스 수행될 수 있다. July 27, 2012에 출원된 미국 특허 출원 번호 13/560,688은 핵생성 층을 사용하지 않고 텅스텐 벌크 층 증착을 기술하며, 이 문헌은 본 명세서에서 참조로서 인용된다.
다양한 구현예들에서, 텅스텐 핵생성 층 증착은 텅스텐-함유 프리커서, 예를 들어서 텅스텐 hexafluoride (WF6), 텅스텐 hexachloride (WCl6), 및 텅스텐 hexacarbonyl (W(CO)6) 으로의 노출을 수반할 수 있다. 특정 구현예들에서, 텅스텐-함유 프리커서는 할로겐-함유 화합물, 예를 들어서 WF6이다. 유기-금속성 프리커서들, 및 불소가 없는 프리커서들, 예를 들어서 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 이 또한 사용될 수도 있다.
환원제들의 실례는 붕소-함유 환원제들, 예를 들어서 디보란 (B2H6) 및 다른 보란들, 실리콘-함유 환원제들, 예를 들어서 실란 (SiH4) 및 다른 실란들, 히드라진들 (hydrazines), 및 게르만들 (germanes) 을 포함할 수 있다. 일부 구현예들에서, 텅스텐-함유 프리커서들의 펄스들이 하나 이상의 환원제들의 펄스들와 교번될 수 있는데, 예를 들어서, S/W/S/W/B/W, 등이 될 수 있으며, 여기서 W는 텅스텐-함유 프리커서, S는 실리콘-함유 프리커서, 및 B 는 붕소-함유 프리커서를 나탄내다. 일부 구현예들에서, 별도의 환원제가 사용되지 않을 수도 있는데, 예를 들어서, 텅스텐-함유 프리커서가 열적 또는 플라즈마-보조된 분해를 경험할 수 있다.
다양한 구현예들에 따라서, 수소가 바탕으로서 사용되거나 사용되지 않을 수도 있다. 또한, 일부 구현예들에서, 텅스텐 핵생성 층 층 이후에, 텅스텐 벌크 증착 이전에 하나 이상의 처리 동작들이 수행될 수 있다. 증착된 텅스텐 핵생성 층을 보다 낮은 저항도로 처리하는 것은 미국 특허 번호 7,772,114 및 8,058,170 및 미국 특허 공개 번호 2010-0267235에 기술되며, 이들은 본 명세서에서 참조로서 인용된다.
벌크 증착
수많은 구현예들에서, 텅스텐 벌크 증착이 CVD 프로세스에 의해서 발생할 수 있으며, 여기서 환원제 및 텅스텐-함유 프리커서가 증착 챔버로 유동하여서 피처 내에 벌크 충진 층을 증착한다. 비활성 캐리어 가스가 사용되어서 하나 이상의 반응물 스트림들을 전달할 수 있으며, 이 스트림들은 사전 혼합되거나 그렇지 않을 수도 있다. PNL 또는 ALD 프로세스들과는 달리, 이 동작은 일반적으로 목표된 양이 증착되기까지 반응물들을 계속하여서 유동하는 것을 수반한다. 특정 구현예들에서, CVD 동작은 다수의 스테이지들에서 발생할 수 있으며, 반응물들의 연속적 및 동시적 플로우의 기간들은 전환된 (diverted) 하나 이상의 반응물 플로우들의 기간과 분리된다.
다음으로 한정되지 않지만 WF6, WCl6, 및 W(CO)6 를 포함하는 다양한 텅스텐-함유 가스들이 텅스텐-함유 프리커서로서 사용될 수 있다. 특정 구현예들에서, 텅스텐-함유 프리커서는 할로겐-함유 화합물, 예를 들어서 WF6이다. 특정 구현예들에서, 환원제는 수소 가스이지만, 다른 환원제들, 예를 들어서 실란 (SiH4), 디실란 (Si2H6), 히드라진 (hydrazine) (N2H4), 디보란 (B2H6) 및 게르만 (germane) (GeH4) 이 사용될 수도 있다. 임의의 구현예들에서, 수소 가스가 환원제로서 CVD 프로세스에서 사용된다. 일부 다른 구현예들에서, 벌크 텅스텐 층을 형성하도록 분해될 수 있는 텅스텐 프리커서가 사용될 수 있다. 벌크 증착은 또한 다른 타입들의 프로세스들, 예를 들어서 ALD 프로세스들을 사용하여서 발생할 수 있다.
온도들의 실례는 200oC 내 500oC에 이를 수 있다. 다양한 구현예들에서, 본 명세서에서 기술된 CVD W 동작들 중 임의의 것이 낮은 온도 CVD W 충진을, 예를 들어서 약 250oC 내지 350oC 또는 약 300oC에서 채용할 수 있다.
특정 피처 프로파일이 달성되고 및/또는 소정의 양의 텅스텐이 증착되기까지 다양한 구현예들에 따라서 증착이 진행될 수 있다. 일부 구현예들에서, 증착 시간 및 다른 관련 파라미터들은 모델링 및/또는 시행 착오를 통해서 결정될 수 있다. 예를 들어서, 텅스텐이 핀치 오프까지 피처 내에서 컨포멀하게 증착되는 인사이드 아웃 (inside out) 충진 프로세스의 초기 증착에 있어서, 피처 치수들에 기초하여, 핀치 오프를 달성할 텅스텐 두께 및 대응하는 증착 시간을 결정하는 것은 간단할 수 있다. 일부 구현예들에서, 프로세스 챔버에 다양한 센서들이 구비되어서 증착 동작은 엔드포인트 검출을 위한 인시츄 계측 측정 (in-situ metrology measurement) 을 수행할 수 있다. 인시츄 계측의 실례들은 증착된 막들의 두께를 결정하기 위한 광학적 마이크로스코피 (optical microscopy) 및 X-Ray Fluorescence (XRF) 을 포함한다.
본 명세서에서 기술된 텅스텐 막들은 다른 화합물들, 도펀트들 및/또는 불순물들, 예를 들어서 질소, 탄소, 산소, 붕소, 인, 황, 실리콘, 게르마늄 및 등의 어느 정도의 양을, 사용된 특정 프리커서들 및 프로세스들에 따라서 포함할 수도 있음이 이해되어야 한다. 막 내의 텅스텐 함량은 20% 내지 100% (원자적) 텅스텐일 수 있다. 수많은 구현예들에서, 막들들은 텅스텐-풍부 (rich) 할 수 있는데, 적어도 50% (원자적) 텅스텐, 또는 심지어 적어도 약 60%, 75%, 90%, 또는 99% (원자적) 텅스텐을 가질 수 있다. 일부 구현예들에서, 막들은 금속성 (metallic) 또는 원소적 텅스텐 (W) 과 다른 텅스텐-함유 화합물들의 혼합물, 예를 들어서 텅스텐 카바이드 (WC), 텅스텐 질화물 (WN), 등일 수 있다.
이러한 재료들의 CVD 및 ALD 증착은 임의의 적합한 프리커서들을 사용하는 것을 포함할 수 있다. 예를 들어서, 텅스텐 질화물 CVD 및 ALD 증착은 이하에서 더 기술되는 바와 같이 할로겐-함유 및 무할로겐 텅스텐-함유 및 질소-함유 화합물들을 사용하는 것을 포함할 수 있다. 티타늄-함유 층들의 CVD 및 ALD 증착은 티타늄 함유 프리커서들을 사용하는 것을 포함할 수 있으며, 그 실례는 TDMAT (tetrakis(dimethylamino)titanium) 및 티타늄 클로라이드 (titanium chloride) (TiCl4), 및 적합하다면, 하나 이상의 공반응물들 (one or more co-reactants) 을 포함한다. 탄탈륨-함유 층들의 CVD 및 ALD 증착은 PDMAT (pentakis-dimethylamino tantalum) 및 TaF 5 및, 적합하다면, 하나 이상의 공반응물들과 같은 프리커서들을 사용하는 것을 포함할 수 있다. 코발트-함유 층들의 CVD 및 ALD 증착은 Tris(2,2,6,6-tetramethyl-3,5-heptanedionato)cobalt, bis(cyclopentadienyl)cobalt, and dicobalt hexacarbonyl butylacetylene, 및 하나 이상의 공반응물들과 같은 프리커서들을 사용하는 것을 포함할 수 있다. 니켈-함유 층들의 CVD 및 ALD 증착은 cyclopentadienylallylnickel (CpAllylNi) 및 MeCp2Ni과 같은 프리커서들을 사용하는 것을 포함할 수 있다. 공반응물들의 실례는 N2, NH3, N2H4, N2H6, SiH4, Si3H6, B2H6, H2, 및 AlCl3를 포함한다.
텅스텐 에칭
텅스텐을 에칭하는 것은 텅스텐을 텅스텐과 반응할 수 있는 하나 이상의 에칭제 종들들에 노출시킴으로써 수행된다. 에칭제 종들들의 실례들은 할로겐 종들 및 할로겐-함유 종들을 포함한다. 텅스텐-함유 재료들의 제거를 위해서 사용될 수 있는 초기 에칭제 재료들의 실례는 질소 트리-플루오라이드 (nitrogen tri-fluoride) (NF3), 테트라-플우로로-메탄 (tetra-fluoro-methane) (CF4), 테트라플루오로에틸렌 (tetrafluoroethylene) (C2F4), 헥사플루오로에탄 (hexafluoroethane) (C2F6), 및 옥타플루오로프로판 (octafluoropropane) (C3F8), 트리-플루오로-메탄 (tri-fluoro-methane) (CHF3), 클로로트리플루오로메탄 (chlorotrifluoromethane) (CF3Cl), 설퍼 헥사플우로라이드 (sulfur hexafluoride) (SF6), 및 분자적 불소 (F2) 를 포함한다. 일부 구현예들에서, 이 종들은 활성화될 수 있으며 라디칼들 및/또는 이온들을 포함할 수 있다. 예를 들어서, 초기 에칭제 재료는 원격 플라즈마 생성기를 통해서 유동되거나 및/또는 인 시츄 (in-situ) 플라즈마를 받을 수 있다. 일부 구현예들에서, 텅스텐은 비-플라즈마 에칭제 증기에 노출될 수 있다.
위에서 주어진 실례에 추가하여서, 임의의 알려진 에칭제 화학물들이 사용되어서 비-텅스텐-함유 막들 및 텅스텐-함유 막들을 에칭할 수 있다. 예를 들어서, 불소-함유 화합물들, 예를 들어서 NF3가 티타늄-함유 화합물들, 예를 들어서 TiN 및 TiC에 대해서 사용될 수 있다. 염소(chlorine)-함유 화합물들, 예를 들어서 Cl2 및 BCl3 이일부 구현예들에서, 예를 들어서, TiAl, TiAlN, 니켈-함유 화합물들 및 코발트-함유 화합물들을 에칭하는데 사용될 수 있다. 또한, 이하에서 에칭은 주로 플라즈마 및/또는 비-플라즈마 기상 에칭에 대한 것이지만, 일부 구현예들에서, 방법들은 또한 습식 에칭 기법들으로도 구현될 수도 있다.
일부 구현예들에서, 원격에서 생성된 플라즈마가 사용될 수도 있다. 초기 에칭제 재료 및, 특정 구현예들에서, 비활성 가스들, 예를 들어서 아르곤 (argon), 헬륨 (helium) 및 기타가 임의의 적합한 원격 플라즈마 생성기에 제공될 수 있다. 예를 들어서, 원격 플라즈마 유닛들, 예를 들어서 모두가 Massachusetts Andover 소재의 MKS Instruments로부터 입수가능한, ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645이 사용될 수도 있다. 원격 플라즈마 유닛은 통상적으로 공급된 에천트를 사용하여서 약하게 이온화된 플라즈마를 생성하는 자립적인 디바이스이다. 일부 구현예들에서, 고 전력 무선 주파수 생성기가 플라즈마 내의 전자들에 에너지를 제공한다. 이어서, 이 에너지는 중성의 에천트 분자들에 전달되어서 대략 2000 K 차수의 온도에 이르며 이로써 이러한 에천트 분자들의 열적 해리를 유발한다. 원격 플라즈마 유닛은 그의 높은 RF 에너지 및 에천트가 이 에너지의 대부분을 흡수하게 하는 특별한 채널 기하구조로 인해서 유입되는 에천트 분자들의 60 퍼센트보다 많은 분자를 해리할 수도 있다.
일부 구현예들에서, 원격 플라즈마 유닛으로부터 에칭이 수행되는 챔버로 전달된 활성화된 종들은 라디칼이며 실질적으로 어떠한 이온성 종들도 포함하지 않는다. 에칭에 기여하지 않는 어느 정도의 소량의 이온성 종들이 존재할 수도 있음을 본 기술 분야의 당업자는 이해할 것이다. 이 양은 검출될 수 없을 정도로 충분하게 작을 수 있다. 일부 구현예들에서, 원격 플라즈마 유닛으로부터 챔버로 전달된 활성화된 종들은 라디칼 종들 이외에 실질적인 개수의 이온성 종들을 포함할 수 있다.
일부 구현예들에서, 에칭 동작은 원격에서 생성된 플라즈마에 추가하여서 또는 대신에 텅스텐이 다이렉트 플라즈마로 노출되도록 기판을 하우징하는 챔버 내에서 인 시츄 생성된 플라즈마를 사용할 수 있다. 일부 구현예들에서, 무선 주파수 플라즈마 생성기는 챔버 내의 2 개의 전극들 간에서 플라즈마를 생성하게 사용될 수 있다. 전극들의 실례는 예를 들어서 샤워헤드 및 페데스탈을 포함한다. 일 실례에서, 고 주파수 생성기는 약 1 MHz 내지 약 100 MHz 주파수들에서 약 0 W 내지 10,000 W을 제공할 수 있다. 보다 특정의 구현예에서, HF 생성기는 약 13.56 MHz에서 약 0 내지 5,000 W를 전달할 수 있다. 다른 구현예들에서, 저 주파수 생성기는 약 100 KHz 내지 2 MHz 또는 약 100 kHz 내지 1 MHz의 주파수들에서 약 0 W 내지 10,000 W을 제공할 수 있다.
플라즈마 생성기는 CCP (capacitively coupled plasma) 생성기, ICP (inductively coupled plasma) 생성기, TCP (transformer coupled plasma) 생성기, ECR (electron cyclotron resonance) 생성기, 또는 헬리콘 플라즈마 생성기 (helicon plasma generator) 일 수 있다. RF 소스들에 추가하여서, 마이크로웨이브 소스가 사용될 수 있다.
다양한 구현예들에 따라서, 일부 또는 모든 에칭 동작들은 증착 및/또는 처리 동작들을 포함하는 다른 동작들이 수행되는 챔버와 동일한 챔버에서 또는 전용 에칭 챔버에서 수행될 수 있다. 전용 에칭 챔버가 사용되면, 이 챔버는 하나 이상의 다른 프로세싱 챔버의 동일한 진공 분위기에 연결되거나 별도의 진공 분위기의 일부가 될 수 있다. 예를 들어서, 미국 캘리포니아 프레몬트 소재의 Lam Research 사로부터 입수가능한, Kiyo® 도전체 에칭 모듈과 같은 TCP 에칭 모듈이 몇몇 구현예들에서 사용될 수 있다. 이러한 모듈에서 사용될 수 있는 예시적인 에천트는 NF3, CF4, SF6, CH3F, CH2F2, 및 CF4을 포함한다. 예시적인 동작 압력들은 약 30 m Torr에서 약 100 m Torr까지 이를 수 있다. 예시적인 온도들은 약 30 내지 약 120 ℃에 이를 수 있다.
다양한 구현예들에서, 증착된 텅스텐의 특정 특성이 제거되거나 특정 프로파일이 달성될때까지 에칭이 수행된다. 예를 들어서, 핀치-오프된 텅스텐이 제거되거나 심이 제거될 때까지 에칭이 진행될 수 있다. 몇몇 구현예들에서, 특정 에칭 프로세스에 대한 에칭 엔드포인트 파라미터들이 에칭되는 증착된 텅스텐의 양 및 프로파일 및 특정 피처 기하구조에 대하여서 모델링 및/또는 시행착오를 함으로써 결정될 수 있다. 몇몇 구현예들에서, 프로세서 챔버는 제거 정도를 식별하기 위해서 인-시츄 계측 측정을 수행할 수 있는 다양한 센서들을 구비할 수 있다. 인-시츄 계측의 실례는 막 두께를 결정하기 위한 광학적 마이크로스코피 및 XRF를 포함한다. 또한, 적외선 스펙트로스코피가 텅스텐 플루오라이드 (WFx) 또는 에칭 동안에 생성된 다른 부산물들의 양을 검출하는데 사용될 수 있다. 몇몇 구현예들에서, 하지층이 에칭 정지 층으로서 사용될 수 있다. OES (optical emission spectroscopy) 가 또한 에칭을 모니터링하는데 사용될 수 있다. 다양한 구현예들에 따라서, 텅스텐의 에칭은 언더-층에 대해 보다 또는 덜 선호적 (또는 비선호적) 일 수 있다. 예를 들어서, 에칭은 Ti 또는 TiN 언더-층이 에칭 정지제로서 역할을 하는 경우에 W에 선호적일 수 있다. 일부 구현예들에서, 에칭은 그 아래에 높인 유전체가 에칭 정지제 역할을 하면 W 및 Ti 또는 TiN을 에칭할 수 있다. 언더-층에 대한 에칭 선호도를 튜닝하는 방법들은 상술되었다.
또한, 다양한 구현예들에 따라서, 에칭 동작의 컨포멀성이 조절될 수 있다. 컨포멀 에칭은 재료가 피처 전체에 걸쳐서 균일하게 제거되는 에칭이다. 에칭 컨포멀성을 조절하는 방법들은 상술되었다. 몇몇 구현예들에서, 에칭 컨포멀성을 조절하는 것은 매스 이송 한정되는 방식으로 동작하거나 동작하지 않는 것을 포함할 수 있다. 이러한 방식에서, 피처 내측에서의 제거 레이트는 피처 내로 확산되는 상이한 에칭 재료 성분들 (예를 들어서, 초기 에천트 재료, 활성화된 에천트 종들, 및 재결합된 에천트 종들) 의 양들 및/또는 상대적 조성에 의해서 한정된다. 특정 실례들에서, 에칭 레이트는 피처 내측의 상이한 위치들에서의 다양한 에천트 성분들의 농도들에 의존한다. 용어 "에칭" 및 "제거"는 본 문헌에서 상호교환가능하게 사용된다.
본 명세서에서 참조로서 인용된 미국 특허 출원 번호 13/016,656에 기술된 바와 같이, 매스 이송 한정 조건들은 전체적인 에천트 농도 변화들에 의해서 부분적으로 특성화될 수 있다. 특정 실시예들에서, 농도는 피처의 개구 근처보다 피처의 내측에서 더 낮으며, 이로써 보다 높은 에칭 레이트가 내측보다 개구 근처에서 달성된다. 이로써, 이는 선태적 제거로 이어진다. 매스 이송 한정 프로세스 조건들은, 일부 활성화된 종들이 피처 내로 확산할 때에 이 종들을 소비하도록 피처 개구 근처에서 상대적으로 높은 에칭 레이트들을 유지하면서 프로세싱 챔버 내로 에천트의 한정된 양을 공급 (예를 들어서, 캐비티 프로파일 및 치수들에 비해서 낮은 에천트 플로우 레이트들을 사용) 함으로써 달성될 수 있다. 특정 실시예들에서, 농도 구배는 실질적이며, 이는 상대적으로 높은 에칭 키네틱들 및 상대적으로 낮은 에천트 공급으로부터 유발될 수 있다. 특정 실시예들에서, 개구 근처에서의 에칭 레이트는 또한 매스 이송 제한적일 수 있지만, 이 조건은 선택적 제거를 달성하는데 요구되지 않는다.
피처 내측에서의 전체적인 에천트 농도 변화들 이외에, 에칭 컨포멀도는 피처에 걸친 상이한 에칭 종들의 상대적 농도들에 의해서 영향을 받을 수도 있다. 이로써, 이러한 상대적 농도들은 에칭 종들의 재결합 프로세스들 및 해리의 상대적 역학사항들에 의존한다. 초기 에천트 재료는 원격 플라즈마 생성기를 통과하고 및/또는 인 시츄 플라즈마를 경험하여서 활성화된 종들 (예를 들어서, 불소 원자, 라디칼들) 을 생성한다. 그러나, 활성화된 종들은 덜 활성적인 재결합된 종들 (예를 들어서, 불소 분자들) 로 재결합하고 및/또는 그들의 확산 경로들을 따라서 텅스텐 함유 재료들과 반응할 수도 있다. 이로써, 피처의 상이한 부분들이 상이한 에천트 재료들, 예를 들어서, 초기 에천트, 활성화된 에천트 종들, 및 재결합된 에천트 종들의 상이한 농도들에 노출될 수 있다. 이는 에칭 컨포멀성을 제어하기 위한 추가적 기회를 제공한다.
예를 들어서, 활성화된 종들은 대체적으로 초기 에칭 재료들 및 재결합된 에칭 재료들보다 더 반응성을 갖는다. 또한, 몇몇 경우들에서, 활성화된 종들은 재결합된 종들보다 온도 변화에 대해서 덜 민감할 수도 있다. 따라서, 몇몇 구현예들에서, 프로세스 조건들이 제거가 주로 활성화된 불소 종들로부터 기인되는 방식으로, 주로 재결합된 종들로부터 기인되는 방식으로 또는 불소 종들 및 재결합된 종들을 모두 포함하는 방식으로 제어될 수 있다. 또한, 특정 프로세스 조건들은 활성화된 종들이 피처 내측에서보다 피처의 개구 근처에서 보다 높은 농도로 존재하게 할 수 있다. 예를 들어서, 일부 활성화된 종들은 피처들 내로, 특히 소형의 고 종횡비 피처들 내로 더 깊이 확산하면서 소비 (예를 들어서, 증착된 재료들과 반응하고/하거나 그 표면 상으로 흡착됨) 및/또는 재결합될 수 있다. 활성화된 종들의 재결합은 또한 피처의 외측에서, 예를 들어서, 프로세싱 챔버의 샤워헤드 내에서 발생하며 프로세스 압력에 의존할 수 있다. 따라서, 챔버 압력은 챔버 및 피처들의 다양한 지점들에서 활성화된 에칭 종들의 농도를 조절하도록 특정하게 제어될 수도 있다.
에천트의 플로우 레이트들은 챔버의 크기, 에칭 레이트, 에칭 컨포멀도 및 다른 파라미터들에 의존할 수 있다. 예를 들어서, 보다 많은 텅스텐 함유 재료가 피처 내측에서보다 개구 근처에서 제거되거나 텅스텐 함유 재료가 피처 전체에 걸쳐서 또는 피처의 일부에서 균일하게 제거되도록 플로우 레이트가 선택될 수 있다. 예를 들어서, 스테이션당 195 리터 챔버에 대한 플로우 레이트는 약 25 sccm 내지 10,000 sccm 이거나, 보다 구체적인 실시예들에서, 약 50 sccm 내지 1000 sccm일 수 있다. 특정 실시예들에서, 플로우 레이트는 약 2,000 sccm 보다 작거나, 약 1,000 sccm 보다 작거나, 또는 보다 구체적으로 약 500 sccm 보다 작을 수 있다. 이러한 값들은 300 mm 기판을 프로세싱할 시에 구성되는 단일 개별 스테이션을 위해서 제공되는 것임이 주목되어야 한다. 이러한 플로우 레이트들은 기판 크기, 장치 내에서의 스테이션들의 개수 (예를 들어서, 4 스테이션 장치에서는 4 개), 프로세싱 챔버 볼륨 및 다른 요인들에 따라서 커지거나 줄어들 수 있다.
기판에 대한 온도는 증착된 층과 다양한 에천트 종들 간의 화학적 반응을 유도하고 이들 간의 반응의 레이트를 제어하도록 선택될 수 있다. 예를 들어서, 보다 많은 재료가 피처 내측에서보다 개구 근처에서 제거되도록 높은 제거 레이트를 갖게 온도가 선택되거나, 재료가 피처 내로부터 제거되도록 낮은 제거 레이트를 갖게 선택될 수 있다. 또한, 활성화된 종들의 재결합 (예를 들어서, 원자적 불소의 분자적 불소로의 재결합) 을 제어하고 및/또는 어느 종들 (예를 들어서, 활성화된 종들 또는 재결합된 종들) 이 주로 에칭에 기여하는지를 제어하도록 선택될 수도 있다. 기판 온도는 에천트 화학적 조성들, 목표된 에칭 레이트, 활성화된 종들의 농도 분포들, 상이한 종들에 의한 선택적 제거에 대한 목표된 기여도 및 다른 재료 및 프로세스 파라미터에 기초하여서 선택될 수 있다. 특정 실시예들에서, 기판이 약 300 ℃ 보다 낮게 유지되거나, 보다 구체적으로 약 250 ℃ 보다 낮게 유지되거나, 또는 약 150 ℃ 보다 낮게 유지되거나 심지어 약 100 ℃ 보다 낮게 유지된다. 다른 실시예들에서, 기판은 약 300 내지 450 ℃ 또는 보다 구체적인 실시예에서, 약 350 내지 400 ℃ 로 가열될 수 있다. 이러한 온도 범위들은 불소계 에칭에 대해서 제공된 것이지만, 다른 온도 범위들이 상이한 타입의 에천트들에 대해서 사용될 수 있다.
활성화된 불소 종들의 활성화 에너지는 재결합된 불소의 그것보다 매우 작다. 따라서, 기판 온도들을 낮추는 것은 활성화된 종들로부터의 보다 많은 제거 기여도를 낳을 수 있다. 특정 온도 (및 다른 프로세스 조건들, 예를 들어서 플로우 레이트 및 챔버 압력) 에서, 활성화된 종들의 상대적 제거 기여도는 재결합된 종들의 그것를 초과할 수 있다.
피처 내에서 재료의 분포는 그의 스텝 커버리지에 의해서 특성화될 수 있다. 이러한 기술을 위해서, "스텝 커버리지"는 2 개의 두께의 비로서 정의되며, 즉 개구 근처에서의 재료의 두께에 의해서 나누어진, 피처의 내측의 재료의 두께의 비로서 정의된다. 본 문헌의 목적을 위해서, 용어 "피처 내측"은 피처 축을 따라서 대략 피처의 중간 지점에 위치한 피처의 중간 부분, 예를 들어서 개구로부터 측정된 피처 축을 따르는 거리의 약 75 내지 95 % 에 위치한 피처의 단부 부분 또는 피처의 개구로부터 측정된 피처의 깊이를 따르는 거리의 약 25 % 내지 75 %의 구역, 또는 특정 실시예에서, 이 거리의 약 40 % 내지 60 %의 구역을 나타낸다. 용어 "피처의 개구 근처" 또는 "피처의 개구 근처"는 개구의 에지 또는 개구의 에지를 나타내는 다른 요소의 25 % 내에, 보다 구체적으로 10 % 내에 위치한 피처의 상단 부분을 나타낸다. 100 % 이상의 스텝 커버리지는 예를 들어서 피처 개구에서보다 피처의 중간에서 또는 하단 근처에서 보다 폭이 큰 피처를 충진함으로써 달성될 수 있다.
상술한 바와 같이, 에칭 컨포멀도는 피처의 특정 아키텍처에 따라서 에칭된 층이 목표된 스텝 커버리지를 갖도록 조절될 수 있다. 특정 실시예들에서, 에칭된 층의 목표 스텝 커버리지는 적어도 약 60 %, 75 %, 100 % 또는 초-컨포멀 (super-conformal) (100 % 보다 큼), 예를 들어서 125 %이다. 특정 실시예에서, 약 50 %, 25 % 보다 작은 스텝 커버리지가 목표될 수 있다.
텅스텐 핵생성의 선택적 억제
본 명세서에서 참조로서 인용되는 미국 특허 출원 번호 13/744,350에 기술된 바와 같이, 선태적 억제는 피처 표면들을 패시베이션하는 활성화된 종들로의 노출을 수반할 수 있다. 예를 들어서, 특정 구현예들에서, 텅스텐 (W) 표면은 질소 기반 또는 수소 기반 플라즈마에 노출됨으로써 패시베이션될 수 있다. 일부 실시예들에서, 억제는 텅스텐 질화물 (WN) 또는 텅스텐 카바이드 (WC) 와 같은 화합물 재료의 박층을 형성하게 활성화된 종들과 피처 표면 간의 화학적 반응을 수반할 수 있다. 일부 구현예들에서, 억제는 화화물 재료 층을 형성하지 않고서 표면을 패시베이션하는 흡착과 같은 표면 효과를 수반할 수도 있다. 활성화된 종들은 플라즈마 생성에 의한 방법 및/또는 자외선 방사선에 노출되는 방법을 포함하는 임의의 적합한 방법에 의해서 형성될 수 있다. 일부 구현예들에서, 피처를 포함하는 기판이 기판이 안착된 챔버 내로 공급된 하나 이상의 가스들로부터 생성된 플라즈마에 노출된다. 일부 구현예들에서, 하나 이상의 가스들이 원격 플라즈마 생성기 내로 공급되고, 활성화된 종들이 기판이 안착된 챔버 내에 제공된 원격 플라즈마 생성기 내에 형성될 수도 있다. 플라즈마 소스는 무선 주파수 (RF) 플라즈마 소스 또는 마이크로웨이브 소스를 포함하는 임의의 타입의 소스일 수 있다. 플라즈마는 유도적으로 및/또는 용량적으로 결합될 수 있다. 활성화된 종들은 원자적 종들, 라디칼 종들, 및 이온성 종들을 포함할 수 있다. 특정 구현예들에서, 원격에서 생성된 플라즈마에 노출하는 것은 라디칼 및 원자화된 종들에 노출하는 것을 포함하며, 실질적으로 어떠한 이온성 종들로 플라즈마 내에 존재하지 않으며 따라서 억제 프로세스는 이온에 의해서 매개되지 않는다. 다른 구현예들에서, 이온 종들이 원격에서 생성된 플라즈마에 존재할 수 있다. 특정 구현예들에서, 인-시츄 플라즈마에 노출하는 것은 이온-매개된 억제를 수반할 수 있다.
텅스텐 (W) 표면들에 있어서, 질소계 및/또는 수소계 플라즈마에 노출하는 것은 W 표면들 상에서의 후속하는 텅스텐 증착을 억제한다. 텅스텐 표면들의 억제를 위해서 사용될 수 있는 다른 화학물들은 산소 기반 플라즈마 및 하이드로카본-기반 플라즈마를 포함한다. 예를 들어서, 분자적 산소 또는 메탄이 플라즈마 반응기에 도입될 수도 있다. 본 명세서에서 사용되는 바와 같이, 질소 기반 플라즈마는 주 비-불활성 (non-inert) 성분이 질소인 플라즈마이다. 아르곤, 크세논, 또는 크립톤과 같은 불활성 성분은 캐리어 가스로서 사용될 수도 있다. 일부 실시예들에서, 어떠한 다른 비-불활성 성분들이, 미량으로 제외하고 플라즈마가 생성되는 가스 내에는 존재하지 않는다. 일부 실시예들에서, 억제 화학물들은 질소 함유 화학물, 수소 함유 화학물, 산소 함유 화학물 및/또는 탄소 함유 화학물일 수도 있으며, 하나 이상의 추가 반응성 종들이 플라즈마 내에 존재할 수 있다.
예를 들어서, 미국 특허 출원 번호 13/351,970에서, 표면을 선택적으로 패시베이션하기 위해서 피처 표면의 질화가 기술된다. 예를 들어서, 질소 트리플루오라이드 (NF3) 를 사용하여서, 활성화된 불소 라디칼들이 피처 개구에서 텅스텐과 반응하여서 텅스텐을 제거하고, NF3 플라즈마로부터 생성된 질소가 텅스텐 표면의 질화를 유발하여서 텅스텐 질화물을 형성한다. 정상적인 벌크 텅스텐 막 상으로비해서, 질화된 표면 상으로의 텅스텐의 후속 증착은 크게 지연된다. 보다 긴 지연은 핀치 오프 이전에 피처가 긴 기간 동안에 개방 상태에 있게 하며, 충진 성능을 촉진하는데, 그 이유는 보다 많은 WF6 분자들이 피처의 내측에 도달하고 텅스텐을 증착할 수 있기 때문이다. 이는 도 11에서 예시되며, 도 11은 오버행들 (1115) 을 포함하는 부분적으로 충진된 피처 (1101) 를 도시한다. NF3 플라즈마 에칭 동안에, 보다 많은 질소 종들 (예를 들어서, 질소 라디칼들)이 피처 내측에 깊이 있는 지점 (1105) 에서보다 피처의 상단 근처에 존재한다. 이로써, W-N은 피처의 내부에서가 아니라 피처의 상단에서 형성된다. 충진 완료 동안에, 텅스텐이 피처의 상단에서의 W-N 표면 상에서보다 피처 내측의 텅스텐 (W) 표면 상에 보다 용이하게 증착된다. 이는 피처 (1101) 가 1107에서 보다 긴 기간 동안에 개방 상태로 유지되게 하여서 충진 개선을 촉진한다.
NF3 이외에, CF4 또는 C2F8와 같은 플루오로카본들이 사용될 수도 있다. 그러나, 특정 실시예들에서, 억제 종들은 선택적 억제 동안에 에칭을 방지하도록 불소를 함유하지 않는다.
특정 실시예들에서, 자외선 방사선 및/또는 열적 에너지가 활성화된 종들을 제공하게 플라즈마 생성기들 대신에 또는 이와 더불어서 사용될 수도 있다. 텅스텐 표면들에 추가하여서, 핵생성은 TiN 및/또는 WN 표면들과 같은 라이너/장벽 층들 상에서 억제될 수도 있다. 이러한 표면들을 패시베이션하는 임의의 화학물이 사용될 수도 있다. TiN 및 WN의 경우에, 이는 질소계 화학물 또는 질소 함유 화학물에 노출하는 것을 포함할 수 있다. 특정 실시예들에서, W에 대해서 상술된 화학물들이 TiN, WN, 또는 다른 라이너 층 표면들에 대해서 채용될 수도 있다.
억제 프로파일을 튜닝하는 것은 억제 화학물, 기판 바이어스 전력, 플라즈마 전력, 프로세스 압력, 노출 시간 및 다른 프로세스 파라미터들을 적합하게 제어하는 것을 수반할 수 있다. 인 시츄 플라즈마 프로세스에서 (또는 이온성 종들이 존재하는 다른 프로세스들에서), 바이어스는 기판에 인가될 수 있다. 기판 바이어스는 일부 실시예들에서, 억제 프로파일에 크게 영향을 줄 수 있으며, 바이어스 전력이 증가하면 피처 내로 더 깊이 활성 종들이 진입한다. 선택도가 측방향으로 요구되지만 수직 방향으로는 요구되지 않은 3 차원 구조물들에 대해서 (텅스텐 증착은 이 구조물의 내부에서 바람직함), 증가하는 바이어스 전력은 상단 대 하단 증착 균일성 (top-to-bottom deposition uniformity) 을 촉진하는데 사용될 수 있다.
바이어스 전력이 특정 실시예들에서 이온성 종들에 대한 억제 프로파일을 튜닝하기 위한 주 또는 유일한 수단으로서 사용될 수 있지만, 특정 실시예들에서, 선택적 억제를 수행하는 다른 것들이 바이어스 전력 대신에 또는 이와 더불어서 다른 파라미터들을 사용한다. 이러한 것은 원격에서 생성된 비이온성 플라즈마 프로세스들 및 비-플라즈마 프로세스들을 포함한다. 또한, 다수의 시스템들에서, 기판 바이어스는 측방향이 아닌 수직 방향으로의 선택도를 튜닝하는데 용이하게 인가될 수 있다. 따라서, 측방향 선택도가 요구되는 3 차원 구조물에 있어서, 바이어스 이외의 파라미터들이 상술한 바와 같이 제어될 수 있다.
억제 화학물이 또한 사용되어서 억제 프로파일을 튜닝할 수 있는데, 상이한 비들의 활성 억제 종들이 사용된다. 예를 들어서, 텅스텐 표면들의 억제를 위해서, 질소가 수소에 비해서 강한 억제 효과를 가질 수 있으며; 형성 가스 기반 플라즈마 내에서 N2 및 H2의 비를 조절하는 것은 프로파일을 튜닝하는데 사용될 수 있다. 또한, 플라즈마 전력도 사용되어서 억제 프로파일을 튜닝할 수 있는데, 상이한 비들의 활성 종들이 플라즈마 전력에 의해서 튜닝된다. 또한, 플라즈마 전력을 가변하는 것은 최종 W 막의 저항 제어를 가능하게 할 수도 있다. 도 12는 에칭 전력을 가변함으로서 후속 증착 지연 시간을 제어하는 능력을 입증하는 그래프이다. "하이" 및 "로우" 간의 임의의 전력이 목표된데로 지연을 제어하도록 사용될 수 있다는 것이 이해되어야 한다. 도 12에서, 낮은 전력에서 NF3를 사용하는 원격에서 생성된 플라즈마 에칭은, 보다 높은 전력의 원격에서 생성된 플라즈마 에칭보다, 후속 증착 시에 감소된 핵생성 지연 (보다 신속한 핵생성) 을 낳는다. 이는 고 플라즈마 전력 동안에 보다 많은 질소 종들이 존재함으로 인해서 WN 형성이 증가하고 후속 지연이 증가하기 때문이다.
프로세스 압력이 또한 사용되어서 억제 프로파일을 튜닝할 수 있는데, 압력은 보다 많은 재결합을 유발할 수 있으며 (활성 종들을 탈활성화시키며) 활성 종들을 피처 내로 더 집어넣을 수 있다. 또한, 프로세스 시간이 사용되어서 억제 프로파일을 튜닝할 수 있는데, 처리 시간이 증가하며 피처 내로 더 깊이 억제가 발생한다.
일부 실시예들에서, 선택적 억제는 매스 (mass) 이송 제한되는 방식으로 동작 (203) 을 수행함으로써 달성될 수 있다. 이 방식에서, 피처 내의 억제 레이트는 피처 내로 확산하는 상이한 억제 재료 성분들 (예를 들어서, 초기 억제 종들, 활성화된 억제 종들 및 재결합된 억제 종들) 의 양들 및/또는 상대적 조성들에 의해서 제한된다. 특정 실례들에서, 억제 레이트들은 피처 내측의 상이한 위치들에서의 다양한 성분들의 농도들에 따른다.
매스 이송 한정 조건들은 전체적인 억제 농도 변화들에 의해서 부분적으로 특성화될 수 있다. 특정 실시예들에서, 농도는 피처의 개구 근처보다 피처의 내측에서 더 낮으며, 이로써 보다 높은 억제 레이트가 내측보다 개구 근처에서 달성된다. 이로서, 이는 피처 개구 근처에서의 선태적 억제로 이어진다. 매스 이송 한정 프로세스 조건들은, 일부 활성화된 종들이 피처 내로 확산할 때에 이 종들을 소비하도록 피처 개구 근처에서 상대적으로 높은 억제 레이트들을 유지하면서 프로세싱 챔버 내로 억제 종들의 한정된 양을 공급 (예를 들어서, 캐비티 프로파일 및 치수들에 비해서 낮은 억제 가스 플로우 레이트들을 사용) 함으로써 달성될 수 있다. 특정 실시예들에서, 농도 구배는 실질적이며, 이는 상대적으로 높은 억제 키네틱들 및 상대적으로 낮은 억제 공급으로부터 유발될 수 있다. 특정 실시예들에서, 개구 근처에서의 억제 레이트는 또한 매스 이송 제한적일 수 있지만, 이 조건은 선택적 억제를 달성하는데 요구되지 않는다.
피처 내측에서의 전체적인 억제 농도 변화들 이외에, 선택적 억제는 피처에 걸친 상이한 억제 종들의 상대적 농도들에 의해서 영향을 받을 수도 있다. 이로써, 이러한 상대적 농도들은 억제 종들의 재결합 프로세스들 및 해리의 상대적 역학사항들에 의존한다. 상술한 바와 같이, 분자적 질소와 같은 초기 억제 재료는 원격 플라즈마 생성기를 통과하고 및/또는 인 시츄 플라즈마를 경험하여서 활성화된 종들 (예를 들어서, 원자적 질소, 질소 이온들) 을 생성한다. 그러나, 활성화된 종들은 덜 활성적인 재결합된 종들 (예를 들어서, 질소 분자들) 로 재결합하고 및/또는 그들의 확산 경로들을 따라서 W, WN, TiN 또는 다른 피처 표면들과 반응할 수도 있다. 이로써, 피처의 상이한 부분들이 상이한 억제 재료들, 예를 들어서, 초기 억제 가스, 활성화된 억제 종들, 및 재결합된 억제 종들의 상이한 농도들에 노출될 수 있다. 이는 선택적 억제를 제어하기 위한 추가적 기회를 제공한다. 예를 들어서, 활성화된 종들은 대체적으로 초기 억제 가스 및 재결합된 억제 종들보다 더 반응성을 갖는다. 또한, 몇몇 경우들에서, 활성화된 종들은 재결합된 종들보다 온도 변화에 대해서 덜 민감할 수도 있다. 따라서, 프로세스 조건들이 제거가 주로 활성화된 종들로부터 기인되는 방식으로 제어될 수 있다. 상술한 바와 같이, 일부 종들은 다른 종들보다 더 반응성이 강할 수 있다. 또한, 특정 프로세스 조건들은 활성화된 종들이 피처 내측에서보다 피처의 개구 근처에서 보다 높은 농도로 존재하게 할 수 있다. 예를 들어서, 일부 활성화된 종들은 피처들 내로, 특히 소형의 고 종횡비 피처들 내로 더 깊이 확산하면서 소비 (예를 들어서, 피처 표면 재료들과 반응하고/하거나 표면 상으로 흡착됨) 및/또는 재결합될 수 있다. 활성화된 종들의 재결합은 또한 피처의 외측에서, 예를 들어서, 프로세싱 챔버의 샤워헤드 내에서 발생하며 프로세스 압력에 의존할 수 있다. 따라서, 챔버 압력은 챔버 및 피처들의 다양한 지점들에서 활성화된 종들의 농도를 조절하도록 특정하게 제어될 수도 있다.
억제 가스의 플로우 레이트들은 챔버의 크기, 반응 레이트 및 다른 파라미터들에 의존할 수 있다. 보다 많은 억제 재료가 피처 내측보다 개구 근처에 집중되게 플로우 레이트가 선택될 수 있다. 특정 실시예들에서, 이러한 플로우 레이트들은 매스 이송 한정적인 선택적 억제를 유발한다. 예를 들어서, 스테이션당 195 리터 챔버에 대한 플로우 레이트는 약 25 sccm 내지 1,000 sccm 이거나, 보다 구체적인 실시예들에서, 약 50 sccm 내지 1000 sccm일 수 있다. 특정 실시예들에서, 플로우 레이트는 약 2,000 sccm 보다 작거나, 약 1,000 sccm 보다 작거나, 또는 보다 구체적으로 약 500 sccm 보다 작을 수 있다. 이러한 값들은 300 mm 기판을 프로세싱할 시에 구성되는 단일 개별 스테이션을 위해서 제공되는 것임이 주목되어야 한다. 이러한 플로우 레이트들은 기판 크기, 장치 내에서의 스테이션들의 개수 (예를 들어서, 4 스테이션 장치에서는 4 개), 프로세싱 챔버 볼륨 및 다른 요인들에 따라서 커지거나 줄어들 수 있다.
특정 실시예들에서, 선택적 억제 이전에 기판이 가열되거나 냉각될 수 있다. 기판에 대한 사전결정된 온도는 피처 표면과 억제 종들 간의 화학적 반응을 유도하고 및/또는 억제 종들의 흡착을 촉진하고 및/또는 이러한 반응 또는 흡착의 레이트를 제어하도록 선택될 수 있다. 예를 들어서, 보다 많은 억제가 피처 내측에서보다 개구 근처에서 발생하도록 높은 반응 레이트를 갖게 온도가 선택될 수 있다. 또한, 활성화된 종들의 재결합 (예를 들어서, 원자적 질소의 분자적 질소로의 재결합) 을 제어하고 및/또는 어느 종들 (예를 들어서, 활성화된 종들 또는 재결합된 종들) 이 주로 억제에 기여하는지를 제어하도록 선택될 수도 있다. 다른 실시예들에서, 기판이 약 300 ℃ 보다 낮게 유지되거나, 보다 구체적으로 약 250 ℃ 보다 낮게 유지되거나, 또는 약 150 ℃ 보다 낮게 유지되거나 심지어 약 100 ℃ 보다 낮게 유지된다. 다른 실시예들에서, 기판은 약 300 내지 450 ℃ 또는 보다 구체적인 실시예에서, 약 350 내지 400 ℃ 로 가열될 수 있다. 다른 온도 범위들이 상이한 타입들의 억제 화학물들에 대해서 사용될 수도 있다. 노출 시간도 역시 선택적 억제를 낳도록 선택될 수도 있다. 예시적인 노출 시간은 목표된 선택도 및 피처 깊이에 따라서 약 10 초 내지 약 500 초일 수 있다.
장치
임의의 적합한 챔버가 이 신규한 방법을 구현하는데 사용될 수도 있다. 증착 장치들의 실례들은 예를 들어서, 캘리포니아 산호세 소재의 Novellus Systems, Inc로부터 입수가능한 ALTUS 및 ALTUS Max 또는 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들 중 임의의 것과 같은 다양한 시스템들을 포함한다.
도 24는 특정 구현예들에 따라서 부분적으로 제조된 반도체 기판을 프로세싱하기 위한 장치 (2400) 의 개략적 표현을 예시한다. 장치 (2400) 는 페데스탈 (2420) 을 갖는 챔버 (2418), 샤워헤드 (2414), 및 인 시츄 플라즈마 생성기 (2416) 를 포함한다. 장치 (2400) 는 또한 입력을 수신하고/하거나 제어 신호들을 다양한 디바이스들로 공급하는 시스템 제어기 (2422) 를 더 포함한다.
에천트 및, 특정 구현예들에서, 불활성 가스, 예를 들어서, 아르곤, 헬륨, 및 기타 등이 저장 탱크일 수도 있는 소스 (2402) 로부터 원격 플라즈마 생성기 (2406) 로 공급될 수 있다. 임의의 적합한 플라즈마 생성기 (2406) 가 에천트를 챔버 (2418) 로 도입하기 이전에 이를 활성화시키기 위해서 사용될 수도 있다. 예를 들어서, 모두가 Massachusetts Andover 소재의 MKS Instruments로부터 입수가능한, ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645와 같은, RPC (Remote Plasma Cleaning) 유닛들이 사용될 수도 있다. RPC 유닛은 통상적으로 공급된 에천트를 사용하여서 약하게 이온화된 플라즈마를 생성하는 자립적인 디바이스이다. RPC 유닛 내에는 고 전력 RF 생성기가 내장되어서 플라즈마 내의 전자들에 에너지를 제공한다. 이어서, 이 에너지는 중성의 에천트 분자들에 전달되어서 대략 2000 K 차수의 온도에 이르며 이로써 이러한 에천트 분자들의 열적 해리를 유발한다. RPC 유닛은 그의 높은 RF 에너지 및 에천트가 이 에너지의 대부분을 흡수하게 하는 특별한 채널 기하구조로 인해서 유입되는 에천트 분자들의 60 퍼센트보다 많은 분자를 해리할 수도 있다.
특정 구현예들에서, 에천트는 원격 플라즈마 생성기 (2406) 로부터 연결 라인 (2408) 을 통해서 챔버 (2418) 내로 유동하며, 이 챔버에서 혼합물이 샤워헤드 (2414) 를 통해서 분배된다. 다른 구현예들에서, 에천트는 원격 플라즈마 생성기 (2406) 를 완전하게 바이패스하여서 (예를 들어서, 시스템 (2400) 이 이러한 생성기를 포함하지 않음) 챔버 (2418) 내로 바로 유동된다. 이와 달리, 챔버 (2418) 내로 에천트를 유동시키는 동안에 원격 플라즈마 생성기 (2406) 가 턴 오프될 수 있는데, 그 이유는 에천트의 활성화는 필요하지 않기 때문이다.
샤워헤드 (2414) 또는 페데스탈 (2420) 는 통상적으로 이에 부착된 내부 플라즈마 생성기 (2416) 를 가질 수 있다. 일 실례에서, 생성기 (2416) 는 약 1 MHz 내지 약 100 MHz 주파수들에서 약 0 W 내지 10,000 W을 제공할 수 있는 고 주파수 (HF) 생성기이다. 보다 특정의 구현예에서, HF 생성기는 약 13.56 MHz에서 약 0 내지 5,000 W를 전달할 수 있다. RF 생성기 (2416) 는 초기 텅스텐 층의 제거를 강화하기 위해서 인-시츄 플라즈마를 생성할 수 있다. 특정 실시예들에서, RF 생성기 (2416) 는 프로세스의 제거 동작들 동안에 사용되지 않을 수도 있다.
챔버 (2418) 는 증착 정도, 농도, 압력, 온도 및 기타 등과 같은 다양한 프로세스 파라미터들을 감지하기 위한 센서 (2424) 를 포함할 수도 있다. 센서 (2424) 는 프로세스 동안의 챔버 조건들의 정보를 시스템 제어기 (2422) 에 제공할 수도 있다. 센서 (2424) 의 실례는 질량 유량 제어기, 압력 센서, 써모커플 등을 포함한다. 센서 (2424) 는 또한 챔버 내의 가스들의 존재 및 제어 측정치들을 모니터링하기 위해서 적외선 검출기 또는 광학적 검출기를 포함할 수도 있다.
증착 동작 및 선택적 제거 동작은 챔버 (2418) 로부터 배기된 다양한 휘발성 종들을 생성할 수 있다. 또한, 프로세싱은 챔버 (2418) 의 소정의 사전결정된 압력 레벨에서 수행된다. 이러한 기능들 양자는 진공 펌프일 수도 있는 진공 유출부 (2426) 를 사용하여서 달성된다.
특정 구현예들에서, 시스템 제어기 (2422) 는 프로세서 파라미터들을 제어하는데 채용된다. 시스템 제어기 (2422) 는 통상적으로 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함한다. 이 프로세서는 CPU, 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 및 다른 유사한 구성 요소들을 포함한다. 통상적으로, 시스템 제어기 (2422) 와 연관된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이, 포인팅 장치, 키보드, 터치 스크린, 마이크로폰 등과 같은 사용자 입력 장치를 포함할 수 있다.
특정 구현예들에서, 시스템 제어기 (2422) 는 기판 온도, 에천트 플로우 레이트, 원격 플라즈마 생성기 (2406) 의 전력 출력, 챔버 (2418) 내 압력, 다른 프로세스 파라미터들을 제어한다. 시스템 제어기 (2422) 는 특정 프로세스의 타이밍, 가스 혼합사항, 챔버 압력, 챔버 온도, 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트를 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 장치들 상에 저장된 다른 컴퓨터 프로그램들이 몇몇 구현예들에서 채용될 수도 있다.
프로세스 시퀀스에서의 프로세스를 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어서 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 통상적인 컴퓨터 판독 가능한 프로그래밍 언어로 기록될 수 있다. 컴파일링된 객체 코드 또는 스크립이 프로그램 내에 특정된 태스크들을 수행하도록 프로세서에 의해서 실행된다. 시스템 소프트웨어는 다수의 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어서, 기술된 다양한 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 다양한 챔버 컴포넌트 서브루틴 또는 제어 객체가 기록될 수 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 실례들은 가스 제어 코드, 압력 제어 코드 및 플라즈마 제어 코드를 포함한다.
제어기 파라미터들은 예를 들어서, 각 동작 타이밍, 챔버 내 압력, 기판 온도, 에천트 플로우 레이트 등과 같은 프로세스 조건들에 관한 것이다. 이러한 파라미터들은 레시피의 형태로 사용자에게 제공되며 사용자 인터페이스를 사용하여서 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들이 시스템 제어기 (2422) 의 아날로그 및/또는 디지털 입력 접속부들에 의해서 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 장치 (2400) 의 아날로그 및 디지털 출력 접속부들 상의 출력이다.
다중-스테이션 장치
도 25a는 다중 스테이션 장치 (2500) 의 실례를 도시한다. 장치 (2500) 는 프로세스 챔버 (2501) 및 프로세싱될 기판들 및 프로세싱이 완료된 기판들을 홀딩하기 위한 하나 이상의 카세트들 (2503) (예를 들어서, FOUP (Front Opening Unified Pods)) 을 포함한다. 챔버 (2501) 는 다수의 스테이션들, 예를 들어서, 2 개의 스테이션들, 3 개의 스테이션들, 4 개의 스테이션들, 5 개의 스테이션들, 6 개의 스테이션들, 7 개의 스테이션들, 8 개의 스테이션들, 9 개의 스테이션들, 10 개의 스테이션들 또는 임의의 개수의 스테이션들을 가질 수 있다. 스테이션들의 개수는 일반적으로 프로세싱 동작들의 복잡성 및 공유된 분위기에서 수행될 수 있는 동작들의 수에 의해서 결정될 수 있다. 도 25a는 참조부호 (2511 내지 916) 로 표시된 6 개의 스테이션들을 포함하는 프로세스 챔버 (2501) 를 예시한다. 단일 프로세스 챔버 (2503) 를 갖는 다중 스테이션 장치 (2500) 내의 모든 스테이션들은 동일한 압력 분위기에 노출된다. 그러나, 각 스테이션은 지정된 반응물 분배 시스템 및 도 24에 도시된 것들과 같은, 전용 플라즈마 생성기 및 페데스탈에 의해서 달성되는 국부적 플라즈마 및 가열 조건들을 가질 수 있다.
프로세싱될 기판은 카세트 (2503) 중 하나로부터 로드-락 (2505) 을 통해서 스테이션 (2511) 으로 로딩된다. 외측 로봇 (2507) 이 기판을 카세트 (2503) 로부터 로드-락 (2505) 으로 전달하는데 사용될 수 있다. 도시된 구현예들에서, 2 개의 개별 로드 락들 (2505) 이 존재한다. 이들은 통상적으로 (일단 압력이 프로세스 챔버 (2503) 의 내부 분위기에 대응하는 압력과 평형 상태가 되면) 기판들을 로드-락 (2505) 으로부터 스테이션 (2511) 으로 그리고 프로세싱 챔버 (2503) 로부터 제거할 기판을 스테이션 (2516) 으로부터 로드-락 (2505) 으로 이동시키는 기판 전달 장치들을 구비한다. 기판들을 프로세싱 스테이션들 (2511 내지 916) 을 따라서 전달하고 이하에서 기술되는 프로세스 동안에 기판들 몇몇을 지지하는 메카니즘 (2509) 가 사용된다.
특정 구현예들에서, 하나 이상의 스테이션들이 기판을 가열하기 위해서 예비될 수 있다. 이러한 스테이션들은 기판 위에 위치한 가열 램프 (미도시) 및/또는 도 24에서 도시된 것과 유사한 기판을 지지하는 가열 페데스탈을 가질 수 있다. 예를 들어서, 스테이션 (2511) 은 로드-락으로부터 기판을 수용하고 기판이 후속 프로세싱되기 이전에 기판을 예열하는데 사용될 수 있다. 다른 스테이션들이 증착 및 에칭 동작들을 포함하여서 고종횡비 피처들을 충진하는데 사용될 수 있다.
기판이 스테이션 (2511) 에서 가열되거나 이와 달리 처리된 후에, 기판이 순차적으로 배열되거나 그렇지 않을 수도 있는 프로세싱 스테이션들 (2512, 913, 914, 915 및 916) 로 연속하여 이동한다. 다중 스테이션 장치 (2500) 는 모든 스테이션들이 동일한 압력 분위기에 노출되도록 구성된다. 그렇게 함으로써, 기판들이 로드-락들과 같은 전송 포트들이 필요 없이 스테이션 (2511) 에서 챔버 (2501) 내의 다른 스테이션으로 전달될 수 있다.
특정 구현예들에서, 텅스텐 함유 재료들로 피처들을 충진하는데 하나 이상의 스테이션들이 사용될 수 있다. 예를 들어서, 스테이션 (2512) 이 초기 증착 동작을 위해서 사용되고, 스테이션 (2513) 이 대응하는 선택적 제거 동작을 위해서 사용될 수 있다. 증착-제거 사이클이 반복되는 구현예들에서, 스테이션 (2514) 이 다른 증착 동작을 위해서 사용되고, 스테이션 (2515) 이 다른 부분적 제거 동작을 위해서 사용될 수 있다. 스테이션 (2516) 은 최종 충진 동작을 위해서 사용될 수 있다. 특정 프로세스들 (가열, 충진 및 제거) 에 대한 스테이션 지정에 관한 임의의 구성이 사용될 수 있다는 것이 이해되어야 한다.
상술한 다중 스테이션 장치에 대한 대안으로서, 방법이 배치 모드 (즉, 비-순차적 모드) 로 단일 프로세싱 스테이션에서 기판(들)을 프로세싱하는 단일 기판 챔버 또는 다중 스테이션 챔버로 구현될 수 있다. 이러한 양태에서, 기판은 챔버 내로 로딩되어서 (장치가 오직 하나의 프로세싱 스테이션만을 갖는 장치든지 배치 모드로 실행되는 다중 스테이션들을 갖는 장치이든지 상관없이) 단일 프로세싱 스테이션의 페데스탈 상에 위치된다. 이어서, 기판이 가열되고 증착 동작이 수행될 수 있다. 챔버 내의 프로세스 조건들이 조절되고 이어서 증착된 층의 선택적 제거가 수행된다. 프로세스는 하나 이상의 증착-제거 사이클들로 계속되고 마지막으로 최종 충진 동작이 수행되며 이러한 모든 동작은 동일한 스테이션 상에서 수행된다. 이와 달리, 다수의 기판들에 대하여서 먼저 신규한 방법의 동작들 (예를 들어서, 증착, 선택적 제거, 최종 충진) 중 하나만을 수행하도록 단일 스테이션 장치가 사용되고 이후에 나머지 동작들 중 하나 이상을 수행하기 위해서 기판들이 동일한 스테이션으로 다시 돌아가거나 상이한 스테이션 (예를 들어서, 상이한 장치의 것) 으로 이동할 수 있다.
다중-챔버 장치
도 25b는 특정 구현예들에 따라서 사용될 수 있는 다중-챔버 장치 (2520) 의 개략도이다. 도시된 바와 같이, 장치 (2520) 는 3 개의 개별 챔버들 (2521, 923, 및 925) 을 갖는다. 이러한 챔버들 각각은 2 개의 페데스탈을 갖는 것으로 예시된다. 장치는 임의의 개수의 챔버들 (예를 들어서, 1 개, 2 개, 3 개, 4 개, 5 개, 6 개 등) 을 가질 수 있으며, 각 챔버는 임의의 개수의 페데스탈들 (예를 들어서, 1 개, 2 개, 3 개, 4 개, 5 개, 6 개 등) 을 가질 수 있음이 이해되어야 한다. 챔버들 (2521, 923, 및 925) 각각은 챔버들 간에서 공유되지 않은 그의 자신의 압력 분위기를 갖는다. 각 챔버는 하나 이상의 대응하는 전달 포트들 (예를 들어서, 로드-락들) 을 가질 수 있다. 장치는 또한 전달 포트들과 하나 이상의 카세트들 (2529) 간에서 기판들을 전달하기 위한 공유형 기판 핸들링 로봇 (2527) 을 가질 수 있다.
상술한 바와 같이, 개별 챔버들은 텅스텐 함유 재료들을 증착하고 이후 동작들에서 이러한 증착된 재료들을 선택적으로 제거하기 위해서 사용될 수 있다. 이러한 2 개의 동작들을 상이한 챔버들로 분할하면 각 챔버에서 동일한 분위기 조건들을 유지함으로써 프로세싱 속도를 실질적으로 개선하는데 도움이 될 수 있다. 달리 말하면, 챔버가 그의 분위기를 증착용 조건에서 선택적 제거용 조건으로 또는 이 역으로 변화시킬 필요가 없는데, 이러한 2 개의 조건들 간에서는 프리커서들, 처리 화학물질, 온도, 압력 및 다른 프로세스 파라미터들이 상이하다. 특정 실시예들에서, 이러한 2 개 이상의 상이한 챔버들의 분위기 조건들을 변경하는 것보다 이러한 챔버들 간에 부분적으로 제조된 반도체 기판들을 전달하는 것이 더 신속하다.
패터닝 방법/장치
본 명세서에서 상술한 장치/프로세스은 예를 들어서 반도체 소자, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서 기판과 같은 작업 대상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여서 상기 레지스트 패턴을 그 아래의 막 또는 작업 대상에 전사하는 동작 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 동작을 포함할 수 있다.

Claims (40)

  1. 하나 이상의 피처 개구들, 피처 측벽들, 상기 피처 측벽들 상의 언더 층 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계;
    상기 피처를 제 1 벌크 텅스텐 층으로 충진하도록 상기 피처 내에 텅스텐을 컨포멀하게 증착하는 단계;
    상기 피처 내에 에칭된 텅스텐 층이 남도록 상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계로서, 상기 피처 측벽들 상의 상기 언더 층을 남게 하면서 하나 이상의 피처 측벽들로부터 텅스텐을 제거하는 것을 포함하는, 상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계; 및
    상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하고,
    상기 선택적 증착은, 텅스텐이 제거된 상기 피처 측벽들 상의 상기 언더 층에 대해 상기 에칭된 텅스텐 층 상에서 우선적으로 수행되는, 방법.
  2. 제 1 항에 있어서,
    상기 텅스텐을 컨포멀하게 증착하는 단계는 보이드 (void) 가 상기 제 1 벌크 텅스텐 층 내에 형성되게 하는 단계를 포함하는, 방법.
  3. 제 2 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 보이드를 개방하는 단계를 포함하는, 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 텅스텐을 컨포멀하게 증착하는 단계는 상기 제 1 벌크 텅스텐 층 내에서 상기 피처의 축을 따라서 연장되는 심 (seam) 이 형성되게 하는 단계를 포함하는, 방법.
  5. 제 4 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을 심 형성 지점까지 에칭하는 단계를 포함하는, 방법.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계는 중간의 핵생성 층을 형성하지 않고서 상기 에칭된 텅스텐 층 상에 상기 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하는, 방법.
  7. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 피처는 상기 기판의 플레인 (plane) 에 대해서 수직으로 배향된 (oriented), 방법.
  8. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 피처는 상기 기판의 플레인에 대해서 수평으로 배향된, 방법.
  9. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을 라디칼 종들에 노출시키며 실질적으로 이온성 종들에는 노출시키지 않는 단계를 포함하는, 방법.
  10. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을 원격에서 생성된 플라즈마에 노출시키는 단계를 포함하는, 방법.
  11. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을 인 시츄 (in-situ) 플라즈마에 노출시키는 단계를 포함하는, 방법.
  12. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을, 용량적으로 커플링된 플라즈마 (CCP) 생성기, 유도적으로 커플링된 플라즈마 (ICP) 생성기, 트랜스포머 커플링된 플라즈마 (TCP) 생성기, 전자 사이클로트론 공진 (ECR) 생성기 또는 헬리콘 플라즈마 생성기를 사용하여서 생성된 플라즈마에 노출시키는 단계를 포함하는, 방법.
  13. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐의 비컨포멀 에칭 (non-conformal etching) 을 포함하는, 방법.
  14. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐의 컨포멀 에칭 (conformal etching) 을 포함하는, 방법.
  15. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 피처를 라이닝하는 언더-층 (under-layer) 에 대해서 텅스텐을 선택적으로 에칭하는 단계를 포함하며,
    상기 제 1 벌크 텅스텐 층은 상기 언더-층 상에 증착된, 방법.
  16. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 피처를 라이닝하는 언더-층 (under-layer) 에 대해서 텅스텐을 비선택적으로 에칭하는 단계를 포함하며,
    상기 제 1 벌크 텅스텐 층은 상기 언더-층 상에 증착된, 방법.
  17. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    접착 층, 라이너 층, 및 베리어 층으로부터 선택된 박층을 상기 제 2 벌크 텅스텐 층 상에 증착하는 단계를 포함하는, 방법.
  18. 제 17 항에 있어서,
    상기 박층 상에 제 3 벌크 텅스텐 층을 증착하는 단계를 포함하는, 방법.
  19. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 2 벌크 텅스텐 층은 상기 피처에 대해서 비컨포멀한, 방법.
  20. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    컨포멀하게 증착하는 단계 동안 상기 피처는 100 % 보다 큰 스텝 커버리지를 갖게 텅스텐으로 충진되는, 방법.
  21. 하나 이상의 피처 개구들, 피처 측벽들, 상기 피처 측벽들 상의 언더 층, 피처 내부 및 상기 피처의 길이를 따라서 연장된 피처 축을 갖는 피처를 포함하는 기판을 제공하는 단계;
    제 1 벌크 텅스텐 층으로 상기 피처를 충진하도록 상기 피처 내에 텅스텐을 증착하는 단계로서, 그레인 성장은 상기 피처 축에 대해서 실질적으로 직교하는, 상기 제 1 벌크 텅스텐 층을 증착하는 단계;
    상기 피처 측벽들 상의 상기 언더 층을 남게 하면서 에칭된 텅스텐 층이 상기 피처에 남도록 상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계; 및
    상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계로서, 그레인 성장이 상기 피처 축에 대해서 실질적으로 평행한, 상기 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하고,
    상기 선택적 증착은, 텅스텐이 제거된 상기 피처 측벽들 상의 상기 언더 층에 대해 상기 에칭된 텅스텐 층 상에서 우선적으로 수행되는, 방법.
  22. 하나 이상의 피처 개구들, 피처 측벽들, 상기 피처 측벽들 상의 언더 층 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계;
    상기 피처를 제 1 벌크 텅스텐 층으로 충진하도록 상기 피처 내에 텅스텐을 컨포멀하게 증착하는 단계;
    상기 텅스텐의 일부가 제거된 후에 상기 기판을 수용하는 단계로서, 상기 수용된 기판의 상기 피처는 에칭된 텅스텐 층을 포함하고, 상기 피처 측벽들 상의 상기 언더 층이 남아 있는, 상기 기판을 수용하는 단계; 및
    상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계로서, 상기 제 2 벌크 텅스텐 층은 상기 피처에 대해서 비컨포멀한, 상기 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하고,
    상기 선택적 증착은, 텅스텐이 제거된 상기 피처 측벽들 상의 상기 언더 층에 대해 상기 에칭된 텅스텐 층 상에서 우선적으로 수행되는, 방법.
  23. 피처 개구, 피처 측벽들 및 폐쇄된 피처 단부를 갖는 피처를 포함하는 기판을 수용하는 단계로서, 상기 피처는 컨포멀 벌크 텅스텐 층으로 충진되며, 상기 컨포멀 벌크 텅스텐 층은 그 내에 형성된 보이드 및/또는 심을 포함하는, 상기 기판을 수용하는 단계; 및
    상기 컨포멀 벌크 텅스텐 층의 일부를 에칭하는 단계를 포함하며,
    상기 에칭하는 단계는 텅스텐이 실질적으로 상기 피처의 상기 폐쇄된 피처 단부에서만 남도록 상기 피처의 상기 피처 측벽들로부터 텅스텐을 제거하는 단계를 포함하는, 방법.
  24. 2 개의 피처 개구들, 피처 측벽들 및 피처 내부를 갖는 피처를 포함하는 기판을 수용하는 단계로서, 상기 피처는 컨포멀 벌크 텅스텐 층으로 충진되며, 상기 컨포멀 벌크 텅스텐 층은 그 내에 형성된 보이드 및/또는 심을 포함하는, 상기 기판을 수용하는 단계; 및
    상기 컨포멀 벌크 텅스텐 층의 일부를 에칭하는 단계를 포함하며,
    상기 에칭하는 단계는 텅스텐이 실질적으로 상기 피처 내부에서만 남도록 상기 피처 개구들 근처에서 상기 피처의 상기 피처 측벽들로부터 텅스텐을 제거하는 단계를 포함하는, 방법.
  25. 하나 이상의 피처 개구들, 피처 측벽들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계;
    상기 피처 내에 제 1 벌크 텅스텐 층을 증착하는 단계;
    에칭된 텅스텐 층을 형성하도록 상기 제 1 벌크 텅스텐 층을 에칭하는 단계로서, 상기 제 1 벌크 텅스텐 층을 에칭하는 단계는 상기 피처 내의 실질적으로 모든 텅스텐을 상기 하나 이상의 피처 개구들로부터 연장되는 리세스 깊이까지 제거하는 단계를 포함하는, 상기 제 1 벌크 텅스텐 층을 에칭하는 단계; 및
    상기 피처 내에 제 2 벌크 텅스텐 층을 증착하는 단계를 포함하고,
    상기 피처는 협폭부 (constriction) 를 포함하며,
    상기 리세스 깊이는 상기 협폭부를 넘어서 연장되는, 방법.
  26. 제 25 항에 있어서,
    상기 제 1 벌크 텅스텐 층은 상기 피처를 부분적으로 충진하는, 방법.
  27. 제 26 항에 있어서,
    상기 제 1 벌크 텅스텐 층을 에칭하는 단계는 상기 제 1 벌크 텅스텐 층의 적어도 일 영역의 측방향 에칭을 포함하는, 방법.
  28. 제 25 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 제 2 벌크 텅스텐 층은 상기 에칭된 텅스텐 층 상에 선택적으로 증착되는, 방법.
  29. 제 25 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 제 2 벌크 텅스텐 층은 상기 피처 내에 컨포멀하게 증착되는, 방법.
  30. 삭제
  31. 제 25 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 에칭된 텅스텐 층을 형성하도록 상기 제 1 벌크 텅스텐 층을 에칭하는 단계는 상기 피처 내에 형성된 보이드를 개방하는 단계를 포함하는, 방법.
  32. 제 25 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 에칭하는 단계 및 상기 제 2 벌크 텅스텐 층을 증착하는 단계를 1 회 이상 반복하는 단계를 더 포함하는, 방법.
  33. 제 25 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층은 상기 피처를 라이닝하는 언더-층에 대해서 선택적으로 에칭되며,
    상기 제 1 벌크 텅스텐 층은 상기 언더-층 상에 증착되는, 방법.
  34. 제 25 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 제 1 벌크 텅스텐 층은 상기 피처를 라이닝하는 언더-층에 대해서 비선택적으로 에칭되며,
    상기 제 1 벌크 텅스텐 층은 상기 언더-층 상에 증착되는, 방법.
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 기판을 지지하도록 구성된 하나 이상의 챔버들;
    상기 챔버들 중 하나 이상 내에 또는 상기 챔버들 중 하나 이상에 대해서 원격에서 플라즈마를 생성하도록 구성된 플라즈마 생성기;
    상기 하나 이상의 챔버들 각각 내로 가스를 향하게 하도록 구성된 가스 유입구들; 및
    제 1 항 내지 제 3 항 또는 제 21 항 내지 제 27 항 중 어느 한 항에 따른 방법을 수행하도록 상기 하나 이상의 챔버들에 하나 이상의 가스들을 유입시키고 하나 이상의 에천트 플라즈마를 생성하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 포함하는, 장치.
KR1020147030125A 2012-03-27 2013-03-27 텅스텐 피처 충진 KR102064627B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261616377P 2012-03-27 2012-03-27
US61/616,377 2012-03-27
PCT/US2013/034167 WO2013148880A1 (en) 2012-03-27 2013-03-27 Tungsten feature fill

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207000199A Division KR102131581B1 (ko) 2012-03-27 2013-03-27 텅스텐 피처 충진

Publications (2)

Publication Number Publication Date
KR20140141686A KR20140141686A (ko) 2014-12-10
KR102064627B1 true KR102064627B1 (ko) 2020-01-09

Family

ID=49261216

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207000199A KR102131581B1 (ko) 2012-03-27 2013-03-27 텅스텐 피처 충진
KR1020147030125A KR102064627B1 (ko) 2012-03-27 2013-03-27 텅스텐 피처 충진

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207000199A KR102131581B1 (ko) 2012-03-27 2013-03-27 텅스텐 피처 충진

Country Status (6)

Country Link
US (6) US9653353B2 (ko)
JP (1) JP6273257B2 (ko)
KR (2) KR102131581B1 (ko)
CN (3) CN113862634A (ko)
TW (1) TWI602283B (ko)
WO (1) WO2013148880A1 (ko)

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8912574B2 (en) * 2010-12-14 2014-12-16 International Business Machines Corporation Device isolation with improved thermal conductivity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
WO2015023404A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20160379879A1 (en) * 2013-11-27 2016-12-29 Tokyo Electron Limited Tungsten film forming method
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP6269175B2 (ja) * 2014-03-05 2018-01-31 株式会社デンソー 半導体装置の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
KR20150110965A (ko) * 2014-03-21 2015-10-05 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9390939B2 (en) * 2014-05-29 2016-07-12 Globalfoundries Inc. Methods of forming MIS contact structures for semiconductor devices and the resulting devices
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN104072138B (zh) * 2014-06-18 2015-10-28 河海大学 一种碳化钨-立方氮化硼复合材料及其制备方法
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR102171265B1 (ko) * 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US10176996B2 (en) * 2014-08-06 2019-01-08 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
WO2016058175A1 (en) * 2014-10-17 2016-04-21 Acm Research (Shanghai) Inc. Barrier layer removal method and semiconductor structure forming method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9666507B2 (en) * 2014-11-30 2017-05-30 United Microelectronics Corp. Through-substrate structure and method for fabricating the same
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
JP6473405B2 (ja) 2015-10-05 2019-02-20 浜松ホトニクス株式会社 配線構造体の製造方法
US20170104000A1 (en) 2015-10-13 2017-04-13 Joo-Hee PARK Vertical memory devices
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
TWI729457B (zh) * 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
CN106128996A (zh) * 2016-06-24 2016-11-16 武汉新芯集成电路制造有限公司 一种无缝多晶硅插塞的形成方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6751631B2 (ja) 2016-09-13 2020-09-09 東京エレクトロン株式会社 基板の凹部をタングステンで充填する方法
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
CN109923662A (zh) 2016-11-08 2019-06-21 应用材料公司 用于图案化应用的自底向上的柱状体的几何控制
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7224335B2 (ja) 2017-04-10 2023-02-17 ラム リサーチ コーポレーション モリブデンを含有する低抵抗膜
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
CN108807264B (zh) * 2017-05-02 2023-09-12 应用材料公司 形成钨支柱的方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
KR102399497B1 (ko) 2017-05-29 2022-05-19 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TWI719316B (zh) * 2017-06-12 2021-02-21 美商應用材料股份有限公司 利用鎢氧化還原之無縫鎢填充
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
CN111032908B (zh) * 2017-06-23 2024-01-30 默克专利有限公司 用于选择性膜生长的原子层沉积方法
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
WO2019014446A1 (en) * 2017-07-13 2019-01-17 Applied Materials, Inc. METHODS AND APPARATUS FOR DEPOSITING TUNGSTEN NUCLEATION LAYERS
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN107564861A (zh) * 2017-09-29 2018-01-09 睿力集成电路有限公司 一种晶体管结构、存储单元、存储器阵列及其制备方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10840405B2 (en) * 2017-10-31 2020-11-17 Sivananthan Laboratories, Inc. Inductively coupled plasma for hydrogenation of type II superlattices
KR20200079339A (ko) * 2017-11-20 2020-07-02 램 리써치 코포레이션 자기 제한 성장
CN107946234A (zh) * 2017-11-20 2018-04-20 睿力集成电路有限公司 半导体互连结构及其制备方法
KR102388313B1 (ko) 2017-11-27 2022-04-19 삼성전자주식회사 수직형 반도체 소자 및 이의 제조 방법
JP7085824B2 (ja) * 2017-11-28 2022-06-17 東京エレクトロン株式会社 成膜方法
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10669160B2 (en) * 2018-04-30 2020-06-02 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110875245B (zh) * 2018-09-04 2023-06-16 北京北方华创微电子装备有限公司 用于填充孔洞或沟槽的薄膜沉积方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
WO2020060751A1 (en) * 2018-09-18 2020-03-26 Applied Materials, Inc. In-situ integrated chambers
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7195106B2 (ja) * 2018-10-12 2022-12-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111244025A (zh) * 2018-11-29 2020-06-05 北京北方华创微电子装备有限公司 填充方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) * 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11189633B2 (en) 2019-03-21 2021-11-30 Samsung Electronics Co., Ltd. Semiconductor device and apparatus of manufacturing the same
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
CN113874545A (zh) * 2019-05-22 2021-12-31 朗姆研究公司 无成核的钨沉积
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
CN114667600A (zh) * 2019-10-15 2022-06-24 朗姆研究公司 钼填充
US20210123139A1 (en) * 2019-10-29 2021-04-29 Applied Materials, Inc. Method and apparatus for low resistance contact interconnection
KR20210062824A (ko) 2019-11-22 2021-06-01 삼성전자주식회사 반도체 소자 및 그의 제조 방법
JP2023510607A (ja) * 2020-01-16 2023-03-14 インテグリス・インコーポレーテッド エッチングまたは堆積のための方法
US11742208B2 (en) * 2020-03-25 2023-08-29 Texas Instruments Incorporated Method of reducing voids and seams in trench structures by forming semi-amorphous polysilicon
US11417568B2 (en) * 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
US11295989B2 (en) * 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
JP2022032210A (ja) 2020-08-11 2022-02-25 キオクシア株式会社 半導体記憶装置
KR20230104542A (ko) * 2020-11-20 2023-07-10 램 리써치 코포레이션 텅스텐 저 저항 펄싱된 cvd
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures
EP4033518A1 (en) * 2021-01-25 2022-07-27 Infineon Technologies Austria AG Method for fabricating a semiconductor device using wet etching and dry etching and semiconductor device
TW202309974A (zh) * 2021-05-21 2023-03-01 美商蘭姆研究公司 高深寬比3d nand架構中的鎢字元線填充
TW202340505A (zh) * 2021-12-07 2023-10-16 美商蘭姆研究公司 利用成核抑制的特徵部填充
WO2023114106A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Large grain tungsten growth in features
WO2023164413A1 (en) * 2022-02-24 2023-08-31 Lam Research Corporation Low resistance molybdenum deposition for logic source/drain contacts

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100130002A1 (en) * 2008-11-25 2010-05-27 Dao Thuy B Multilayered through via
JP2010251760A (ja) * 2009-04-16 2010-11-04 Novellus Systems Inc 小臨界次元の接点装置及び相互接続子用の超薄低抵抗タングステンフィルムの堆積方法
JP2011035366A (ja) * 2009-08-04 2011-02-17 Novellus Systems Inc 高アスペクト比のフィーチャーへのタングステン堆積方法

Family Cites Families (377)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
DE3372397D1 (en) 1982-03-03 1987-08-13 Bbc Brown Boveri & Cie Wave compression turbo charger with a roller-bearing journalled rotor
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4874723A (en) 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US5147500A (en) 1987-07-31 1992-09-15 Hitachi, Ltd. Dry etching method
JPH0794488B2 (ja) 1987-09-07 1995-10-11 ダイセル化学工業株式会社 光学活性な重合用触媒及び光学活性な高分子の合成方法
US4891550A (en) 1987-10-15 1990-01-02 Duro-Test Corporation Phosphor blend for broad spectrum fluorescent lamp
US4997520A (en) 1988-06-10 1991-03-05 Texas Instruments Incorporated Method for etching tungsten
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069208A3 (en) 1990-01-08 2003-05-21 Lsi Logic Corporation Method of diffusing gas into a CVD chamber and gas diffusing means
JP3019367B2 (ja) 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (ja) * 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3216345B2 (ja) 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
JP3014019B2 (ja) * 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) * 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
DE69518710T2 (de) 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (ko) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
JPH09326436A (ja) 1996-06-06 1997-12-16 Sony Corp 配線形成方法
US5677237A (en) 1996-06-21 1997-10-14 Taiwan Semiconductor Manufacturing Company Ltd. Process for removing seams in tungsten plugs
US5893758A (en) 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR200160641Y1 (ko) 1996-08-23 1999-11-15 맹섭 골프백용 등걸이 멜빵
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP2891952B2 (ja) 1996-12-17 1999-05-17 芝浦メカトロニクス株式会社 半導体装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100239442B1 (ko) 1996-12-26 2000-01-15 김영환 콘택홀 내의 전도성 플로그 형성방법
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
EP0856877A1 (en) 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6110822A (en) 1998-03-25 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for forming a polysilicon-interconnect contact in a TFT-SRAM
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
WO1999067056A1 (en) 1998-06-23 1999-12-29 Arch Specialty Chemicals, Inc. Composition for the chemical mechanical polishing of metal layers
US6140233A (en) 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100338941B1 (ko) 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
JP2001274114A (ja) 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
JP5184731B2 (ja) 2000-05-18 2013-04-17 コーニング インコーポレイテッド 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002009017A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002016066A (ja) * 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
JP2002043201A (ja) 2000-07-28 2002-02-08 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
AU2002214283A1 (en) 2000-11-17 2002-05-27 Tokyo Electron Limited Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
JP2002353161A (ja) 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
KR100437455B1 (ko) 2001-12-10 2004-06-23 삼성전자주식회사 반도체 장치 형성 방법
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030058853A (ko) 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
JP3971192B2 (ja) 2002-01-11 2007-09-05 株式会社アルバック Cvd装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7240564B2 (en) 2002-07-30 2007-07-10 Alliant Techsystems Inc. Method and apparatus for detecting and determining event characteristics with reduced data collection
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
AU2003304283B2 (en) 2002-12-23 2009-10-22 Applied Thin Films, Inc. Aluminum phosphate coatings
JP4429919B2 (ja) 2002-12-27 2010-03-10 株式会社アルバック 窒化タングステン膜の成膜方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20040266174A1 (en) 2003-06-27 2004-12-30 Chin-Tien Yang Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR100555514B1 (ko) 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US7223693B2 (en) 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US20050147762A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Method to fabricate amorphous electroless metal layers
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
WO2005101473A1 (ja) 2004-04-12 2005-10-27 Ulvac, Inc. バリア膜の形成方法、及び電極膜の形成方法
JP5074183B2 (ja) 2004-04-21 2012-11-14 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 高圧ガス放電ランプを製造する方法、タングステン電極、高圧ガス放電ランプ、および照明ユニット
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR20050013187A (ko) 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
JP4671729B2 (ja) 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP4783169B2 (ja) 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US7368394B2 (en) * 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7828504B2 (en) * 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR101254275B1 (ko) 2006-06-20 2013-04-23 가부시키가이샤 아루박 폴리이미드막 도포 장치 및 방법
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100881391B1 (ko) * 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7569913B2 (en) * 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR20080061978A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
KR20080101745A (ko) * 2007-05-15 2008-11-21 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 재료들의 원자층 증착
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
WO2009067381A1 (en) 2007-11-21 2009-05-28 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
KR100939777B1 (ko) * 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) * 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
WO2010077847A2 (en) * 2008-12-31 2010-07-08 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US8236691B2 (en) * 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
WO2011017068A1 (en) 2009-08-07 2011-02-10 Sigma-Aldrich Co. High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
KR20120046786A (ko) 2009-09-02 2012-05-10 가부시키가이샤 알박 Co 막의 형성 방법 및 Cu 배선막의 형성 방법
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US20120294874A1 (en) 2009-11-19 2012-11-22 Paul Anthony Macary Method for Producing T Cell Receptor-Like Monoclonal Antibodies and Uses Thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
CN101789369A (zh) * 2010-01-28 2010-07-28 上海宏力半导体制造有限公司 多金属钨栅极刻蚀方法
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
JP2011199021A (ja) 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
KR101340793B1 (ko) * 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) * 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
KR102100520B1 (ko) 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US9048299B2 (en) 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning approach to reduce via to via minimum spacing
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
WO2015057959A1 (en) * 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
TWI613845B (zh) 2016-08-04 2018-02-01 財團法人工業技術研究院 垂直磁化自旋軌道磁性元件
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100130002A1 (en) * 2008-11-25 2010-05-27 Dao Thuy B Multilayered through via
JP2010251760A (ja) * 2009-04-16 2010-11-04 Novellus Systems Inc 小臨界次元の接点装置及び相互接続子用の超薄低抵抗タングステンフィルムの堆積方法
JP2011035366A (ja) * 2009-08-04 2011-02-17 Novellus Systems Inc 高アスペクト比のフィーチャーへのタングステン堆積方法

Also Published As

Publication number Publication date
US20130302980A1 (en) 2013-11-14
TW201405781A (zh) 2014-02-01
US20190019725A1 (en) 2019-01-17
CN110004429A (zh) 2019-07-12
US20160190008A1 (en) 2016-06-30
US10103058B2 (en) 2018-10-16
CN110004429B (zh) 2021-08-31
US20210327754A1 (en) 2021-10-21
KR102131581B1 (ko) 2020-07-08
US11075115B2 (en) 2021-07-27
JP6273257B2 (ja) 2018-01-31
US9653353B2 (en) 2017-05-16
CN104272441A (zh) 2015-01-07
US9240347B2 (en) 2016-01-19
KR20200006620A (ko) 2020-01-20
US20150056803A1 (en) 2015-02-26
JP2015512568A (ja) 2015-04-27
KR20140141686A (ko) 2014-12-10
TWI602283B (zh) 2017-10-11
WO2013148880A1 (en) 2013-10-03
US20170278749A1 (en) 2017-09-28
CN113862634A (zh) 2021-12-31

Similar Documents

Publication Publication Date Title
US20210327754A1 (en) Tungsten feature fill
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US9548228B2 (en) Void free tungsten fill in different sized features
US20200185273A1 (en) Feature fill with nucleation inhibition
KR102185346B1 (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
US20220020641A1 (en) Void free low stress fill
TWI706509B (zh) 包含多段式抑制成核之特徵部塡充
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
WO2013148444A1 (en) Tungsten feature fill with nucleation inhibition
KR20160140458A (ko) 저 불소 함량을 가진 텅스텐 막들
KR20220082023A (ko) 몰리브덴 충진
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant