TWI512389B - 定向自組裝製程/鄰近校正之方法 - Google Patents

定向自組裝製程/鄰近校正之方法 Download PDF

Info

Publication number
TWI512389B
TWI512389B TW102131513A TW102131513A TWI512389B TW I512389 B TWI512389 B TW I512389B TW 102131513 A TW102131513 A TW 102131513A TW 102131513 A TW102131513 A TW 102131513A TW I512389 B TWI512389 B TW I512389B
Authority
TW
Taiwan
Prior art keywords
dsa
pattern
cost function
calculating
self
Prior art date
Application number
TW102131513A
Other languages
English (en)
Other versions
TW201433878A (zh
Inventor
Azat Latypov
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/774,822 external-priority patent/US8667430B1/en
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW201433878A publication Critical patent/TW201433878A/zh
Application granted granted Critical
Publication of TWI512389B publication Critical patent/TWI512389B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

定向自組裝製程/鄰近校正之方法 相關申請案之交互參照
本申請案為申請於2012年10月24日、標題為“定向自組裝製程/鄰近校正之方法”之美國專利申請案第13/659,453號的部份延續案,其內容全部併入本文作為參考資料。
本揭示內容的具體實施例皆針對積體電路的製造方法。更特別的是,本揭示內容的具體實施例係針對在設計積體電路(IC)時用以定向自組裝製程/鄰近校正(DSA PC)之方法。
半導體裝置通常包含形成於基板上方的電路網。該裝置可包含數層的電路配線,以及用來使這些層互相連接及連接至底下任何電晶體的各種互連。一般而言,作為製程之一部份,形成通孔或接觸孔,其係轉移至另一層然後填滿金屬以形成互連,使得各層的電路相互電氣通訊。形成互連的先前技術方法大致依賴一系列的微影及蝕刻步驟以定義通孔的位置及尺寸,接著定義對應互連的位置及尺寸。為此目的,可使用光阻及硬遮罩。不過,用習知用於量產之光學微影技術(例如,193奈米乾式及浸潤式微影技術)所形成之特徵的尺寸已到達微影工具的解析度極 限。
製作有較小關鍵尺寸(CD)、較緊間距及較佳關鍵尺寸均勻度的通孔為未來技術節點的主要挑戰之一;不過,用習知光學微影印製超越22奈米節點的此類通孔圖案預料會有困難,即使用昂貴複雜的雙圖案化製程、解析度增強技術(運算型微影技術)以及嚴格的佈局設計限制亦是如此。可惜,似乎還沒有具有較高解析能力的替代性非光學微影技術(例如電子束微影技術或極紫外線微影技術(EUV))在不久的未來準備好用於量產。儘管電子束直寫(direct write)微影技術有極高的解析度,然而它是直寫技術而且無法達到使得量產可行的必要晶圓產量水平。EUV微影工具已開發數年;不過,與光源、收聚鏡(collection optic)、遮罩及阻劑有關的許多挑戰仍然存在而且可能使EUV微影技術的任何實際具體實施延遲數年。除了上述與製造通孔或接觸有關之製程的問題及限制以外,也應瞭解存在與在諸層內製造積體電路有關的類似挑戰。
嵌段共聚物(BCP)圖案化由於有可能解決製作有較小尺寸之圖案的問題而已引人注意。在合適的條件下,此類共聚物相的嵌段分成數個微域(也被稱為“微相分離域”或“域”)以減少總自由能,以及在過程中,形成有不同化學成分的奈米級特徵。嵌段共聚物能夠形成此類特徵故建議它們使用於奈米圖案化,達到可形成有較小關鍵尺寸的特徵之程度,這應該能夠構造出用習知微影技術難以印製的特徵。不過,在沒有來自基板的任何引導下,自組裝嵌段共聚物薄膜中的微域通常在空間上沒有對齊或對準。
為了解決空間對齊及對準的問題,已有人使用定向自組裝(DSA)。這個方法是組合自組裝與以微影定義之基板的數個方面以控制某些自組裝BCP域的空間排列。DSA技術之一為圖形磊晶技術(graphoepitaxy),其中用預先予以微影圖案化之基板的地形特徵來引導自組裝。BCP圖形磊晶技術提供次微影(sub-lithographic),特徵尺寸比預圖案(prepattern)本身小的自組裝特徵。DSA目前認為可用來在層內製造互連(例如,使用圖形磊晶方向)與積體電路(例如,使用化學磊晶技術(chemoepitaxy))。
本揭示內容的具體實施例針對在設計積體電路(IC)時用以定向自組裝製程/鄰近校正(DSA PC)之方法。在設計積體電路時做定向自組裝製程/鄰近校正的目的是要預測DSA定向圖案造成在用於生產積體電路之矽晶圓上產生所欲DSA圖案的形狀(例如,局限阱(confinement well)在圖形磊晶或化學磊晶預圖案中的形狀)。DSA PC在本技術領域也被稱作DSA反問題的解。
DSA PC的各種方法為本技術領域所習知。在一個實施例中,H.-S.Philip Wong等人揭示一種解決DSA PC問題的實驗方法,其係針對用DSA圖形磊晶技術圖案化之接觸孔的特殊情形。(參考“Block Copolymer Directed Self-Assembly Enables Sublithographic Patterning for Device Fabrication”,它是SPIE先進微影技術2012研討會的口頭報告,及發表於SPIE先進微影技術2012研討會論文集)。此一方法需要建立“符號集”,即小接觸孔陣列的集合,在此每個陣列是用有特定形狀的局限阱進行圖案化。藉由以實驗方式進行參數研究來設計每個局限阱的形狀。對於來自此符號集的每個接觸孔陣列,該研究需要圖案化局限阱的 參數化家族,在每個阱中進行DSA,測量DSA製程的結果以及確定造成所欲接觸孔布置的參數範圍。
不過,此先前技術方法使IC設計限制於預校準接觸孔陣列的有限集合,而且只在圖形磊晶技術的背景下。此外,此一方法需要執行一大堆的實驗測量值。對於相對小的參數集,只要做求解所需的實驗參數化/校準,以及只在有限的範圍內改變。
在另一實施例中,Chi-Chun Liu等人揭示一種求解圖形磊晶技術之DSA PC問題的計算方法。(參考SPIE先進微影技術2012研討會論文集的“Progress towards the integration of optical proximity correction and directed self-assembly of block copolymers with graphoepitaxy”)。在此方法中,需要複雜的迭代程序以解開問題。此外,該方法必須與光學鄰近校正(OPC)技術耦合,導致計算成本更高。不過,如同以上所揭示的先前技術實施例,此實施例限於接觸孔陣列,以及只在圖形磊晶技術的背景下。此外,此方法由於本質上是迭代的而有較高的計算成本。
同樣地,本技術領域亟須有成本效益的簡單方法用於定向自組裝製程/鄰近校正以克服先前技術方法所遭遇的問題。此外,由以下本發明專利標的及隨附申請專利範圍結合附圖及本發明專利標的之背景的詳細說明可明白本發明專利標的其他合意特徵及特性。
揭示設計積體電路時用以定向自組裝製程/鄰近校正的方法。在一示範具體實施例中,一種製造積體電路之方法,其係包含下列步驟:設計光學光罩用於形成預圖案開口於半導體 基板上的光阻層中,其中該光阻層及該預圖案開口塗上經受定向自組裝(DSA)的自組裝材料以形成DSA圖案。設計該光學光罩的步驟包括:使用計算系統,輸入DSA目標圖案,以及使用該計算系統,應用DSA模型於該DSA目標圖案以產生第一DSA定向圖案。此外,設計該光學光罩的步驟包括:使用該計算系統,計算該DSA目標圖案與該DSA定向圖案之間的殘差,以及使用該計算系統,應用該DSA模型於該第一DSA定向圖案及該殘差以產生第二更新DSA定向圖案。產生該第二更新DSA定向圖案的步驟包括線性化自洽場理論方程式。
在另一示範具體實施例中,一種製造積體電路之方法包括:設計光學光罩用於形成預圖案開口於半導體基板上的光阻層中,其中,該光阻層及該預圖案開口塗上經受定向自組裝(DSA)的自組裝材料以形成DSA圖案。設計該光學光罩的步驟包括:使用計算系統,輸入DSA目標圖案,以及使用該計算系統,應用DSA模型於該DSA目標圖案以產生第一DSA定向圖案。此外,設計該光學光罩的步驟包括:使用該計算系統,計算該DSA目標圖案與該DSA定向圖案之間的殘差,以及使用該計算系統,計算該殘差的成本函數。更進一步,如果該殘差大於預定值,設計該光學光罩的步驟包括:使用計算系統,應用該DSA模型於該第一DSA定向圖案及該殘差以產生第二更新DSA定向圖案。產生該第二更新DSA定向圖案的步驟包括線性化自洽場理論方程式。
本【發明內容】以簡化形式介紹的精選概念會詳述於【實施方式】。本【發明內容】並非旨在識別所主張之標的的關 鍵特徵或基本特徵,也不希望被用來做為決定本發明範疇的輔助內容。
100‧‧‧計算系統
101‧‧‧處理器
102‧‧‧系統匯流排
103‧‧‧作業系統
104‧‧‧應用程式
105‧‧‧唯讀記憶體(“ROM”)
106‧‧‧隨機存取記憶體(“RAM”)
107‧‧‧磁碟配接器
108‧‧‧磁碟單元
109‧‧‧通訊配接器
110‧‧‧使用者介面配接器
111‧‧‧顯示配接器
112‧‧‧鍵盤
113‧‧‧滑鼠
114‧‧‧揚聲器
115‧‧‧顯示監視器
由以下結合附圖的詳細說明可更容易地了解本揭示內容的各個方面。
第1圖示意圖示根據一些實施例配置而成的計算系統之方塊圖。
以下的詳細說明在本質上只是用來示範說明而不是用來限制本發明或本發明的應用及用途。本文使用“示範”的意思是“用來作為例子、實例或圖解說明”。因此,任何描述於本文的“示範”具體實施例不應被認為它比其他具體實施例更佳或有利。所有描述於下文的具體實施例都是要讓熟諳此技術領域者能夠製造或使用本發明的示範具體實施例而不是限制申請專利範圍所界定的本發明範疇。此外,希望不受【發明所屬之技術領域】、【先前技術】、【發明內容】或【實施方式】中所明示或暗示的理論約束。
本發明為一種方法,其係設計用以在基板上之光阻層中形成預圖案開口的光學光罩,其中該光阻層與該預圖案開口塗上經受定向自組裝以形成定向自組裝圖案的自組裝材料。如本文所使用的,用語“DSA目標圖案”係指要用自組裝材料形成的所欲定向自組裝圖案。用語“DSA定向圖案”係指形成於光阻層中的預圖案開口,該自組裝材料係沉積於該光阻層上。
為了簡潔,在此不詳述與半導體裝置製造有關的習 知技術。此外,描述於本文的各種任務及製程步驟可加入有未詳述於本文之額外步驟或機能的更廣泛程序或製程。特別是,在DSA方案的背景下,與沉積及圖案化光阻遮罩以及沉積自組裝材料有關的各種製程步驟為眾所周知,同樣地,為了簡潔起見,在此只簡要地描述該等步驟或完全省略而不提供眾所周知的製程細節。
本揭示內容大致有關於在設計積體電路時用於定向自組裝製程/鄰近校正的方法。DSA PC(定向自組裝製程/鄰近校正)為一種演算法,其輸入為需要用DSA製程製成的DSA目標圖案,以及輸出為DSA定向圖案(例如,化學磊晶預圖案或圖形磊晶局限阱)。該DSA PC演算法使用一種DSA模型,其係考慮到DSA製造圖案在給定DSA定向圖案下的計算。可用以下類似MATLAB的偽代碼展現泛用DSA PC演算法的一個實施例:
在此實施例中,DSAPC()函數的輸入為DSA模型、DSA目標圖案、及DSA PC演算法的參數,以及輸出為DSA定向圖案。在此函數內,以迭代方式產生DSA定向圖案。圖案在第3 行初始化,以及在迭代循環(第5至24行)內運行迭代。在此循環的每個通過(pass)(每次迭代),首先應用DSA模型於當前定向圖案(第7行)以便計算殘差(第10行,對應至給定定向圖案的DSA圖案與目標DSA圖案的差異)。取決於某一成本函數的數值,以及取決於此殘差(第13至23行),如果殘差的範數充分小的話,當前定向圖案便當作DSAPC()函數(第14至15行)的輸出來輸出,否則予以更新(第17行)供下一個迭代用以便進一步減少對應至殘差的成本函數值。
用語“目標DSA圖案”在此用來表示想要用DSA製程製作於晶圓上的圖案。例如,該等圖案可包含線條與空間,孤立線條,接觸孔,及IC製造所需的其他特徵。可指定目標DSA圖案為一組多邊形或一組平滑曲線。如本文所使用者,t表示包含描述目標DSA圖案之所有參數的陣列。例如,如果DSA PC演算法使用多邊形目標圖案,t可為包含目標圖案之所有多邊形之頂點之x、y座標的陣列。如果DSA PC演算法的目標圖案表示法為平滑曲線,其中每條曲線屬於某一有限維家族(例如,三次樣條),則陣列t係由描述所有目標圖案曲線的所有參數組成。
如用於本文者,d表示包含描述“DSA定向圖案”之所有參數的陣列,此圖案是要應用如上述用以在基板上之光阻層中形成預圖案開口的光罩,其中光阻層與預圖案開口塗上經受定向自組裝以形成定向自組裝圖案的自組裝材料。與目標圖案類似,定向圖案可為一組多邊形或一組平滑曲線。
在計算模擬時,為了計算DSA圖案的邊緣位置,應用一種DSA模型。DSA模型的一個中間輸出可為嵌段共聚物(BCP) 熔體或BCP溶液的兩相密度分布,ρ A (x)與ρ B (x),在此x為空間座標x、y、z。藉由應用蝕刻模型於ρ A (x)及ρ B (x)分布,可得到由DSA製程之蝕刻步驟產生的DSA特徵之邊緣的形狀。與上述定向及目標圖案的參數化類似,如本文所使用者,p表示包含描述應用DSA模型所產生之DSA圖案之所有參數的陣列。
用D表示DSA模型,可寫出:(1)p=D(d)。
雖然方程式(1)可用作DSA模型的符號記法,然而目前已知的DSA模型不提供DSA圖案參數p作為定向圖案參數d的顯函數(explicit function)。已知DSA模型的更逼真形式表達,例如,可為內隱DSA模型:(1’)D(i) (p,d)=0,在此D(i) (p,d)為給定函數或一組泛函(functionals)。本技術領域習知目前所用的DSA模型甚至用變分DSA模型更詳細地表達:(1’’)找出ρ 使得D(v) (ρ ,d)穩定,然後計算p=p(ρ ),在此D(v) (ρ ,d)為給定純量函數,例如BCP熔體的自由能,ρ 為BCP參數(例如,BCP相的密度,ρ A (x)與ρ B (x),BCP鏈的傳播子,或自洽場公式中的場勢)。在找到對應至給定定向圖案d的BCP參數ρ 之均衡值後,應用處理及蝕刻模型p=p(ρ ),可從該等均衡值算出DSA圖案參數p。
解決出自方程式(1’’)之變分問題集的常見方法是藉由使D(v) (ρ ,d)對於未知數ρ 的偏導數等於零來找到D(v) (ρ ,d)的穩定點。此法使方程式(1’’)縮減成方程式(1’),其中: 除了關係式p=p(ρ )以外。
定義於方程式(1”)的變分DSA模型之一個特殊實施例為自洽場理論(Self-Consistent Field Theory;SCFT)。SCFT為一種DSA模型,其係基於找到以下SCFT哈密爾頓函數(SCFT Hamiltonian)的穩定點,其係定義成自洽場的泛函:
在此wA =wA (x)與wB =wB (x)為各自作用於雙嵌段共聚物之相A及B的未知自洽場(勢),n為聚合物分子的總數以及ρ 0 為恆定總密度參數。
上述哈密爾頓函數(H)假設BCP熔體可壓縮,在此用二次懲罰項0.5κ(ρ A +ρ B +ρ w -ρ 0 )2 模擬壓縮率,在此κ為壓縮率參數,ρ w =ρ w (x,d)為局限壁的密度式化學磊晶預圖案刷子或墊子的密度,空間座標x與定向圖案參數d的給定函數,以及ρ K =ρ K [wA ,wB ],在此K為A或者是B(BCP相A及B的密度),其係自洽場的已知非局部函數。參數χ AB 為以BCP相之相互作用為特徵的弗洛里參數(Flory parameter),χ w =(χ wB -χ wA )/2與χ w =(χ wA +χ wB )/2為似弗洛里參數,在此χ wK (K=A或B)以BCP相與壁材料的相互作用為特徵。Q[wA ,wB ]為單一高分子鏈配分函數,其係自洽場的已知泛函。
用平均場逼近,需要尋找提供SCFT哈密爾頓函數之鞍點的自洽場。對於給定勢場中之雙嵌段BCP的傳播子,解佛 客-普朗克反應擴散偏微分方程式,找到BCP相密度對於場的非局部相依性。例如,在牛津大學出版社(2006)由Fredrickson,G.H.著作的The Equilibrium Theory of Inhomogeneous Polymers可找到與這些偏微分方程式有關的細節。
基於哈密爾頓函數的SCFT模型用來模擬局限阱中可壓縮之BCP熔體的圖形磊晶DSA結果。此一模型也可用來模擬化學磊晶DSA,使用ρ w (x,d)表示聚合物刷子或交聯聚合物墊子的密度。
使SCFT哈密爾頓函數對於SCFT勢場wA 及wB 的變量等於零以及組合所得之兩個穩定(鞍點)條件產生以下非線性及非局部SCFT方程式:
在典型的應用中,給出定向圖案d的參數與局限壁或化學磊晶預圖案刷子或墊子的對應密度ρ w (x,d),以迭代方式解相對於未知函數wA =wA (x)及wB =wB (x)的方程式。在找到解後,由佛客-普朗克反應擴散偏微分方程式對於給定SCFT勢場中之雙嵌段BCP之傳播子的解,可找到BCP密度ρ K =ρ K [wA ,wB ]的均衡分布,在此K為A或者B,如Fredrickson(2006)所述。
有些DSA方法進一步使用成本函數。成本函數C=C(p)為純量函數,其係量化對應至參數p陣列之實際DSA圖案與對應至參數t陣列之目標圖案之間的鄰近度。由於目標圖案t常常是固定的,所以成本函數的標記系統平常省略掉它。
例如,定義成本函數可首先計算DSA圖案與目標圖案在位於目標圖案邊緣之一組預定義評估點的邊緣布置誤差(EPE),然後計算成本函數值為評估於這些預定義評估點之EPE的平方和。在DSAPC()函數的上述示範代碼中,可認為第10行所計算的殘差陣列/結構是由評估於該等評估點之EPE值組成的陣列。可認為函數cost_function()是計算這些EPE值之平方和之成本函數的實作。
DSA成本函數的另一實施例是基於給定蝕刻製程去保護函數E之數值的成本函數,它是在DSA目標特徵的邊緣評估。去保護函數為BCP密度、ρ A (x)及ρ B (x)的函數E=E(ρ A ,ρ B ),使得表面E=0表示DSA圖案的邊緣(用陣列p參數化)。該蝕刻製程去保護函數取決於蝕刻製程的參數。
根據此定義,如果蝕刻製程去保護函數在目標圖案的所有邊緣(而且只在邊緣)等於零以及滿足某些“極性”條件,則DSA圖案與目標圖案完全符合。
去保護函數在目標特徵邊緣之數值的一些範數可用作成本函數。例如,可評估去保護函數在置於目標特徵邊緣上之預定義評估點集合的數值,以及成本函數值可為這些數值的平方和。
在DSA製程的實際實作中,此處理的各種參數會經歷在標稱值附近的隨機變量。這些變量會造成DSA製程的結果偏離基於所有DSA製程參數之標稱值的預期值,導致最終DSA結果的隨機誤差。經受隨機變量的DSA製程參數實施例為用來製造定向圖案之光學微影製程的劑量與焦點,BCP退火製程的參數(例 如,最大溫度或退火時間),以及BCP旋塗製程中影響BCP膜厚的參數,等等。
為了減少這種隨機誤差,要求從DSA PC演算法得到產生最穩定之DSA製程的解是有利的,亦即,DSA製程對於參數的上述隨機處理變量最不敏感。
這種DSA PC演算法的一個方法可基於把DSA PC演算法的成本函數改成含有增加其數值的項,用於較敏感之DSA製程。例如,為了減少DSA PC結果對於BCP膜厚變量的敏感性,上述成本函數可包含評估BCP膜厚以標稱值為中心之數個數值的殘差向量之平方和。
在以上所引進的符號中,用DSA PC演算法解答的問題可用公式表示為以下的約束最佳化問題:(2)找到d使得C(p)為最小,以及約束條件為p=D(d)與M(d)0。
在此,可製造性約束M(d)0受強制限制條件支配而考慮到用於製造定向圖案之製程的限制。例如,如果光學微影製程用來製造定向圖案,該等約束可包含定向圖案特徵間的最小可能距離以及定向圖案之特徵的最小尺寸、等等。
解上述問題的一個實用方法是減化為使用懲罰方法/懲罰函數方法的無約束最佳化問題。例如,對於上述可製造性約束,懲罰函數可為:(3)G(d)=max(0,M(d))2
用給定正懲罰係數s線性組合懲罰函數,以及加到原始成本函數,而產生無約束最小化問題如下:(4)找到d使得F(d)為最小, 在此給出經修改之成本函數:(5)F(d)=C(D(d))+sT G(d)。
懲罰係數s>0的角色是違反可製造性約束的懲罰加權因子。如果以迭代方式解問題,可一個迭代接一個地遞增這些懲罰係數,確保可製造性約束在迭代收歛後滿足充分的精度。
鑑於以上說明,本揭示內容的具體實施例針對DSAPC演算法的新穎方法以及有效評估該等演算法所需成本函數之偏導數的方法。
為了表達該等方法,會使用DSA PC問題的公式(4),其係將原始約束最佳化DSA PC問題(2)減化成無約束最佳化問題。這不會限制提出方法的一般性。假使如果更一般的公式(2)為較佳,以下所描述的迭代方法會要求以其他方式考慮到約束條件,例如用射影算子(projection)。
本文揭示解DSA PC問題(2)或(4-5)的迭代方法,其係利用成本函數對於定向圖案d之參數的一階或更高階偏導數的智識或估計值。
在迭代DSA PC方法的每個步驟,已知來自前一個nth 迭代的定向圖案參數值d(n) 。基於該等數值以及成本函數及其導數的數值,更新這些參數的數值:d(n+1) =S(d(n) ,F,Fd (1) ,Fd (2) ,…,Fd (N) ),在此Fd (i) 表示成本函數(5)對於定向圖案參數d的所有第i階偏導數。特別是,Fd (1) 為成本函數的梯度,以及Fd (2) 為它的海森矩陣(Hessian)。
以下詳述基於導數之迭代DSA PC方法的數個重要 家族。
在一個實施例中,可使用“最陡下降”或梯度下降數學解方法。例如,參考Jan A.Snyman(2005)的著作:Practical Mathematical Optimization:An Introduction to Basic Optimization Theory and Classical and New Gradient-Based Algorithms。這些方法使用成本函數的梯度以便更新定向圖案參數d的數值。在此迭代演算法的每個步驟,更新方向與成本函數之梯度Fd (1) 相反的d值,以便確保收歛至最小值:d(n+1) =d(n) -tn Fd (1) (d(n) ),在此tn 為方法的參數(通常為正值)。
這些泛用最佳化方法使用成本函數的梯度及海森矩陣因而大致更快地收歛至成本函數的(局部)最小值。若是DSA PC演算法,牛頓迭代的每個步驟可寫成:d(n+1) =d(n) -tn (Fd (2) (d(n) ))-1 Fd (1) (d(n) ),在此tn 為方法的參數(通常為正值)以及(Fd (2) (d(n) ))-1 為成本函數之海森矩陣的逆(inverse),其係評估nth 迭代的定向圖案d(n)
描述於本文的各種改良及修改可用來解DSA PC問題。在一個實施例中,擬牛頓法可用來實現可與牛頓迭代相比的收歛,而不會在每個迭代以外顯方式評估海森矩陣的逆(Fd (2) (d(n) ))-1 。例如,參考Fletcher,Roger(1987)的著作:Practical methods of optimization(第二版)。在基於導數之迭代最佳化方法的另一實施例中,可使用共軛梯度法。例如,參考Knyazev,Andrew V.;Lashuk,Ilya(2008):Steepest Descent and Conjugate Gradient Methods with Variable Preconditioning.SIAM Journal on Matrix Analysis and Applications 29(4):1267。
由於以上所定義的成本函數都基於某一非線性DSA殘差的平方和,所以用於非線性最小平方問題的許多方法可用於DSA PC。特別是,可使用高斯牛頓演算法與雷文柏格-馬括特演算法。例如,參考Fletcher(1987),supra;Jose Pujol(2007)的著作:The solution of nonlinear inverse problems and the Levenberg-Marquardt method.Geophysics(SEG)72(4)。
在另一具體實施例中,可用SCFT方程式的線性化來發現DSA PC問題的解,如上述。這種求解方法可稱為“快速”DSA模型,因為該等方程式的線性化允許明顯地減少計算時間。例如,典型迭代DSA PC演算法需要多次評估演算法的成本函數以及對於設計參數d(梯度及海森矩陣)的一階及二階導數。如上述,評估成本函數需要應用DSA模型至少一次。用有限差分計算成本函數的一階及二階導數需要多次評估DSA模型。也應注意,實務上目前在使用的DSA模型為內隱模型,例如以上的方程式(1’)及(1’’)。結果,DSA的單一應用需要非線性方程組的迭代解。
為了改善DSA PC演算法的計算效能,目前所述的具體實施例提供快速有可能近似的DSA模型。同樣地,揭示一種基於上述SCFT方程式之線性化的快速DSA模型。該方程組由用於兩個未知SCFT場wA =wA (x)及wB =wB (x)的兩個非線性及非局部方程式組成,其中非線性及非局部性是由BCP密度ρ K =ρ K [wA ,wB ]對於該等場的非線性及非局部相依性引起,在此K為A或者是B。為了由SCFT場的給定分布找出BCP密度,需要解兩
個聯立反應擴散偏微分方程式(描述於Fredrickson(2006)的佛客-普朗克方程式,以及Takahashi,H.等人進一步描述於Defectivity in Laterally Confined Lamella-Forming Diblock Copolymers:Thermodynamic and Kinetic Aspects,Macromolecules,45(15),6553-6265(2012))。此相依性也可大約線性化成如下:
在此為密度的組合向量,為未知SCFT場wA =wA (x)及wB =wB (x)的組合向量,為作用於場之向量的組合線性算子,其中K AA ,K AB ,K BA ,K BB 為作用於指示之SCFT場的逼近線性算子。
如前述,使用SCFT方程式的近似線性化,結果為線性化的SCFT方程組:Lw=r(d)。如果算子L可求逆,對應至設計參數d之給定向量的SCFT相密度分布可寫成:ρ=ρ (0) +K L -1 r(d)
在另一具體實施例中,以有限差分公式為基礎用於對定向參數d之偏導數的方法係應用於成本函數。此方法的一個缺點是對於不同的d值,它需要多次評估成本函數。由於每個這種評估需要內隱DSA模型(例如,(1’)或(1’’))的解,因此使用有限差分公式是計算昂貴的。
在另一具體實施例中,伴隨方程法(adjoint equation method)提供算出成本函數對於定向參數d之偏導數的計算有效率方法。例如,參考Austen G.Duffy的著作:An Introduction to Gradient Computation by the Discrete Adjoint Method,Technical report,Florida State University(2009),可得自http://computationalmathematics.org/topics/files/adjointtechreport.pdf;Michael B.Giles與Niles A.Pierce的著作:An Introduction to the Adjoint Approach to Design,Flow,Turbulence and Combustion,65(3-4):393-415,2000。其計算複雜度意味著找出伴隨內隱DSA模型(1’),(1’’)之問題的單解。解伴隨問題的計算複雜度預料大致與原始內隱DSA問題(1’)或(1’’)的相同。儘管如此,此法提供以有限差分公式為基礎用於成本函數之方法的具吸引力之替代方案,因為後者需要多次求解內隱DSA問題(1’)或(1’’)。
按照伴隨方程法的表達法,各種具體實施例應用伴隨方程法來計算DSA PC成本函數的導數。在一個實施例中,這些方法可基於或使用解析形式的伴隨方程(例如,佛客-普朗克偏微分方程式用於DSA自洽場理論模型的伴隨方程)。在另一實施例中,該等方法可基於衍生自DSA模型之離散形式的伴隨方程。
此外,用SCFT方程式的線性化可進行成本函數導數的評估。SCFT方程式的線性化形式(2.1.4.4)在DSA PC演算法中可用來評估成本函數的導數。典型成本函數,例如上式(4),為BCP相密度的泛函,接著其係取決於設計參數d,表示如下:F(d)=C(ρ )+sT G(d),在此ρ =D(d)。G(d)項是代表由給定約束所致的懲罰,以及以外顯方式提供它和其一階及二階導數Gd (1) 及Gd (2) (可以外顯方式評估)。取決於DSA模型解之項的導數可用上述線性化SCFT模型評估,如下:
本揭示內容的具體實施例可有利地實作於電腦系統 上。第1圖的方塊圖示意圖示根據一些實施例來配置的計算系統100。電腦系統100也代表本揭示內容的硬體環境。例如,電腦系統100可具有用系統匯流排102耦合至各種其他組件的處理器101。
請參考第1圖,作業系統103可在處理器101上運行,以及提供控制及協調第1圖之各種組件的功能。根據本揭示內容實施例之原理的應用程式104可與作業系統103結合執行,以及提供呼叫及/或指令給作業系統103,在此呼叫/指令係實施將由應用程式104完成的各種功能或服務。
請參考第1圖,唯讀記憶體(“ROM”)105可耦合至系統匯流排102,以及可包含可控制電腦裝置100之某些基本功能的基本輸入/輸出系統(“BIOS”)。隨機存取記憶體(“RAM”)106及磁碟配接器107也可耦合至系統匯流排102。應注意,軟體組件,包括作業系統103及應用程式104,可載入RAM 106中,它可為電腦系統供執行用的主記憶體。可提供磁碟配接器107,它可為整合電子驅動界面(“IDE”)或並行進階技術連接(“PATA”)配接器,串列進階技術連接(“SATA”)配接器,小電腦系統介面(“SCSI”)配接器,通用串列匯流排(“USB”)配接器,IEEE 1394配接器,或與磁碟單元108(例如,磁盤驅動器)通訊的任何其他適當配接器。
請參考第1圖,電腦系統100更可包含耦合至匯流排102的通訊配接器109。通訊配接器109可使匯流排102與外部網路(未圖示)互連而藉此促進電腦系統100與其他相同及/或不同的裝置通訊。
輸入/輸出(“I/O”)裝置也可經由使用者介面配接器110以及顯示配接器111連接至電腦系統100。例如,鍵盤112、滑鼠113及揚聲器114可通過使用者介面配接器110互連至匯流排102。通過該等示範裝置中之任一,可提供資料給電腦系統100。顯示監視器115可用顯示配接器111連接至系統匯流排102。在此示範方式中,使用者通過鍵盤112及/或滑鼠113可提供資料或其他資訊給電腦系統100,以及經由顯示器115及/或揚聲器114可得到電腦系統100的輸出。應瞭解,上述具體實施例的計算方面可用處理器101完成,以及與所用演算法有關的資料可存入例如記憶體105或106中。
與先前技術基於模型的OPC方法相比,本發明的特別優勢在於它擴展該等方法至DSA的領域。DSA PC具有OPC沒有的具體特點,例如,為內隱DSA模型(1’),變分DSA模型(1’’),基於在目標特徵邊緣之去保護函數值的成本函數,DSA特定參數之變量考慮到製程敏感度的成本函數。
儘管在本發明專利標的之以上詳細說明中已提出至少一個示範具體實施例,然而應瞭解,仍存在許多變體。也應瞭解,該或該等示範具體實施例只是實施例,而且不希望以任何方式來限定本發明專利標的之範疇、應用性或組構。反而,以上詳細說明是要讓熟諳此技術領域者有個方便的發展藍圖用來具體實作本發明專利標的的示範具體實施例。應瞭解,描述於示範具體實施例的元件功能及配置可做出不同的改變而不脫離如隨附申請專利範圍所述的本發明範疇。此外,應瞭解,在以上詳細說明中提及與定向自組裝有關的所有參考文獻全部明確地併入本文作為 參考資料。
100‧‧‧計算系統
101‧‧‧處理器
102‧‧‧系統匯流排
103‧‧‧作業系統
104‧‧‧應用程式
105‧‧‧唯讀記憶體(“ROM”)
106‧‧‧隨機存取記憶體(“RAM”)
107‧‧‧磁碟配接器
108‧‧‧磁碟單元
109‧‧‧通訊配接器
110‧‧‧使用者介面配接器
111‧‧‧顯示配接器
112‧‧‧鍵盤
113‧‧‧滑鼠
114‧‧‧揚聲器
115‧‧‧顯示監視器

Claims (18)

  1. 一種製造積體電路之方法,係包含:設計光學光罩用於形成預圖案開口於半導體基板上的光阻層中,其中,該光阻層及該預圖案開口塗上經受定向自組裝(DSA)的自組裝材料以形成DSA圖案,以及其中設計該光學光罩之該步驟包括:使用計算系統,輸入DSA目標圖案;使用該計算系統,應用DSA模型於該DSA目標圖案以產生第一DSA定向圖案;使用該計算系統,計算該DSA目標圖案與該DSA定向圖案之間的殘差;以及使用該計算系統,應用該DSA模型於該第一DSA定向圖案及該殘差以產生第二更新DSA定向圖案,其中,產生該第二更新DSA定向圖案包括線性化自洽場理論方程式。
  2. 如申請專利範圍第1項所述之方法,其中,輸入該DSA目標圖案包括:輸入線條及空間圖案、孤立線條圖案或接觸孔圖案中之一或更多。
  3. 如申請專利範圍第1項所述之方法,其中,線性化該自洽場理論方程式包括:線性化兩個聯立非線性、非局部方程式。
  4. 如申請專利範圍第3項所述之方法,其中,線性化該自洽場理論方程式包括線性化下列兩個方程式: 其中,wA =wA (x)與wB =wB (x)為各自作用於嵌段共聚物之相A及B的未知自洽場(勢),ρ 0 為恆定總密度參數,κ為壓縮率參數,ρ w =ρ w (x,d)為局限壁的密度或化學磊晶預圖案刷子或墊子的密度以及為空間座標x與定向圖案參數d的函數,ρ A (x)與ρ B (x)為該嵌段共聚物相的密度以及為wA 與wB 的函數,以及χ AB 為以該嵌段共聚物相之相互作用為特徵的弗洛里參數。
  5. 如申請專利範圍第4項所述之方法,其中,以求解佛客-普朗克反應擴散偏微分方程式來算出ρ Aρ B 的值。
  6. 如申請專利範圍第4項所述之方法,其中,將密度向量ρ 線性近似成:ρρ(0) +Kw ,其中,為密度的組合向量,為未知自洽場理論(SCFT)場wA =wA (x)及wB =wB (x)的組合向量,為作用於場之向量的組合線性算子,其中K AA ,K AB ,K BA ,K BB 為作用於指示之SCFT場的逼近線性算子。
  7. 如申請專利範圍第1項所述之方法,更包括,使用該計算系統,計算該殘差的成本函數。
  8. 如申請專利範圍第7項所述之方法,其中,計算該成本函數包括:至少部份基於計算該DSA定向圖案與該DSA目標圖案在 位於該DSA目標圖案之兩個或更多邊緣的一組預定義評估點的邊緣布置誤差來計算該成本函數。
  9. 如申請專利範圍第7項所述之方法,其中,計算該成本函數包括:至少部份基於蝕刻製程去保護函數的數值來計算該成本函數。
  10. 如申請專利範圍第7項所述之方法,更包括:將該成本函數改成含有增加該成本函數之數值的項,用於越來越敏感的DSA製程。
  11. 如申請專利範圍第7項所述之方法,更包括:計算該成本函數之導數。
  12. 如申請專利範圍第11項所述之方法,其中,計算該成本函數之該導數包括:使用有限差分公式計算該導數,以計算該成本函數對於該第一DSA定向圖案之至少一個參數的偏導數。
  13. 如申請專利範圍第11項所述之方法,其中,計算該成本函數之該導數包括:使用伴隨方程法計算該導數,以計算該成本函數對於該第一DSA定向圖案之至少一個參數的偏導數。
  14. 如申請專利範圍第11項所述之方法,其中,計算該成本函數之該導數包括:應用經線性化的SCFT方程式。
  15. 如申請專利範圍第1項所述之方法,更包括:施加該光學光罩至在該半導體基板上的該光阻層。
  16. 一種製造積體電路之方法,係包含:設計光學光罩用於形成預圖案開口於半導體基板上的光阻層中,其中,該光阻層及該預圖案開口塗上經受定向自組裝(DSA)的自組裝材料以形成DSA圖案,以及其中設計該光學光 罩之該步驟包括:使用計算系統,輸入DSA目標圖案;使用該計算系統,應用DSA模型於該DSA目標圖案以產生第一DSA定向圖案;使用該計算系統,計算該DSA目標圖案與該DSA定向圖案之間的殘差;使用該計算系統,計算該殘差的成本函數;以及如果該殘差大於預定值,使用該計算系統,應用該DSA模型於該第一DSA定向圖案及該殘差以產生第二更新DSA定向圖案,其中產生該第二更新DSA定向圖案包括線性化自洽場理論方程式。
  17. 如申請專利範圍第16項所述之方法,其中,該成本函數之至少一個導數為該成本函數的一階導數。
  18. 如申請專利範圍第16項所述之方法,其中,該成本函數之至少一個導數為該成本函數的二階導數。
TW102131513A 2013-02-22 2013-09-02 定向自組裝製程/鄰近校正之方法 TWI512389B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/774,822 US8667430B1 (en) 2012-10-24 2013-02-22 Methods for directed self-assembly process/proximity correction

Publications (2)

Publication Number Publication Date
TW201433878A TW201433878A (zh) 2014-09-01
TWI512389B true TWI512389B (zh) 2015-12-11

Family

ID=51369575

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102131513A TWI512389B (zh) 2013-02-22 2013-09-02 定向自組裝製程/鄰近校正之方法

Country Status (2)

Country Link
CN (1) CN104008959B (zh)
TW (1) TWI512389B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110209106A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
TW201131695A (en) * 2009-09-29 2011-09-16 Ibm Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102138201B (zh) * 2008-09-01 2014-12-31 D2S公司 用可变形束光刻的光学邻近校正、设计和制造光刻板方法
CN101996398B (zh) * 2009-08-12 2012-07-04 睿励科学仪器(上海)有限公司 用于晶圆对准的图像匹配方法及设备

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201131695A (en) * 2009-09-29 2011-09-16 Ibm Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US20110209106A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography

Also Published As

Publication number Publication date
CN104008959B (zh) 2017-01-18
CN104008959A (zh) 2014-08-27
TW201433878A (zh) 2014-09-01

Similar Documents

Publication Publication Date Title
US8667430B1 (en) Methods for directed self-assembly process/proximity correction
TWI483063B (zh) 定向自組裝製程/鄰近校正之方法
TWI443448B (zh) 用於裝置製造之光罩設計及光學接近修正
TWI512389B (zh) 定向自組裝製程/鄰近校正之方法
US10884333B2 (en) Method of designing lithography features by self-assembly of block copolymer
US9330228B2 (en) Generating guiding patterns for directed self-assembly
US8910098B1 (en) Neighbor-aware edge fragment adjustment for optical proximity correction
US9009634B2 (en) Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US10339260B2 (en) Methodology to generate guiding templates for directed self-assembly
US10067425B2 (en) Correcting EUV crosstalk effects for lithography simulation
Wuister et al. Influence of litho patterning on DSA placement errors
US9798226B2 (en) Pattern optical similarity determination
Latypov Computational solution of inverse directed self-assembly problem
US20130198698A1 (en) Edge fragment correlation determination for optical proximity correction
Constantoudis et al. Effects of resist sidewall morphology on line-edge roughness reduction and transfer during etching: is the resist sidewall after development isotropic or anisotropic?
US9857693B1 (en) Lithography model calibration via cache-based niching genetic algorithms
KR102498694B1 (ko) 전산 리소그래피 마스크 모델과 관련된 전자계를 결정하는 방법
US8881070B1 (en) Optical proximity correction based on edge fragment correlation
US9298870B1 (en) Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
Halle et al. Bayesian dropout approximation in deep learning neural networks: analysis of self-aligned quadruple patterning
US9023730B1 (en) Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
NETWORK PROCESSOR ‘I ROM 1 1 RAM I ADEJ § ¥ ER I ‘COMA'BJA ‘ILQQEONS
Ventzek et al. Etch aware computational patterning in the era of atomic precision processing
Latypov et al. The inverse directed self-assembly problem
Kamon et al. Virtual fabrication using directed self-assembly for process optimization in a 14nm DRAM

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees