CN104008959A - 定向自组装工艺/邻近校正的方法 - Google Patents

定向自组装工艺/邻近校正的方法 Download PDF

Info

Publication number
CN104008959A
CN104008959A CN201410060031.6A CN201410060031A CN104008959A CN 104008959 A CN104008959 A CN 104008959A CN 201410060031 A CN201410060031 A CN 201410060031A CN 104008959 A CN104008959 A CN 104008959A
Authority
CN
China
Prior art keywords
dsa
pattern
cost function
rho
self
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410060031.6A
Other languages
English (en)
Other versions
CN104008959B (zh
Inventor
A·拉特波夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/774,822 external-priority patent/US8667430B1/en
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN104008959A publication Critical patent/CN104008959A/zh
Application granted granted Critical
Publication of CN104008959B publication Critical patent/CN104008959B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明涉及一种定向自组装工艺/邻近校正的方法,其是一种制造集成电路的方法,包含下列步骤:设计光学光罩用于形成预图案开口于在半导体基板上的光阻层中,其中,该光阻层及该预图案开口涂上经受定向自组装DSA以形成DSA图案的自组装材料。设计该光学光罩的步骤包括:使用计算系统,输入DSA目标图案,以及使用该计算系统,应用DSA模型于该DSA目标图案以产生第一DSA定向图案。此外,设计该光学光罩的步骤包括:使用该计算系统,计算该DSA目标图案与该DSA定向图案之间的残差,以及使用该计算系统,应用该DSA模型于该第一DSA定向图案及该残差以产生第二更新DSA定向图案。产生该第二更新DSA定向图案的步骤包括线性化自洽场理论方程式。

Description

定向自组装工艺/邻近校正的方法
相关申请案的交互参照
本申请案为申请于2012年10月24日、标题为“定向自组装工艺/邻近校正的方法(METHODS FOR DIRECTED SELF-ASSEMBLYPROCESS/PROXIMITY CORRECTION)”的美国专利申请案第13/659,453号的部份延续案,其内容全部并入本文作为参考资料。
技术领域
本揭示内容的具体实施例皆涉及制造集成电路的方法。更特别的是,本揭示内容的具体实施例是涉及在设计集成电路(IC)时用以定向自组装工艺/邻近校正(DSA PC)的方法。
背景技术
半导体装置通常包含形成于基板(substrate)上方的电路网。该装置可包含数层的电路配线,以及用来使这些层互相连接及连接至底下任何晶体管的各种互连。一般而言,作为制造工艺的一部份,形成通孔或接触孔,其转移至另一层然后填满金属以形成互连,使得各层的电路相互电气通讯。形成互连的背景技术方法大致依赖一系列的微影及蚀刻步骤以定义通孔的位置及尺寸,接着定义对应互连的位置及尺寸。为此目的,可使用光阻及硬掩模。不过,用习知用于量产的光学微影技术(例如,193纳米干式及浸润式微影技术)所形成的特征的尺寸已到达微影工具的分辨率极限。
制作有较小关键尺寸(CD)、较紧间距及较佳关键尺寸均匀度的通孔为未来技术节点的主要挑战之一;不过,用习知光学微影印制超越22纳米节点的此类通孔图案预料会有困难,即使用昂贵复杂的双图案化工艺、分辨率增强技术(运算型微影技术)以及严格的布局设计限制亦是如此。可惜,似乎还没有具有较高解析能力的替代性非光学微影技术(例如电子束微影技术或极紫外线微影技术(EUV))在不久的未来准备好用于量产。尽管电子束直写(direct write)微影技术有极高的分辨率,然而它是直写技术而且无法达到使得量产可行的必要晶圆产量水平。EUV微影工具已开发数年;不过,与光源、收聚镜(collection optic)、掩模及阻剂有关的许多挑战仍然存在而且可能使EUV微影技术的任何实际具体实施延迟数年。除了上述与制造通孔或接触有关的工艺的问题及限制以外,也应了解存在与在诸层内制造集成电路有关的类似挑战。
嵌段共聚物(BCP)图案化由于有可能解决制作有较小尺寸的图案的问题而已引人注意。在合适的条件下,此类共聚物相的嵌段分成数个微域(也被称为“微相分离域”或“域”)以减少总自由能,以及在过程中,形成有不同化学成分的纳米级特征。嵌段共聚物能够形成此类特征故建议它们使用于纳米图案化,达到可形成有较小关键尺寸的特征的程度,这应该能够构造出用习知微影技术难以印制的特征。不过,在没有来自基板的任何引导下,自组装嵌段共聚物薄膜中的微域通常在空间上没有对齐或对准。
为了解决空间对齐及对准的问题,已有人使用定向自组装(DSA)。这个方法是组合自组装与以微影定义的基板的数个方面以控制某些自组装BCP域的空间排列。DSA技术之一为图形外延技术(graphoepitaxy),其中,用预先予以微影图案化的基板的地形特征来引导自组装。BCP图形外延技术提供次微影(sub-lithographic),特征尺寸比预图案(prepattern)本身小的自组装特征。DSA目前认为可用来在层内制造互连(例如,使用图形外延方向)与集成电路(例如,使用化学外延技术(chemoepitaxy))。
本揭示内容的具体实施例涉及在设计集成电路(IC)时用以定向自组装工艺/邻近校正(DSA PC)的方法。在设计集成电路时做定向自组装工艺/邻近校正的目的是要预测DSA定向图案造成在用于生产集成电路的硅晶圆上产生所欲DSA图案的形状(例如,局限阱(confinement well)在图形外延或化学外延预图案中的形状)。DSA PC在本技术领域也被称作DSA反问题的解。
DSA PC的各种方法为本技术领域所习知。在一个实施例中,H.-S.Philip Wong等人揭示一种解决DSA PC问题的实验方法,其涉及用DSA图形外延技术图案化的接触孔的特殊情形。(参考“Block CopolymerDirected Self-Assembly Enables Sublithographic Patterning forDevice Fabrication”,它是SPIE先进微影技术2012研讨会的口头报告,及发表于SPIE先进微影技术2012研讨会论文集)。此一方法需要建立“符号集”,即小接触孔阵列的集合,在此每个阵列是用有特定形状的局限阱进行图案化。藉由以实验方式进行参数研究来设计每个局限阱的形状。对于来自此符号集的每个接触孔阵列,该研究需要图案化局限阱的参数化家族,在每个阱中进行DSA,测量DSA工艺的结果以及确定造成所欲接触孔布置的参数范围。
不过,此背景技术方法使IC设计限制于预校准接触孔阵列的有限集合,而且只在图形外延技术的背景下。此外,此一方法需要执行一大堆的实验测量值。对于相对小的参数集,只要做求解所需的实验参数化/校准,以及只在有限的范围内改变。
在另一实施例中,Chi-Chun Liu等人揭示一种求解图形外延技术的DSA PC问题的计算方法。(参考SPIE先进微影技术2012研讨会论文集的“Progress towards the integration of optical proximitycorrection and directed self-assembly of block copolymers withgraphoepitaxy”)。在此方法中,需要复杂的迭代程序以解开问题。此外,该方法必须与光学邻近校正(OPC)技术耦合,导致计算成本更高。不过,如同以上所揭示的背景技术实施例,此实施例限于接触孔阵列,以及只在图形外延技术的背景下。此外,此方法由于本质上是迭代的而有较高的计算成本。
同样地,本技术领域亟须有成本效益的简单方法用于定向自组装工艺/邻近校正以克服背景技术方法所遭遇的问题。此外,由以下本发明专利标的及随附权利要求书结合附图及本发明专利标的的背景的详细说明可明白本发明专利标的其它合意特征及特性。
发明内容
揭示设计集成电路时用以定向自组装工艺/邻近校正的方法。在一示范具体实施例中,一种制造集成电路的方法,其包含下列步骤:设计光学光罩用于形成预图案开口于半导体基板上的光阻层中,其中,该光阻层及该预图案开口涂上经受定向自组装(DSA)的自组装材料以形成DSA图案。设计该光学光罩的步骤包括:使用计算系统,输入DSA目标图案,以及使用该计算系统,应用DSA模型于该DSA目标图案以产生第一DSA定向图案。此外,设计该光学光罩的步骤包括:使用该计算系统,计算该DSA目标图案与该DSA定向图案之间的残差,以及使用该计算系统,应用该DSA模型于该第一DSA定向图案及该残差以产生第二更新DSA定向图案。产生该第二更新DSA定向图案的步骤包括线性化自洽场理论方程式(self-consistent field theoryequation)。
在另一示范具体实施例中,一种制造集成电路的方法包括:设计光学光罩用于形成预图案开口于半导体基板上的光阻层中,其中,该光阻层及该预图案开口涂上经受定向自组装(DSA)的自组装材料以形成DSA图案。设计该光学光罩的步骤包括:使用计算系统,输入DSA目标图案,以及使用该计算系统,应用DSA模型于该DSA目标图案以产生第一DSA定向图案。此外,设计该光学光罩的步骤包括:使用该计算系统,计算该DSA目标图案与该DSA定向图案之间的残差,以及使用该计算系统,计算该残差的成本函数。更进一步,如果该残差大于预定值,设计该光学光罩的步骤包括:使用计算系统,应用该DSA模型于该第一DSA定向图案及该残差以产生第二更新DSA定向图案。产生该第二更新DSA定向图案的步骤包括线性化自洽场理论方程式。
本【发明内容】以简化形式介绍的精选概念会详述于【具体实施方式】。本【发明内容】并非旨在识别所主张的标的的关键特征或基本特征,也不希望被用来做为决定本发明范畴的辅助内容。
附图说明
由以下结合附图的详细说明将更容易地了解本揭示内容的各个方面,其中:
图1示意图标根据一些实施例配置而成的计算系统的方块图。
主要组件符号说明
100    计算系统
101    处理器
102    系统总线
103    操作系统
104    应用程序
105    只读存储器(“ROM”)
106    随机存取存储器(“RAM”)
107    磁盘配接器
108    磁盘单元
109    通讯配接器
110    使用者接口配接器
111    显示配接器
112    键盘
113    鼠标
114    扬声器
115    显示监视器。
具体实施方式
以下的详细说明在本质上只是用来示范说明而不是用来限制本发明或本发明的应用及用途。本文使用“示范”的意思是“用来作为例子、实例或图解说明”。因此,任何描述于本文的“示范”具体实施例不应被认为它比其它具体实施例更佳或有利。所有描述于下文的具体实施例都是要让熟谙此技术领域者能够制造或使用本发明的示范具体实施例而不是限制权利要求书所界定的本发明范畴。此外,希望不受【技术领域】、【背景技术】、【发明内容】或【具体实施方式】中所明示或暗示的理论约束。
本发明为一种方法,其设计用以在基板上的光阻层中形成预图案开口的光学光罩,其中,该光阻层与该预图案开口涂上经受定向自组装以形成定向自组装图案的自组装材料。如本文所使用的,用语“DSA目标图案”是指要用自组装材料形成的所欲定向自组装图案。用语“DSA定向图案”是指形成于光阻层中的预图案开口,该自组装材料是沉积于该光阻层上。
为了简洁,在此不详述与半导体装置制造有关的习知技术。此外,描述于本文的各种任务及工艺步骤可加入有未详述于本文的额外步骤或机能的更广泛程序或工艺。特别是,在DSA方案的背景下,与沉积及图案化光阻掩模以及沉积自组装材料有关的各种工艺步骤为众所周知,同样地,为了简洁起见,在此只简要地描述所述步骤或完全省略而不提供众所周知的工艺细节。
本揭示内容大致涉及在设计集成电路时用于定向自组装工艺/邻近校正的方法。DSA PC(定向自组装工艺/邻近校正)为一种算法,其输入为需要用DSA工艺制成的DSA目标图案,以及输出为DSA定向图案(例如,化学外延预图案或图形外延局限阱)。该DSA PC算法使用一种DSA模型,其考虑到DSA制造图案在给定DSA定向图案下的计算。可用以下类似MATLAB的伪代码展现泛用DSA PC算法的一个实施例:
在此实施例中,DSAPC()函数的输入为DSA模型、DSA目标图案、及DSA PC算法的参数,以及输出为DSA定向图案。在此函数内,以迭代方式产生DSA定向图案。图案在第3行初始化,以及在迭代循环(第5至24行)内运行迭代。在此循环的每个通过(pass)(每次迭代),首先应用DSA模型于当前定向图案(第7行)以便计算残差(第10行,对应至给定定向图案的DSA图案与目标DSA图案的差异)。取决于某一成本函数的数值,以及取决于此残差(第13至23行),如果残差的范数充分小的话,当前定向图案便当作DSAPC()函数(第14至15行)的输出来输出,否则予以更新(第17行)供下一个迭代用以便进一步减少对应至残差的成本函数值。
用语“目标DSA图案”在此用来表示想要用DSA工艺制作于晶圆上的图案。例如,所述图案可包含线条与空间,孤立线条,接触孔,及IC制造所需的其它特征。可指定目标DSA图案为一组多边形或一组平滑曲线。如本文所使用者,t表示包含描述目标DSA图案的所有参数的阵列。例如,如果DSA PC算法使用多边形目标图案,t可为包含目标图案的所有多边形的顶点的x、y坐标的阵列。如果DSA PC算法的目标图案表示法为平滑曲线,其中,每条曲线属于某一有限维家族(例如,三次样条),则阵列t是由描述所有目标图案曲线的所有参数组成。
如用于本文者,d表示包含描述“DSA定向图案”的所有参数的阵列,此图案是要应用如上述用以在基板上的光阻层中形成预图案开口的光罩,其中,光阻层与预图案开口涂上经受定向自组装以形成定向自组装图案的自组装材料。与目标图案类似,定向图案可为一组多边形或一组平滑曲线。
在计算仿真时,为了计算DSA图案的边缘位置,应用一种DSA模型。DSA模型的一个中间输出可为嵌段共聚物(BCP)熔体或BCP溶液的两相密度分布,ρA(x)与ρB(x),在此x为空间坐标x、y、z。藉由应用蚀刻模型于ρA(x)及ρB(x)分布,可得到由DSA工艺的蚀刻步骤产生的DSA特征的边缘的形状。与上述定向及目标图案的参数化类似,如本文所使用者,p表示包含描述应用DSA模型所产生的DSA图案的所有参数的阵列。
用D表示DSA模型,可写出:
(1)p=D(d)。
虽然方程式(1)可用作DSA模型的符号记法,然而目前已知的DSA模型不提供DSA图案参数p作为定向图案参数d的显函数(explicitfunction)。已知DSA模型的更逼真形式表达,例如,可为内隐DSA模型:
(1’)D(i)(p,d)=0,
在此D(i)(p,d)为给定函数或一组泛函(functionals)。本技术领域习知目前所用的DSA模型甚至用变分DSA模型更详细地表达:
(1”)找出ρ使得D(v)(ρ,d)稳定,然后计算p=p(ρ),
在此D(v)(ρ,d)为给定纯量函数,例如BCP熔体的自由能,ρ为BCP参数(例如,BCP相的密度,ρA(x)与ρB(x),BCP链的传播子,或自洽场公式中的场势)。在找到对应至给定定向图案d的BCP参数ρ的均衡值后,应用处理及蚀刻模型p=p(ρ),可从所述均衡值算出DSA图案参数p。
解决出自方程式(1”)的变分问题集的常见方法是藉由使D(v)(ρ,d)对于未知数ρ的偏导数等于零来找到D(v)(ρ,d)的稳定点。此法使方程式(1”)缩减成方程式(1’),其中:
D ( i ) = D ρ ( v ) ≡ ∂ D ( v ) / ∂ ρ ,
除了关系式p=p(ρ)以外。
定义于方程式(1”)的变分DSA模型的一个特殊实施例为自洽场理论(Self-Consistent Field Theory;SCFT)。SCFT为一种DSA模型,其基于找到以下SCFT哈密尔顿函数(SCFT Hamiltonian)的稳定点,其定义成自洽场的泛函:
H [ w A , w B ] = ρ 0 ∫ dx [ 1 χ AB ( w B - w A 2 ) 2 + ( 2 χ ‾ w χ AB + 2 κ ρ w ρ 0 - 2 κ χ AB + 2 κ ( 1 - ρ w ρ 0 ) ) w A + w B 2 - 1 ( χ AB + 2 κ ) ( w A + w B 2 ) 2 - 2 χ w χ AB ρ w ρ 0 w B - w A 2 ] - n ln Q [ w A , w B ] ,
在此wA=wA(x)与wB=wB(x)为各自作用于双嵌段共聚物的相A及B的未知自洽场(势),n为聚合物分子的总数以及ρ0为恒定总密度参数。
上述哈密尔顿函数(H)假设BCP熔体可压缩,在此用二次惩罚项0.5κ(ρABw0)2仿真压缩率,在此κ为压缩率参数,ρww(x,d)为局限壁的密度或化学外延预图案刷子或垫子的密度,空间坐标x与定向图案参数d的给定函数,以及ρKK[wA,wB],在此K为A或者是B(BCP相A及B的密度),其是自洽场的已知非局部函数。参数χAB为以BCP相的相互作用为特征的弗洛里参数(Floryparameter),χw=(χwBwA)/2与为似弗洛里参数,在此χwK(K=A或B)以BCP相与壁材料的相互作用为特征。Q[wA,wB]为单一高分子链配分函数,其是自洽场的已知泛函。
用平均场逼近,需要寻找提供SCFT哈密尔顿函数的鞍点的自洽场。对于给定势场中的双嵌段BCP的传播子,解佛客-普朗克反应扩散偏微分方程式,找到BCP相密度对于场的非局部相依性。例如,在牛津大学出版社(2006)由Fredrickson,G.H.著作的The Equilibrium Theoryof Inhomogeneous Polymers可找到与这些偏微分方程式有关的细节。
基于哈密尔顿函数的SCFT模型用来仿真局限阱中可压缩的BCP熔体的图形外延DSA结果。此一模型也可用来仿真化学外延DSA,使用ρw(x,d)表示聚合物刷子或交联聚合物垫子的密度。
使SCFT哈密尔顿函数对于SCFT势场wA及wB的变量等于零以及组合所得的两个稳定(鞍点)条件产生以下非线性及非局部SCFT方程式:
ρ A [ w A , w B ] + ρ B [ w A , w B ] - ρ 0 ( χ AB + 2 κ ) ( w A + w B ) = 2 κ χ AB + 2 κ ρ 0 - 2 χ ‾ w + 2 κ χ AB + 2 κ ρ w ( x , d ) ,
ρ B [ w A , w B ] - ρ A [ w A , w B ] + ρ 0 χ AB ( w B - w A ) = 2 χ w χ AB ρ w ( x , d ) ,
在典型的应用中,给出定向图案d的参数与局限壁或化学外延预图案刷子或垫子的对应密度ρw(x,d),以迭代方式解相对于未知函数wA=wA(x)及wB=wB(x)的方程式。在找到解后,由佛客-普朗克反应扩散偏微分方程式对于给定SCFT势场中的双嵌段BCP的传播子的解,可找到BCP密度ρKK[wA,wB]的均衡分布,在此K为A或者B,如Fredrickson(2006)所述。
有些DSA方法进一步使用成本函数。成本函数C=C(p)为纯量函数,其量化对应至参数p阵列的实际DSA图案与对应至参数t阵列的目标图案之间的邻近度。由于目标图案t常常是固定的,所以成本函数的标记系统平常省略掉它。
例如,定义成本函数可首先计算DSA图案与目标图案在位于目标图案边缘的一组预定义评估点的边缘布置误差(EPE),然后计算成本函数值为评估于这些预定义评估点的EPE的平方和。在DSAPC()函数的上述示范代码中,可认为第10行所计算的残差阵列/结构是由评估于所述评估点的EPE值组成的阵列。可认为函数cost_function()是计算这些EPE值的平方和的成本函数的实作。
DSA成本函数的另一实施例是基于给定蚀刻工艺去保护函数E的数值的成本函数,它是在DSA目标特征的边缘评估。去保护函数为BCP密度、ρA(x)及ρB(x)的函数E=E(ρAB),使得表面E=0表示DSA图案的边缘(用阵列p参数化)。该蚀刻工艺去保护函数取决于蚀刻工艺的参数。
根据此定义,如果蚀刻工艺去保护函数在目标图案的所有边缘(而且只在边缘)等于零以及满足某些“极性”条件,则DSA图案与目标图案完全符合。
去保护函数在目标特征边缘的数值的一些范数可用作成本函数。例如,可评估去保护函数在置于目标特征边缘上的预定义评估点集合的数值,以及成本函数值可为这些数值的平方和。
在DSA工艺的实际实作中,此处理的各种参数会经历在标称值附近的随机变量。这些变量会造成DSA工艺的结果偏离基于所有DSA工艺参数的标称值的预期值,导致最终DSA结果的随机误差。经受随机变量的DSA工艺参数实施例为用来制造定向图案的光学微影工艺的剂量与焦点,BCP退火工艺的参数(例如,最大温度或退火时间),以及BCP旋涂工艺中影响BCP膜厚的参数,等等。
为了减少这种随机误差,要求从DSA PC算法得到产生最稳定的DSA工艺的解是有利的,也就是,DSA工艺对于参数的上述随机处理变量最不敏感。
这种DSA PC算法的一个方法可基于把DSA PC算法的成本函数改成含有增加其数值的项,用于较敏感的DSA工艺。例如,为了减少DSAPC结果对于BCP膜厚变量的敏感性,上述成本函数可包含评估BCP膜厚以标称值为中心的数个数值的残差向量的平方和。
在以上所引进的符号中,用DSA PC算法解答的问题可用公式表示为以下的约束最佳化问题:
(2)找到d使得C(p)为最小,以及约束条件为p=D(d)与M(d)≤0。
在此,可制造性约束M(d)≤0受强制限制条件支配而考虑到用于制造定向图案的工艺的限制。例如,如果光学微影工艺用来制造定向图案,所述约束可包含定向图案特征间的最小可能距离以及定向图案的特征的最小尺寸、等等。
解上述问题的一个实用方法是减化为使用惩罚方法/惩罚函数方法的无约束最佳化问题。例如,对于上述可制造性约束,惩罚函数可为:
(3)G(d)=max(0,M(d))2
用给定正惩罚系数s线性组合惩罚函数,以及加到原始成本函数,而产生无约束最小化问题如下:
(4)找到d使得F(d)为最小,
在此给出经修改的成本函数:
(5)F(d)=C(D(d))+sTG(d)。
惩罚系数s>0的角色是违反可制造性约束的惩罚加权因子。如果以迭代方式解问题,可一个迭代接一个地递增这些惩罚系数,确保可制造性约束在迭代收敛后满足充分的精度。
鉴于以上说明,本揭示内容的具体实施例针对DSA PC算法的新颖方法以及有效评估所述算法所需成本函数的偏导数的方法。
为了表达所述方法,会使用DSA PC问题的公式(4),其将原始约束最佳化DSA PC问题(2)减化成无约束最佳化问题。这不会限制提出方法的一般性。假使如果更一般的公式(2)为较佳,以下所描述的迭代方法会要求以其它方式考虑到约束条件,例如用射影算子(projection)。
本文揭示解DSA PC问题(2)或(4–5)的迭代方法,其利用成本函数对于定向图案d的参数的一阶或更高阶偏导数的智识或估计值。
在迭代DSA PC方法的每个步骤,已知来自前一个nth迭代的定向图案参数值d(n)。基于所述数值以及成本函数及其导数的数值,更新这些参数的数值:
d(n+1)=S(d(n),F,Fd (1),Fd (2),…,Fd (N)),
在此Fd (i)表示成本函数(5)对于定向图案参数d的所有第i阶偏导数。特别是,Fd (1)为成本函数的梯度,以及Fd (2)为它的海森矩阵(Hessian)。
以下详述基于导数的迭代DSA PC方法的数个重要家族。
在一个实施例中,可使用“最陡下降”或梯度下降数学解方法。例如,参考Jan A.Snyman(2005)的著作:Practical MathematicalOptimization:An Introduction to Basic Optimization Theory andClassical and New Gradient-Based Algorithms。这些方法使用成本函数的梯度以便更新定向图案参数d的数值。在此迭代算法的每个步骤,更新方向与成本函数的梯度Fd (1)相反的d值,以便确保收敛至最小值:
d(n+1)=d(n)–tnFd (1)(d(n)),
在此tn为方法的参数(通常为正值)。
这些泛用最佳化方法使用成本函数的梯度及海森矩阵因而大致更快地收敛至成本函数的(局部)最小值。若是DSA PC算法,牛顿迭代的每个步骤可写成:
d(n+1)=d(n)–tn(Fd (2)(d(n)))-1Fd (1)(d(n)),
在此tn为方法的参数(通常为正值)以及(Fd (2)(d(n)))-1为成本函数的海森矩阵的逆(inverse),其评估nth迭代的定向图案d(n)
描述于本文的各种改良及修改可用来解DSA PC问题。在一个实施例中,拟牛顿法可用来实现可与牛顿迭代相比的收敛,而不会在每个迭代以外显方式评估海森矩阵的逆(Fd (2)(d(n)))-1。例如,参考Fletcher,Roger(1987)的著作:Practical methods of optimization(第二版)。在基于导数的迭代最佳化方法的另一实施例中,可使用共轭梯度法。例如,参考Knyazev,Andrew V.;Lashuk,Ilya(2008):SteepestDescent and Conjugate Gradient Methods with VariablePreconditioning.SIAM Journal on Matrix Analysis andApplications29(4):1267。
由于以上所定义的成本函数都基于某一非线性DSA残差的平方和,所以用于非线性最小平方问题的许多方法可用于DSA PC。特别是,可使用高斯牛顿算法与雷文柏格-马括特算法。例如,参考Fletcher(1987),supra;Jose Pujol(2007)的著作:The solution ofnonlinear inverse problems and the Levenberg-Marquardt method.Geophysics(SEG)72(4)。
在另一具体实施例中,可用SCFT方程式的线性化来发现DSA PC问题的解,如上述。这种求解方法可称为“快速”DSA模型,因为所述方程式的线性化允许明显地减少计算时间。例如,典型迭代DSA PC算法需要多次评估算法的成本函数以及对于设计参数d(梯度及海森矩阵)的一阶及二阶导数。如上述,评估成本函数需要应用DSA模型至少一次。用有限差分计算成本函数的一阶及二阶导数需要多次评估DSA模型。也应注意,实务上目前在使用的DSA模型为内隐模型,例如以上的方程式(1’)及(1”)。结果,DSA的单一应用需要非线性方程组的迭代解。
为了改善DSA PC算法的计算效能,目前所述的具体实施例提供快速有可能近似的DSA模型。同样地,揭示一种基于上述SCFT方程式的线性化的快速DSA模型。该方程组由用于两个未知SCFT场wA=wA(x)及wB=wB(x)的两个非线性及非局部方程式组成,其中,非线性及非局部性是由BCP密度ρKK[wA,wB]对于所述场的非线性及非局部相依性引起,在此K为A或者是B。为了由SCFT场的给定分布找出BCP密度,需要解两个联立反应扩散偏微分方程式(描述于Fredrickson(2006)的佛客-普朗克方程式,以及Takahashi,H.等人进一步描述于Defectivity in Laterally Confined Lamella-Forming DiblockCopolymers:Thermodynamic and Kinetic Aspects,Macromolecules,45(15),6553-6265(2012))。此相依性也可大约线性化成如下:
ρ≈ρ(0)+Kw
在此 ρ = ρ A ρ B , ρ ( 0 ) = ρ A ( 0 ) ρ B ( 0 ) 为密度的组合向量,
w = w A w B , 为未知SCFT场wA=wA(x)及wB=wB(x)的组合向量,
K = K AA K AB K BA K BB , 为作用于场的向量的组合线性算子,其中
KAA,KAB,KBA,KBB为作用于指示的SCFT场的逼近线性算子。
如前述,使用SCFT方程式的近似线性化,结果为线性化的SCFT方程组:Lw=r(d)。如果算子L可求逆,对应至设计参数d的给定向量的SCFT相密度分布可写成:ρ=ρ(0)+KL-1r(d)。
在另一具体实施例中,以有限差分公式为基础用于对定向参数d的偏导数的方法是应用于成本函数。此方法的一个缺点是对于不同的d值,它需要多次评估成本函数。由于每个这种评估需要内隐DSA模型(例如,(1’)或(1”))的解,因此使用有限差分公式是计算昂贵的。
在另一具体实施例中,伴随方程法(adjoint equation method)提供算出成本函数对于定向参数d的偏导数的计算有效率方法。例如,参考Austen G.Duffy的著作:An Introduction to GradientComputation by the Discrete Adjoint Method,Technical report,Florida State University(2009),可得自http://computationalmathematics.org/topics/files/adjointtechreport.pdf;Michael B.Giles与Niles A.Pierce的著作:AnIntroduction to the Adjoint Approach to Design,Flow,Turbulenceand Combustion,65(3-4):393-415,2000。其计算复杂度意味着找出伴随内隐DSA模型(1’),(1”)的问题的单解。解伴随问题的计算复杂度预料大致与原始内隐DSA问题(1’)或(1”)的相同。尽管如此,此法提供以有限差分公式为基础用于成本函数的方法的具吸引力的替代方案,因为后者需要多次求解内隐DSA问题(1’)或(1”)。
按照伴随方程法的表达法,各种具体实施例应用伴随方程法来计算DSA PC成本函数的导数。在一个实施例中,这些方法可基于或使用解析形式的伴随方程(例如,佛客-普朗克偏微分方程式用于DSA自洽场理论模型的伴随方程)。在另一实施例中,所述方法可基于衍生自DSA模型的离散形式的伴随方程。
此外,用SCFT方程式的线性化可进行成本函数导数的评估。SCFT方程式的线性化形式(2.1.4.4)在DSA PC算法中可用来评估成本函数的导数。典型成本函数,例如上式(4),为BCP相密度的泛函,接着其取决于设计参数d,表示如下:F(d)=C(ρ)+sTG(d),在此ρ=D(d)。G(d)项是代表由给定约束所致的惩罚,以及以外显方式提供它和其一阶及二阶导数Gd (1)及Gd (2)(可以外显方式评估)。取决于DSA模型解的项的导数可用上述线性化SCFT模型评估,如下: C d ( 1 ) = C ρ ( 1 ) ρ d = C ρ ( 1 ) K L - 1 r d ( 1 ) ( d ) .
本揭示内容的具体实施例可有利地实作于计算机系统上。图1的方块图示意图标根据一些实施例来配置的计算系统100。计算机系统100也代表本揭示内容的硬件环境。例如,计算机系统100可具有用系统总线102耦合至各种其它组件的处理器101。
请参考图1,操作系统103可在处理器101上运行,以及提供控制及协调图1的各种组件的功能。根据本揭示内容实施例的原理的应用程序104可与操作系统103结合执行,以及提供呼叫及/或指令给操作系统103,在此呼叫/指令实施将由应用程序104完成的各种功能或服务。
请参考图1,只读存储器(“ROM”)105可耦合至系统总线102,以及可包含可控制计算机装置100的某些基本功能的基本输入/输出系统(“BIOS”)。随机存取存储器(“RAM”)106及磁盘配接器107也可耦合至系统总线102。应注意,软件组件,包括操作系统103及应用程序104,可加载RAM106中,它可为计算机系统供执行用的主存储器。可提供磁盘配接器107,它可为整合电子驱动界面(“IDE”)或并行进阶技术连接(“PATA”)配接器,串行进阶技术连接(“SATA”)配接器,小计算机系统接口(“SCSI”)配接器,通用串行总线(“USB”)配接器,IEEE1394配接器,或与磁盘单元108(例如,磁盘驱动器)通讯的任何其它适当配接器。
请参考图1,计算机系统100进一步可包含耦合至总线102的通讯配接器109。通讯配接器109可使总线102与外部网络(未图标)互连而藉此促进计算机系统100与其它相同及/或不同的装置通讯。
输入/输出(“I/O”)装置也可经由使用者接口配接器110以及显示配接器111连接至计算机系统100。例如,键盘112、鼠标113及扬声器114可通过使用者接口配接器110互连至总线102。通过所述示范装置中的任一,可提供资料给计算机系统100。显示监视器115可用显示配接器111连接至系统总线102。在此示范方式中,使用者通过键盘112及/或鼠标113可提供资料或其它信息给计算机系统100,以及经由显示器115及/或扬声器114可得到计算机系统100的输出。应了解,上述具体实施例的计算方面可用处理器101完成,以及与所用算法有关的资料可存入例如存储器105或106中。
与背景技术基于模型的OPC方法相比,本发明的特别优势在于它扩展所述方法至DSA的领域。DSA PC具有OPC没有的具体特点,例如,为内隐DSA模型(1’),变分DSA模型(1”),基于在目标特征边缘的去保护函数值的成本函数,DSA特定参数的变量考虑到工艺敏感度的成本函数。
尽管在本发明专利标的的以上详细说明中已提出至少一个示范具体实施例,然而应了解,仍存在许多变体。也应了解,该或所述示范具体实施例只是实施例,而且不希望以任何方式来限定本发明专利标的的范畴、应用性或组构。反而,以上详细说明是要让熟谙此技术领域者有个方便的发展蓝图用来具体实作本发明专利标的的示范具体实施例。应了解,描述于示范具体实施例的组件功能及配置可做出不同的改变而不脱离如随附权利要求书所述的本发明范畴。此外,应了解,在以上详细说明中提及与定向自组装有关的所有参考文献全部明确地并入本文作为参考资料。

Claims (18)

1.一种制造集成电路的方法,其包含:
设计光学光罩用于形成预图案开口于半导体基板上的光阻层中,其中,该光阻层及该预图案开口涂上经受定向自组装(DSA)的自组装材料以形成DSA图案,以及其中,设计该光学光罩的该步骤包括:
使用计算系统,输入DSA目标图案;
使用该计算系统,应用DSA模型于该DSA目标图案以产生第一DSA定向图案;
使用该计算系统,计算该DSA目标图案与该DSA定向图案之间的残差;以及
使用该计算系统,应用该DSA模型于该第一DSA定向图案及该残差以产生第二更新DSA定向图案,
其中,产生该第二更新DSA定向图案包括线性化自洽场理论方程式。
2.根据权利要求1所述的方法,其中,输入该DSA目标图案包括:输入线条及空间图案、孤立线条图案或接触孔图案中之一或更多。
3.根据权利要求1所述的方法,其中,线性化该自洽场理论方程式包括:线性化两个联立非线性、非局部方程式。
4.根据权利要求3所述的方法,其中,线性化该自洽场理论方程式包括线性化下列两个方程式:
ρ A [ w A , w B ] + ρ B [ w A , w B ] - ρ 0 ( χ AB + 2 κ ) N ( w A + w B ) = 2 κ χ AB + 2 κ ρ 0 - 2 χ ‾ w + 2 κ χ AB + 2 κ ρ w ( x , d ) ,
ρ B [ w A , w B ] - ρ A [ w A , w B ] + ρ 0 χ AB N ( w B - w A ) = 2 χ w χ AB ρ w ( x , d ) , 在此wA=wA(x)与wB=wB(x)为各自作用于嵌段共聚物的相A及B的未知自洽场(势),ρ0为恒定总密度参数,κ为压缩率参数,ρww(x,d)为局限壁的密度或化学外延预图案刷子或垫子的密度,且是空间坐标x与定向图案参数d的函数,ρA(x)及ρB(x)各自为嵌段共聚物相的密度,且是wA与wB的函数,而χAB为以该嵌段共聚物相的相互作用为特征的弗洛里参数。
5.根据权利要求4所述的方法,其中,以求解佛客-普朗克反应扩散偏微分方程式来算出ρA与ρB的值。
6.根据权利要求4所述的方法,其中,将密度向量ρ线性近似成:ρ≈ρ(0)+Kw,在此 ρ = ρ A ρ B , ρ ( 0 ) = ρ A ( 0 ) ρ B ( 0 ) 为密度的组合向量, w = w A w B , 为未知自洽场理论SCFT场wA=wA(x)及wB=wB(x)的组合向量,
K = K AA K AB K BA K BB , 为作用于场的向量的组合线性算子,其中
KAA,KAB,KBA,KBB为作用于指示的SCFT场的逼近线性算子。
7.根据权利要求1所述的方法,进一步包括,使用该计算系统,计算该残差的成本函数。
8.根据权利要求7所述的方法,其中,计算该成本函数包括:至少部份基于计算该DSA定向图案与该DSA目标图案在位于该DSA目标图案的两个或更多边缘的一组预定义评估点的边缘布置误差来计算该成本函数。
9.根据权利要求7所述的方法,其中,计算该成本函数包括:至少部份基于蚀刻工艺去保护函数的数值来计算该成本函数。
10.根据权利要求7所述的方法,进一步包括:将该成本函数改成含有增加该成本函数的数值的项,用于越来越敏感的DSA工艺。
11.根据权利要求7所述的方法,进一步包括:计算该成本函数的导数。
12.根据权利要求11所述的方法,其中,计算该成本函数的该导数包括:使用有限差分公式计算该导数,以计算该成本函数对于该第一DSA定向图案的至少一个参数的偏导数。
13.根据权利要求11所述的方法,其中,计算该成本函数的该导数包括:使用伴随方程法计算该导数,以计算该成本函数对于该第一DSA定向图案的至少一个参数的偏导数。
14.根据权利要求11所述的方法,其中,计算该成本函数的该导数包括:应用经线性化的SCFT方程式。
15.根据权利要求1所述的方法,进一步包括:施加该光学光罩至在该半导体基板上的该光阻层。
16.一种制造集成电路的方法,其包含:
设计光学光罩用于形成预图案开口于半导体基板上的光阻层中,其中,该光阻层及该预图案开口涂上经受定向自组装DSA的自组装材料以形成DSA图案,以及其中,设计该光学光罩的该步骤包括:
使用计算系统,输入DSA目标图案;
使用该计算系统,应用DSA模型于该DSA目标图案以产生第一DSA定向图案;
使用该计算系统,计算该DSA目标图案与该DSA定向图案之间的残差;
使用该计算系统,计算该残差的成本函数;以及
如果该残差大于预定值,使用该计算系统,应用该DSA模型于该第一DSA定向图案及该残差以产生第二更新DSA定向图案,其中,产生该第二更新DSA定向图案包括线性化自洽场理论方程式。
17.根据权利要求16所述的方法,其中,该成本函数的至少一个导数为该成本函数的一阶导数。
18.根据权利要求16所述的方法,其中,该成本函数的至少一个导数为该成本函数的二阶导数。
CN201410060031.6A 2013-02-22 2014-02-21 定向自组装工艺/邻近校正的方法 Expired - Fee Related CN104008959B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/774,822 2013-02-22
US13/774,822 US8667430B1 (en) 2012-10-24 2013-02-22 Methods for directed self-assembly process/proximity correction

Publications (2)

Publication Number Publication Date
CN104008959A true CN104008959A (zh) 2014-08-27
CN104008959B CN104008959B (zh) 2017-01-18

Family

ID=51369575

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410060031.6A Expired - Fee Related CN104008959B (zh) 2013-02-22 2014-02-21 定向自组装工艺/邻近校正的方法

Country Status (2)

Country Link
CN (1) CN104008959B (zh)
TW (1) TWI512389B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
KR20200130870A (ko) 2018-04-10 2020-11-20 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110069044A (ko) * 2008-09-01 2011-06-22 디2에스, 인코포레이티드 가변 형상 비임 리소그래피를 이용한 레티클의 광 근접 보정, 설계 및 제조 방법
CN101996398B (zh) * 2009-08-12 2012-07-04 睿励科学仪器(上海)有限公司 用于晶圆对准的图像匹配方法及设备
US8202783B2 (en) * 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8336003B2 (en) * 2010-02-19 2012-12-18 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
US10538859B2 (en) * 2010-12-23 2020-01-21 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography

Also Published As

Publication number Publication date
TWI512389B (zh) 2015-12-11
TW201433878A (zh) 2014-09-01
CN104008959B (zh) 2017-01-18

Similar Documents

Publication Publication Date Title
US8667430B1 (en) Methods for directed self-assembly process/proximity correction
US8667428B1 (en) Methods for directed self-assembly process/proximity correction
Matsunawa et al. Optical proximity correction with hierarchical Bayes model
CN104008959A (zh) 定向自组装工艺/邻近校正的方法
KR20010041543A (ko) 플라스마-처리의 표면 프로파일을 예측하는 방법 및 장치
Rana et al. Leveraging advanced data analytics, machine learning, and metrology models to enable critical dimension metrology solutions for advanced integrated circuit nodes
US20230205076A1 (en) Resist and etch modeling
Jhurani et al. Multiscale modeling using goal-oriented adaptivity and numerical homogenization. Part I: Mathematical formulation and numerical results
Bunday et al. CD-SEM metrology for sub-10nm width features
Farrell et al. Calibration and validation of coarse-grained models of atomic systems: application to semiconductor manufacturing
Hannon et al. Optimizing self-consistent field theory block copolymer models with X-ray metrology
US10339260B2 (en) Methodology to generate guiding templates for directed self-assembly
Ghalehbeygi et al. Gradient-based optimization for efficient exposure planning in maskless lithography
Liu et al. New parametric point spread function calibration methodology for improving the accuracy of patterning prediction in electron-beam lithography
Yoshimoto et al. Optimization of directed self-assembly hole shrink process with simplified model
Chopra et al. A method to accelerate creation of plasma etch recipes using physics and Bayesian statistics
Choisnet et al. Critical dimension measurement: from synchrotron small angle x-ray scattering to industrial optical scatterometry techniques
Graves et al. DSA graphoepitaxy calibrations for contact hole multiplication
Jidling et al. Memory efficient constrained optimization of scanning-beam lithography
Jeong et al. Prediction of biases for optical proximity correction through partial coherent identification
Woguia et al. Data fusion by artificial neural network for hybrid metrology development
Halle et al. Bayesian dropout approximation in deep learning neural networks: analysis of self-aligned quadruple patterning
Lai et al. Advanced fast 3D DSA model development and calibration for design technology co-optimization
Nakayamada et al. Electron beam lithographic modeling assisted by artificial intelligence technology
Ghalehbeygi et al. Iterative deconvolution for exposure planning in scanning laser lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170118

Termination date: 20190221

CF01 Termination of patent right due to non-payment of annual fee