JP2014209622A5 - - Google Patents
Download PDFInfo
- Publication number
- JP2014209622A5 JP2014209622A5 JP2014077139A JP2014077139A JP2014209622A5 JP 2014209622 A5 JP2014209622 A5 JP 2014209622A5 JP 2014077139 A JP2014077139 A JP 2014077139A JP 2014077139 A JP2014077139 A JP 2014077139A JP 2014209622 A5 JP2014209622 A5 JP 2014209622A5
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- substrate
- generating gas
- less
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 210000002381 Plasma Anatomy 0.000 claims description 136
- 239000007789 gas Substances 0.000 claims description 51
- 238000005530 etching Methods 0.000 claims description 44
- 239000000758 substrate Substances 0.000 claims description 42
- 238000000034 method Methods 0.000 claims description 37
- 238000006243 chemical reaction Methods 0.000 claims description 27
- 229920002120 photoresistant polymer Polymers 0.000 claims description 23
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 15
- 229920005591 polysilicon Polymers 0.000 claims description 15
- 150000002500 ions Chemical class 0.000 claims description 8
- 230000003647 oxidation Effects 0.000 claims description 6
- 238000007254 oxidation reaction Methods 0.000 claims description 6
- 229910000042 hydrogen bromide Inorganic materials 0.000 claims description 5
- 239000001301 oxygen Substances 0.000 claims description 4
- MYMOFIZGZYHOMD-UHFFFAOYSA-N oxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 claims description 4
- 229910052760 oxygen Inorganic materials 0.000 claims description 4
- 238000002955 isolation Methods 0.000 claims description 3
- 239000000376 reactant Substances 0.000 claims description 2
- 239000000203 mixture Substances 0.000 claims 9
- 230000003252 repetitive Effects 0.000 claims 4
- 238000009499 grossing Methods 0.000 claims 2
- 238000009616 inductively coupled plasma Methods 0.000 claims 2
- 240000007524 Camellia sinensis var. sinensis Species 0.000 claims 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000000463 material Substances 0.000 description 1
Description
様々な実験によって、プラズマグリッドを使用した結果、極めて良好な選択性、プロファイル角、I/Dローディング、中心からエッジへの均一性を伴うエッチングプロセスが得られることが示された。いくつかの例において、選択性(すなわち、Siのエッチング速度:酸化物のエッチング速度)は、約10より大きいか、または約100よりも大きい。実際に、いくつかの例では、プラズマグリッドを用いることで、無限大の選択性が得られる場合がある。このような場合、酸化物材料がエッチングされることは略なく、むしろ、酸化物表面に少量の堆積が生じることがある。多くのケースにおいて得られるプロファイル角は、略垂直(例えば、約89°超)である。いくつかの実現形態において、I/Dローディングは、約2°未満であることが示された。また、いくつかの実現形態における中心からエッジへの均一性は、約2nm未満であった。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
プラズマを処理する方法であって、
反応チャンバ内に基板を受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
プラズマ発生ガスを前記上部サブチャンバに流すステップと、
前記上部サブチャンバ内で、前記プラズマ発生ガスから、第1の電子密度を有する第1のプラズマを発生させ、前記下部サブチャンバ内で、第2のプラズマを発生させるステップであって、前記第2のプラズマが、前記第1の電子密度の約10分の1未満の第2の電子密度を有するイオン−イオンプラズマであるステップと、
前記第2のプラズマを用いて前記基板を処理して、ソースドレインリセスエッチング、FinFETゲートエッチング、ダミーポリシリコン除去、シャロートレンチ・アイソレーション・エッチング、またはフォトレジスト・リフローでのステップを行うステップと
を含む方法。
適用例2:
適用例1の方法であって、
前記第1のプラズマが、約2eV以上の第1の電子温度を有し、前記第2のプラズマが、約1eV以下の第2の実効電子温度を有する、方法。
適用例3:
適用例1または2の方法であって、
前記第2の電子密度が、約5×10 9 cm -3 以下である、方法。
適用例4:
適用例1から3のいずれか一項の方法であって、
前記第2のプラズマ中の負イオン:正イオンの比が、約0.5〜1の間である、方法。
適用例5:
適用例1から4のいずれか一項の方法であって、
ソースドレインリセスをエッチングするために行われ、
前記基板を垂直方向にエッチングするために第1のエッチングプロセスを行って、垂直エッチングフィーチャを形成するステップと、
前記垂直エッチングフィーチャの内部で前記基板を水平方向にエッチングするために、第2のエッチングプロセスを行うステップと、
前記垂直エッチングフィーチャ内部に酸化層を形成するために、酸化プロセスを行うステップと、
前記垂直エッチングフィーチャ内にソースドレインリセスを形成するために前記方法を繰り返すステップと、を含み、
前記第1のエッチングプロセス、第2のエッチングプロセス、および酸化プロセスがすべて、各プロセスでの前記第2のプラズマがイオン−イオンプラズマであるように、前記グリッド構造を有する前記反応チャンバ内で行われる、方法。
適用例6:
適用例5の方法であって、
前記第1のエッチングプロセスが、Cl 2 を含む第1のプラズマ発生ガスを用いて行われ、前記第2のエッチングプロセスが、NF 3 およびCl 2 を含む第2のプラズマ発生ガスを用いて行われ、前記酸化プロセスが、酸素を含む第3のプラズマ発生ガスを用いて行われる、方法。
適用例7:
適用例5の方法であって、
凹角形状を有する垂直エッチングフィーチャを形成するために、前記方法が繰り返される、方法。
適用例8:
適用例1から7のいずれか一項の方法であって、
シャロートレンチ・アイソレーション・エッチングを行うために行われ、
前記プラズマ発生ガスが、HBrを含み、
前記基板が、前記エッチング中に約300〜1200Vの間にバイアスされる、方法。
適用例9:
適用例8の方法であって、
前記プラズマ発生ガスが、約50〜500sccmの間の流量で流れ、さらに、Cl 2 を含む、方法。
適用例10:
適用例8の方法であって、
前記エッチングプロセスが、少なくとも第1のフィーチャ形状と第2のフィーチャ形状を同時にエッチングするステップを含み、前記第1のフィーチャ形状が、約10以上のアスペクト比を有し、前記第2のフィーチャ形状が、約1以下のアスペクト比を有し、
エッチング後、前記第1のフィーチャのエッチング深さが、前記第2のフィーチャの前記エッチング深さの少なくとも約95%である、方法。
適用例11:
適用例10の方法であって、
エッチング後、前記第1のフィーチャが、少なくとも約88°のエッチングプロファイルを有し、前記第2のフィーチャが、少なくとも約85°のエッチングプロファイルを有する、方法。
適用例12:
適用例1から11のいずれか一項の方法であって、
フォトレジスト・リフロープロセスを行うために行われ、
前記反応チャンバ内に受け取られる前記基板が、パターン形成されたフォトレジストを上に有し、
前記フォトレジスト・リフロープロセスが、
前記基板上の前記パターン形成されたフォトレジストをリフローするために、第1のプラズマプロセスを行うステップと、
前記基板上のフット領域内のフォトレジストの一部分を除去するために、第2のプラズマプロセスを行うステップと、を含み、
前記第1のプラズマプロセスと第2のプラズマプロセスがどちらも、前記グリッドを有する前記反応チャンバ内で行われ、
前記第1のプラズマプロセスおよび前記第2のプラズマプロセス中の前記第2のプラズマが、イオン−イオンプラズマである、方法。
適用例13:
適用例12の方法であって、
前記第1のプラズマプロセス中の前記プラズマ発生ガスが、H 2 を含み、前記第2のプラズマプロセス中の前記プラズマ発生ガスが、不活性ガスを含む、方法。
適用例14:
適用例13の方法であって、
前記第1および第2のプラズマプロセス後の前記パターン形成されたフォトレジストの最終的な高さが、前記第1および第2のプラズマプロセス前の前記パターン形成されたフォトレジストの初期高さの少なくとも約50%であり、前記第1および第2のプラズマプロセス後の最終的な線幅粗さが、前記第1および第2のプラズマプロセス前の初期線幅粗さの約75%以下である、方法。
適用例15:
FinFETゲート領域を画定するために、半製造された集積回路上のポリシリコンをエッチングする方法であって、
上にポリシリコンの層を有する基板を反応チャンバ内に受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
プラズマ発生ガスを前記上部サブチャンバに流すステップと、
前記上部サブチャンバ内で前記プラズマ発生ガスから第1のプラズマを発生させ、前記下部サブチャンバ内で第2のプラズマを発生させるステップであって、前記第2のプラズマが、イオン−イオンプラズマであるステップと、
前記基板に提供された前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップと、を含む方法。
適用例16:
適用例15の方法であって、
前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップが、前記ポリシリコンをエッチングして、完成された集積回路においてFinFETゲートの近傍となる位置にキャビティを形成するステップを含む、方法。
適用例17:
適用例16の方法であって、
前記ポリシリコン層の上に位置決めされたマスク層の厚さが、前記エッチング中に約10%未満だけ減少する、方法。
適用例18:
適用例16の方法であって、
前記エッチング中の前記反応チャンバ内の圧力が、約5〜20mTorrの間である、方法。
適用例19:
適用例16の方法であって、
オンフィン領域とオフフィン領域との間のエッチングプロファイル・ローディングが、実質的に存在しない、方法。
適用例20:
適用例19の方法であって、
前記エッチングされた領域の側壁が、エッチング中に凹まない、方法。
適用例21:
適用例15から20のいずれか一項の方法であって、
前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップが、前記ポリシリコンをエッチングして、完成された集積回路においてFinFETゲートが配される位置にキャビティを形成するステップを含む、方法。
適用例22:
HBrを含む第1のプラズマ発生ガスを用いた第1の繰返しと、Cl 2 を含む第2のプラズマ発生ガスを用いた第2の繰返しとで行われ、前記第1および第2のプラズマ発生ガスが、実質的に酸素含有反応物を含まない適用例21の方法。
適用例23:
適用例22の方法であって、
前記反応チャンバ内の圧力が、前記第1の繰返し中に約20〜80mTorrの間であり、前記第2の繰返し中に約4〜80mTorrの間である、方法。
適用例24:
適用例21の方法であって、
異なるフィーチャ密度で配されたエッチング後の複数のフィーチャの間のエッチングプロファイル・ローディングが、実質的に存在しない、方法。
適用例25:
適用例15から24のいずれか一項の方法であって、
前記第2のプラズマでの実効電子温度が、約1eV以下であり、前記第1のプラズマでの実効電子温度未満である、方法。
適用例26:
適用例25の方法であって、
前記第2のプラズマでの電子密度が、約5×10 9 cm -3 以下であり、前記第1のプラズマ内での電子密度よりも小さい、方法。
適用例27:
適用例15から26のいずれか一項の方法であって、
前記グリッド構造が、2つ以上のグリッドを備え、前記グリッドの少なくとも1つが、他のものに対して移動可能である、方法。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
プラズマを処理する方法であって、
反応チャンバ内に基板を受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
プラズマ発生ガスを前記上部サブチャンバに流すステップと、
前記上部サブチャンバ内で、前記プラズマ発生ガスから、第1の電子密度を有する第1のプラズマを発生させ、前記下部サブチャンバ内で、第2のプラズマを発生させるステップであって、前記第2のプラズマが、前記第1の電子密度の約10分の1未満の第2の電子密度を有するイオン−イオンプラズマであるステップと、
前記第2のプラズマを用いて前記基板を処理して、ソースドレインリセスエッチング、FinFETゲートエッチング、ダミーポリシリコン除去、シャロートレンチ・アイソレーション・エッチング、またはフォトレジスト・リフローでのステップを行うステップと
を含む方法。
適用例2:
適用例1の方法であって、
前記第1のプラズマが、約2eV以上の第1の電子温度を有し、前記第2のプラズマが、約1eV以下の第2の実効電子温度を有する、方法。
適用例3:
適用例1または2の方法であって、
前記第2の電子密度が、約5×10 9 cm -3 以下である、方法。
適用例4:
適用例1から3のいずれか一項の方法であって、
前記第2のプラズマ中の負イオン:正イオンの比が、約0.5〜1の間である、方法。
適用例5:
適用例1から4のいずれか一項の方法であって、
ソースドレインリセスをエッチングするために行われ、
前記基板を垂直方向にエッチングするために第1のエッチングプロセスを行って、垂直エッチングフィーチャを形成するステップと、
前記垂直エッチングフィーチャの内部で前記基板を水平方向にエッチングするために、第2のエッチングプロセスを行うステップと、
前記垂直エッチングフィーチャ内部に酸化層を形成するために、酸化プロセスを行うステップと、
前記垂直エッチングフィーチャ内にソースドレインリセスを形成するために前記方法を繰り返すステップと、を含み、
前記第1のエッチングプロセス、第2のエッチングプロセス、および酸化プロセスがすべて、各プロセスでの前記第2のプラズマがイオン−イオンプラズマであるように、前記グリッド構造を有する前記反応チャンバ内で行われる、方法。
適用例6:
適用例5の方法であって、
前記第1のエッチングプロセスが、Cl 2 を含む第1のプラズマ発生ガスを用いて行われ、前記第2のエッチングプロセスが、NF 3 およびCl 2 を含む第2のプラズマ発生ガスを用いて行われ、前記酸化プロセスが、酸素を含む第3のプラズマ発生ガスを用いて行われる、方法。
適用例7:
適用例5の方法であって、
凹角形状を有する垂直エッチングフィーチャを形成するために、前記方法が繰り返される、方法。
適用例8:
適用例1から7のいずれか一項の方法であって、
シャロートレンチ・アイソレーション・エッチングを行うために行われ、
前記プラズマ発生ガスが、HBrを含み、
前記基板が、前記エッチング中に約300〜1200Vの間にバイアスされる、方法。
適用例9:
適用例8の方法であって、
前記プラズマ発生ガスが、約50〜500sccmの間の流量で流れ、さらに、Cl 2 を含む、方法。
適用例10:
適用例8の方法であって、
前記エッチングプロセスが、少なくとも第1のフィーチャ形状と第2のフィーチャ形状を同時にエッチングするステップを含み、前記第1のフィーチャ形状が、約10以上のアスペクト比を有し、前記第2のフィーチャ形状が、約1以下のアスペクト比を有し、
エッチング後、前記第1のフィーチャのエッチング深さが、前記第2のフィーチャの前記エッチング深さの少なくとも約95%である、方法。
適用例11:
適用例10の方法であって、
エッチング後、前記第1のフィーチャが、少なくとも約88°のエッチングプロファイルを有し、前記第2のフィーチャが、少なくとも約85°のエッチングプロファイルを有する、方法。
適用例12:
適用例1から11のいずれか一項の方法であって、
フォトレジスト・リフロープロセスを行うために行われ、
前記反応チャンバ内に受け取られる前記基板が、パターン形成されたフォトレジストを上に有し、
前記フォトレジスト・リフロープロセスが、
前記基板上の前記パターン形成されたフォトレジストをリフローするために、第1のプラズマプロセスを行うステップと、
前記基板上のフット領域内のフォトレジストの一部分を除去するために、第2のプラズマプロセスを行うステップと、を含み、
前記第1のプラズマプロセスと第2のプラズマプロセスがどちらも、前記グリッドを有する前記反応チャンバ内で行われ、
前記第1のプラズマプロセスおよび前記第2のプラズマプロセス中の前記第2のプラズマが、イオン−イオンプラズマである、方法。
適用例13:
適用例12の方法であって、
前記第1のプラズマプロセス中の前記プラズマ発生ガスが、H 2 を含み、前記第2のプラズマプロセス中の前記プラズマ発生ガスが、不活性ガスを含む、方法。
適用例14:
適用例13の方法であって、
前記第1および第2のプラズマプロセス後の前記パターン形成されたフォトレジストの最終的な高さが、前記第1および第2のプラズマプロセス前の前記パターン形成されたフォトレジストの初期高さの少なくとも約50%であり、前記第1および第2のプラズマプロセス後の最終的な線幅粗さが、前記第1および第2のプラズマプロセス前の初期線幅粗さの約75%以下である、方法。
適用例15:
FinFETゲート領域を画定するために、半製造された集積回路上のポリシリコンをエッチングする方法であって、
上にポリシリコンの層を有する基板を反応チャンバ内に受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
プラズマ発生ガスを前記上部サブチャンバに流すステップと、
前記上部サブチャンバ内で前記プラズマ発生ガスから第1のプラズマを発生させ、前記下部サブチャンバ内で第2のプラズマを発生させるステップであって、前記第2のプラズマが、イオン−イオンプラズマであるステップと、
前記基板に提供された前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップと、を含む方法。
適用例16:
適用例15の方法であって、
前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップが、前記ポリシリコンをエッチングして、完成された集積回路においてFinFETゲートの近傍となる位置にキャビティを形成するステップを含む、方法。
適用例17:
適用例16の方法であって、
前記ポリシリコン層の上に位置決めされたマスク層の厚さが、前記エッチング中に約10%未満だけ減少する、方法。
適用例18:
適用例16の方法であって、
前記エッチング中の前記反応チャンバ内の圧力が、約5〜20mTorrの間である、方法。
適用例19:
適用例16の方法であって、
オンフィン領域とオフフィン領域との間のエッチングプロファイル・ローディングが、実質的に存在しない、方法。
適用例20:
適用例19の方法であって、
前記エッチングされた領域の側壁が、エッチング中に凹まない、方法。
適用例21:
適用例15から20のいずれか一項の方法であって、
前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップが、前記ポリシリコンをエッチングして、完成された集積回路においてFinFETゲートが配される位置にキャビティを形成するステップを含む、方法。
適用例22:
HBrを含む第1のプラズマ発生ガスを用いた第1の繰返しと、Cl 2 を含む第2のプラズマ発生ガスを用いた第2の繰返しとで行われ、前記第1および第2のプラズマ発生ガスが、実質的に酸素含有反応物を含まない適用例21の方法。
適用例23:
適用例22の方法であって、
前記反応チャンバ内の圧力が、前記第1の繰返し中に約20〜80mTorrの間であり、前記第2の繰返し中に約4〜80mTorrの間である、方法。
適用例24:
適用例21の方法であって、
異なるフィーチャ密度で配されたエッチング後の複数のフィーチャの間のエッチングプロファイル・ローディングが、実質的に存在しない、方法。
適用例25:
適用例15から24のいずれか一項の方法であって、
前記第2のプラズマでの実効電子温度が、約1eV以下であり、前記第1のプラズマでの実効電子温度未満である、方法。
適用例26:
適用例25の方法であって、
前記第2のプラズマでの電子密度が、約5×10 9 cm -3 以下であり、前記第1のプラズマ内での電子密度よりも小さい、方法。
適用例27:
適用例15から26のいずれか一項の方法であって、
前記グリッド構造が、2つ以上のグリッドを備え、前記グリッドの少なくとも1つが、他のものに対して移動可能である、方法。
Claims (53)
- プラズマを処理する方法であって、
反応チャンバ内に基板を受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
プラズマ発生ガスを前記上部サブチャンバに流すステップと、
前記上部サブチャンバ内で、前記プラズマ発生ガスから、第1の電子密度を有する第1のプラズマを発生させ、前記下部サブチャンバ内で、第2のプラズマを発生させるステップであって、前記第2のプラズマが、前記第1の電子密度の約10分の1未満の第2の電子密度を有するイオン−イオンプラズマであるステップと、
前記第2のプラズマを用いて前記基板を処理して、ソースドレインリセスエッチングでのステップを行うステップであって、
(a)前記基板を垂直方向にエッチングするために第1のエッチングプロセスを行って、垂直エッチングフィーチャを形成するステップと、
(b)前記垂直エッチングフィーチャの内部で前記基板を水平方向にエッチングするために、第2のエッチングプロセスを行うステップと、
(c)前記垂直エッチングフィーチャ内部に酸化層を形成するために、酸化プロセスを行うステップと、
(d)前記垂直エッチングフィーチャ内にソースドレインリセスを形成するために(a)〜(c)を少なくとも1回繰り返すステップと、を含むステップとを含み、
前記第1のエッチングプロセス、第2のエッチングプロセス、および酸化プロセスがすべて、各プロセスでの前記第2のプラズマがイオン−イオンプラズマであるように、前記グリッド構造を有する前記反応チャンバ内で行われる、方法。 - 請求項1に記載の方法であって、
前記第1のプラズマが、約2eV以上の第1の電子温度を有し、前記第2のプラズマが、約1eV以下の第2の実効電子温度を有する、方法。 - 請求項1に記載の方法であって、
前記第2の電子密度が、約5×109cm-3以下である、方法。 - 請求項1に記載の方法であって、前記第2のプラズマ中の負イオン:正イオンの比が、約0.5〜1の間である、方法。
- 請求項1に記載の方法であって、
前記垂直エッチングフィーチャが、(c)の後に凹角形状を有する、方法。 - プラズマを処理する方法であって、
反応チャンバ内に基板を受け取るステップであって、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を、前記反応チャンバが備える、ステップと、
プラズマ発生ガスを前記上部サブチャンバに流すステップと、
前記上部サブチャンバ内で、前記プラズマ発生ガスから、第1の電子密度を有する第1のプラズマを発生させ、前記下部サブチャンバ内で、第2のプラズマを発生させるステップであって、前記第2のプラズマが、前記第1の電子密度の少なくとも約10分の1未満の第2の電子密度を有するイオン−イオンプラズマであり、前記第1のプラズマが、誘導結合プラズマであるステップと、
前記第2のプラズマを用いて前記基板を処理して、シャロートレンチ・アイソレーション・エッチングを行うステップと、を含み、
前記基板が、前記エッチング中に約300〜1200Vの間にバイアスされる、方法。 - 請求項6に記載の方法であって、
前記エッチングプロセスが、少なくとも第1のフィーチャ形状と第2のフィーチャ形状を同時にエッチングするステップを含み、前記第1のフィーチャ形状が、約10以上のアスペクト比を有し、前記第2のフィーチャ形状が、約1以下のアスペクト比を有し、
エッチング後、前記第1のフィーチャのエッチング深さが、前記第2のフィーチャの前記エッチング深さの少なくとも約95%である、方法。 - FinFETゲート領域を画定するために、半製造された集積回路上のポリシリコンをエッチングする方法であって、
(a)上にポリシリコン層を有する基板を反応チャンバ内に受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
(b)プラズマ発生ガスを前記上部サブチャンバに流すステップと、
(c)前記上部サブチャンバ内で前記プラズマ発生ガスから第1のプラズマを発生させ、前記下部サブチャンバ内で第2のプラズマを発生させるステップであって、前記第2のプラズマが、イオン−イオンプラズマであるステップと、
(d)前記基板に提供された前記ポリシリコン層をエッチングし、それによりFinFETゲート領域を画定するステップと、
(e)(a)〜(d)を繰り返すステップと、を含み、
(a)〜(d)の第1の繰り返し中において、前記プラズマ発生ガスが、第1の組成を有する第1のプラズマ発生ガスであり、(a)〜(d)の第2の繰り返し中において、前記プラズマ発生ガスが、第2の組成を有する第2のプラズマ発生ガスであり、前記第1の組成が、前記第2の組成と異なる、方法。 - 請求項8に記載の方法であって、
(d)において前記ポリシリコン層をエッチングするステップが、完成された集積回路においてFinFETゲートの近傍となる位置にキャビティを形成する、方法。 - 請求項9に記載の方法であって、
前記エッチング中の前記反応チャンバ内の圧力が、約5〜20mTorrの間である、方法。 - 請求項9に記載の方法であって、
オンフィン領域とオフフィン領域との間のエッチングプロファイル・ローディングが、実質的に存在しない、方法。 - 請求項8に記載の方法であって、
(d)において前記ポリシリコン層をエッチングするステップが、完成された集積回路においてFinFETゲートが配される位置にキャビティを形成する、方法。 - 前記第1のプラズマ発生ガスがHBrを含み、前記第2のプラズマ発生ガスがCl 2 を含み、前記第1および第2のプラズマ発生ガスが、実質的に酸素含有反応物を含まない請求項12に記載の方法。
- 請求項13に記載の方法であって、
前記反応チャンバ内の圧力が、前記第1の繰り返し中に約20〜80mTorrの間であり、前記第2の繰り返し中に約4〜80mTorrの間である、方法。 - 請求項8に記載の方法であって、
前記第2のプラズマでの実効電子温度が、約1eV以下であり、前記第1のプラズマでの実効電子温度未満である、方法。 - 請求項15に記載の方法であって、
前記第2のプラズマでの電子密度が、約5×109cm-3以下であり、前記第1のプラズマ内での電子密度よりも小さい、方法。 - 請求項8に記載の方法であって、
前記グリッド構造が、2つ以上のグリッドを備え、前記グリッドの少なくとも1つが、他のものに対して移動可能である、方法。 - 請求項1に記載の方法であって、
(a)における前記第1のエッチングプロセスが、第1のプラズマ発生ガスを用いて行われ、(b)における前記第2のエッチングプロセスが、第2のプラズマ発生ガスを用いて行われ、前記第1のプラズマ発生ガスの組成が、前記第2のプラズマ発生ガスの組成と異なり、(c)における前記酸化プロセスが、酸素を含む第3のプラズマ発生ガスを用いて行われる、方法。 - 請求項18に記載の方法であって、
前記第1のプラズマ発生ガスが、Cl 2 を含み、前記第2のプラズマ発生ガスが、NF 3 およびCl 2 を含む、方法。 - 請求項6に記載の方法であって、
前記第1のプラズマが、約2eV以上の第1の電子温度を有し、前記第2のプラズマが、約1eV以下の第2の実効電子温度を有する、方法。 - 請求項6に記載の方法であって、
前記第2の電子密度が、約5×10 9 cm -3 以下である、方法。 - 請求項6に記載の方法であって、
前記第2のプラズマ中の負イオン:正イオンの比が、約0.5〜1の間である、方法。 - 請求項6に記載の方法であって、さらに、
前記基板に印加された前記バイアスをパルス化するステップを含む、方法。 - 請求項6に記載の方法であって、
前記基板に印加された前記バイアスが、DCバイアスである、方法。 - 請求項7に記載の方法であって、
エッチング後、前記第1のフィーチャが、少なくとも約88°のエッチングプロファイルを有し、前記第2のフィーチャが、少なくとも約85°のエッチングプロファイルを有する、方法。 - 請求項9に記載の方法であって、
前記ポリシリコン層の上に位置決めされたマスク層の厚さが、(d)における前記エッチング中に約10%未満だけ減少する、方法。 - 請求項8に記載の方法であって、
前記第1のプラズマが、連続波プラズマである、方法。 - 請求項8に記載の方法であって、
異なるフィーチャ密度で配された前記基板上に位置するエッチング後の複数のフィーチャの間のエッチングプロファイル・ローディングが、実質的に存在しない、方法。 - 請求項8に記載の方法であって、
前記反応チャンバ内の圧力が、(a)〜(d)の前記第1の繰り返し中に約20〜80mTorrの間であり、(a)〜(d)の前記第2の繰り返し中に約4〜80mTorrの間である、方法。 - 請求項11に記載の方法であって、
前記エッチングされた領域の側壁が、エッチング中に凹まない、方法。 - フォトレジストをリフローする方法であって、
反応チャンバ内にパターン形成されたフォトレジストを有する基板を受け取るステップであって、前記反応チャンバが、前記反応チャンバの内部を、プラズマ発生器の近傍の上部サブチャンバと、基板ホルダの近傍の下部サブチャンバと、に分割するグリッド構造を備えるステップと、
第1のプラズマ発生ガスを前記上部サブチャンバに流すステップと、
前記上部サブチャンバ内で、前記第1のプラズマ発生ガスから、第1の電子密度を有する第1のプラズマを発生させ、前記下部サブチャンバ内で、第2のプラズマを発生させるステップであって、前記第2のプラズマが、前記第1の電子密度の約10分の1未満の第2の電子密度を有するイオン−イオンプラズマであるステップと、
前記フォトレジストを平滑化するために前記基板を前記第2のプラズマに曝すステップと、
第2のプラズマ発生ガスを前記上部サブチャンバに流すステップと、
前記上部サブチャンバ内で、前記第2のプラズマ発生ガスから、第3の電子密度を有する第3のプラズマを発生させ、前記下部サブチャンバ内で第4のプラズマを発生させるステップであって、前記第4のプラズマが、前記第3の電子密度の少なくとも約10分の1未満の第4の電子密度を有するイオン−イオンプラズマであるステップと、
前記基板を前記第4のプラズマに曝すステップと、を含み、
前記基板を前記第2のプラズマに曝す前は、前記フォトレジストが、初期線幅粗さを有し、前記基板を前記第4のプラズマに曝した後は、前記フォトレジストが、前記初期線幅粗さの約75%以下である最終的な線幅粗さを有する、方法。 - 請求項31に記載の方法であって、
前記基板を前記第4のプラズマに曝すステップが、前記フォトレジストのフット領域内のフォトレジストを除去する、方法。 - 請求項31に記載の方法であって、
前記第1のプラズマ発生ガスが、H 2 、Ar、HBr、およびそれらの組み合わせからなる群より選択される少なくとも1つのガスを含む、方法。 - 請求項33に記載の方法であって、
前記第1のプラズマ発生ガスが、さらに、N 2 および/またはHeSTGを含む、方法。 - 請求項33に記載の方法であって、
前記第1のプラズマ発生ガスが、H 2 を含む、方法。 - 請求項31に記載の方法であって、
前記第2のプラズマ発生ガスが、Ar、HBr、およびそれらの組み合わせからなる群より選択される少なくとも1つのガスを含む、方法。 - 請求項36に記載の方法であって、
前記第2のプラズマ発生ガスが、Arを含む、方法。 - 請求項37に記載の方法であって、
前記第1のプラズマ発生ガスが、H 2 を含む、方法。 - 請求項31に記載の方法であって、
前記基板を前記第2のプラズマに曝すステップが、(i)前記フォトレジストの平滑化、および(ii)前記フォトレジストのフット領域内の前記フォトレジストの除去、の両方を行う方法。 - 請求項39に記載の方法であって、
前記第1のプラズマ発生ガスが、H 2 、N 2 、HeSTGを含む、方法。 - 請求項31に記載の方法であって、
前記フォトレジストが、極端紫外線フォトレジストを含む、方法。 - 請求項31に記載の方法であって、さらに、
前記基板が前記第4のプラズマに曝されている間に、約200V以下のバイアス電圧を前記基板に印加することを含む、方法。 - 請求項42に記載の方法であって、
前記バイアス電圧が、約30Vよりも大きい、方法。 - 請求項31に記載の方法であって、
前記基板を前記第2のプラズマに曝す前は、前記フォトレジストが、初期高さを有し、
前記基板を前記第4のプラズマに曝した後は、前記フォトレジストが、前記初期高さの少なくとも約50%である最終的な高さを有する、方法。 - 請求項31に記載の方法であって、
前記最終的な線幅粗さが、前記初期線幅粗さの約65%以下である、方法。 - 請求項31に記載の方法であって、
前記第1のプラズマが、約2eV以上の第1の電子温度を有し、前記第2のプラズマが、約1eV以下の第2の実効電子温度を有する、方法。 - 請求項31に記載の方法であって、
前記第2の電子密度が、約5×109cm-3以下である、方法。 - 請求項31に記載の方法であって、
前記第2のプラズマ中の負イオン:正イオンの比が、約0.5〜1の間である、方法。 - 請求項31に記載の方法であって、
前記第1のプラズマが、約300〜1000ワット/基板の電力で生成される誘導結合プラズマである、方法。 - 請求項49に記載の方法であって、
前記基板に印加されたバイアスが、約50〜200Vの間である、方法。 - 請求項31に記載の方法であって、
前記フォトレジストの平滑化後、前記基板が、約3.0nm未満の線幅粗さを有する、方法。 - 請求項31に記載の方法であって、
前記第1のプラズマ発生ガスおよび前記第2のプラズマ発生ガスが、異なる組成を有する、方法。 - 請求項52に記載の方法であって、
前記第1のプラズマ発生ガスがH 2 を含み、前記第2のプラズマ発生ガスがH 2 を含まない、方法。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201361809246P | 2013-04-05 | 2013-04-05 | |
US61/809,246 | 2013-04-05 | ||
US14/082,009 US20140302681A1 (en) | 2013-04-05 | 2013-11-15 | Internal plasma grid for semiconductor fabrication |
US14/082,009 | 2013-11-15 | ||
US14/184,491 US9230819B2 (en) | 2013-04-05 | 2014-02-19 | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US14/184,491 | 2014-02-19 |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2014209622A JP2014209622A (ja) | 2014-11-06 |
JP2014209622A5 true JP2014209622A5 (ja) | 2017-06-15 |
JP6509495B2 JP6509495B2 (ja) | 2019-05-08 |
Family
ID=51654739
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014077139A Active JP6509495B2 (ja) | 2013-04-05 | 2014-04-03 | 半導体製造用の内部プラズマグリッドの適用 |
Country Status (6)
Country | Link |
---|---|
US (2) | US9230819B2 (ja) |
JP (1) | JP6509495B2 (ja) |
KR (1) | KR20140121786A (ja) |
CN (1) | CN104103510B (ja) |
SG (1) | SG10201708419TA (ja) |
TW (1) | TWI626686B (ja) |
Families Citing this family (179)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US10714436B2 (en) | 2012-12-12 | 2020-07-14 | Lam Research Corporation | Systems and methods for achieving uniformity across a redistribution layer |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) * | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN105097535B (zh) * | 2014-05-12 | 2018-03-13 | 中国科学院微电子研究所 | FinFet器件的制造方法 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US11049725B1 (en) * | 2014-05-29 | 2021-06-29 | Corporation For National Research Initiatives | Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US20150371889A1 (en) * | 2014-06-20 | 2015-12-24 | Applied Materials, Inc. | Methods for shallow trench isolation formation in a silicon germanium layer |
US10249511B2 (en) * | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US20160181111A1 (en) * | 2014-12-19 | 2016-06-23 | Lam Research Corporation | Silicon etch and clean |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10475626B2 (en) * | 2015-03-17 | 2019-11-12 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process and reactor |
US9799560B2 (en) | 2015-03-31 | 2017-10-24 | Qualcomm Incorporated | Self-aligned structure |
US9576788B2 (en) * | 2015-04-24 | 2017-02-21 | Applied Materials, Inc. | Cleaning high aspect ratio vias |
JP6434617B2 (ja) * | 2015-05-22 | 2018-12-05 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびそれを用いたプラズマ処理方法 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
TW201711077A (zh) * | 2015-09-04 | 2017-03-16 | 漢辰科技股份有限公司 | 電漿基礎處理系統及其運作方法 |
US9496148B1 (en) | 2015-09-10 | 2016-11-15 | International Business Machines Corporation | Method of charge controlled patterning during reactive ion etching |
US9767991B2 (en) * | 2015-11-04 | 2017-09-19 | Lam Research Corporation | Methods and systems for independent control of radical density, ion density, and ion energy in pulsed plasma semiconductor device fabrication |
KR102402769B1 (ko) | 2016-01-06 | 2022-05-26 | 삼성전자주식회사 | 반도체 장치 |
US9792393B2 (en) * | 2016-02-08 | 2017-10-17 | Lam Research Corporation | Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization |
US10504746B2 (en) | 2016-04-12 | 2019-12-10 | Applied Materials, Inc. | HKMG integration |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US20170345673A1 (en) * | 2016-05-29 | 2017-11-30 | Tokyo Electron Limited | Method of selective silicon oxide etching |
US10197908B2 (en) * | 2016-06-21 | 2019-02-05 | Lam Research Corporation | Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9972540B2 (en) | 2016-08-07 | 2018-05-15 | International Business Machines Corporation | Semiconductor device having multiple thickness oxides |
JP6715129B2 (ja) * | 2016-08-31 | 2020-07-01 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
WO2018057493A1 (en) | 2016-09-21 | 2018-03-29 | Tokyo Electron Limited | Method of patterning intersecting structures |
US9679780B1 (en) * | 2016-09-28 | 2017-06-13 | International Business Machines Corporation | Polysilicon residue removal in nanosheet MOSFETs |
KR101934985B1 (ko) * | 2016-09-30 | 2019-01-04 | 세메스 주식회사 | 기판 처리 장치 및 이의 표면을 안정화시키는 방법 |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
CN108010880A (zh) * | 2016-10-31 | 2018-05-08 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
CN108987227B (zh) * | 2017-06-02 | 2022-02-18 | 台湾积体电路制造股份有限公司 | 等离子体处理晶片的方法、等离子体控制方法及反应系统 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10643858B2 (en) | 2017-10-11 | 2020-05-05 | Samsung Electronics Co., Ltd. | Method of etching substrate |
KR102273971B1 (ko) * | 2017-10-20 | 2021-07-07 | 주식회사 엘지화학 | 파라데이 상자를 이용한 플라즈마 식각 방법 |
KR102455239B1 (ko) * | 2017-10-23 | 2022-10-18 | 삼성전자주식회사 | 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법 |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10515815B2 (en) * | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10217626B1 (en) * | 2017-12-15 | 2019-02-26 | Mattson Technology, Inc. | Surface treatment of substrates using passivation layers |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
JP2021509525A (ja) * | 2017-12-27 | 2021-03-25 | マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. | プラズマ処理装置および方法 |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10572697B2 (en) | 2018-04-06 | 2020-02-25 | Lam Research Corporation | Method of etch model calibration using optical scatterometry |
WO2019200015A1 (en) | 2018-04-10 | 2019-10-17 | Lam Research Corporation | Optical metrology in machine learning to characterize features |
CN112005347A (zh) | 2018-04-10 | 2020-11-27 | 朗姆研究公司 | 抗蚀剂和蚀刻建模 |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US11600713B2 (en) * | 2018-05-30 | 2023-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
CN110648888B (zh) * | 2018-06-27 | 2020-10-13 | 北京北方华创微电子装备有限公司 | 射频脉冲匹配方法及其装置、脉冲等离子体产生系统 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11061315B2 (en) * | 2018-11-15 | 2021-07-13 | Globalfoundries U.S. Inc. | Hybrid optical and EUV lithography |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11088028B2 (en) * | 2018-11-30 | 2021-08-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method of forming the same |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
KR20200086826A (ko) | 2019-01-10 | 2020-07-20 | 삼성전자주식회사 | 플라즈마 처리 방법 및 플라즈마 처리 장치 |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10977405B2 (en) | 2019-01-29 | 2021-04-13 | Lam Research Corporation | Fill process optimization using feature scale modeling |
US20200258718A1 (en) * | 2019-02-07 | 2020-08-13 | Mattson Technology, Inc. | Gas Supply With Angled Injectors In Plasma Processing Apparatus |
JP7282910B2 (ja) * | 2019-03-14 | 2023-05-29 | ラム リサーチ コーポレーション | 高アスペクト比エッチングのためのプラズマエッチングツール |
JP7281741B2 (ja) * | 2019-08-23 | 2023-05-26 | パナソニックIpマネジメント株式会社 | 素子チップのスムージング方法および素子チップの製造方法 |
CN115066736A (zh) * | 2020-05-01 | 2022-09-16 | 玛特森技术公司 | 用于表面处理加工的脉冲电感耦合等离子体的方法和设备 |
CN113707527B (zh) * | 2020-05-21 | 2022-07-29 | 江苏鲁汶仪器有限公司 | 一种阻挡等离子体反流的分离式进气结构 |
US11430893B2 (en) * | 2020-07-10 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
Family Cites Families (77)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4600464A (en) | 1985-05-01 | 1986-07-15 | International Business Machines Corporation | Plasma etching reactor with reduced plasma potential |
JPH0690811B2 (ja) | 1985-09-10 | 1994-11-14 | 松下電器産業株式会社 | 平板状情報記録担体の基板作成方法 |
JP2643457B2 (ja) | 1989-06-28 | 1997-08-20 | 三菱電機株式会社 | プラズマ処理装置及びその方法 |
JPH04137727A (ja) | 1990-09-28 | 1992-05-12 | Hitachi Ltd | イオンビームエッチング方法及びイオンビームエッチング装置 |
JP3149454B2 (ja) | 1991-05-17 | 2001-03-26 | 日本電気株式会社 | 枚葉式プラズマエッチング装置の上部電極 |
JP2604684B2 (ja) | 1994-02-22 | 1997-04-30 | 木下 治久 | プラズマプロセス装置 |
JP3353514B2 (ja) * | 1994-12-09 | 2002-12-03 | ソニー株式会社 | プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法 |
JP3360461B2 (ja) | 1995-01-31 | 2002-12-24 | ソニー株式会社 | メタル成膜工程の前処理方法 |
JP3190830B2 (ja) | 1996-07-22 | 2001-07-23 | 日本電気株式会社 | 半導体装置の製造方法 |
US6007673A (en) | 1996-10-02 | 1999-12-28 | Matsushita Electronics Corporation | Apparatus and method of producing an electronic device |
JPH10270429A (ja) * | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | プラズマ処理装置 |
JP3317209B2 (ja) | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP3364675B2 (ja) | 1997-09-30 | 2003-01-08 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置 |
US6352049B1 (en) | 1998-02-09 | 2002-03-05 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
JP2001274143A (ja) | 2000-03-28 | 2001-10-05 | Tdk Corp | ドライエッチング方法、微細加工方法及びドライエッチング用マスク |
DE10024883A1 (de) | 2000-05-19 | 2001-11-29 | Bosch Gmbh Robert | Plasmaätzanlage |
JP4371543B2 (ja) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
WO2002014810A2 (en) | 2000-08-10 | 2002-02-21 | Tokyo Electron Limited | Method and apparatus for tuning a plasma reactor chamber |
US6461972B1 (en) | 2000-12-22 | 2002-10-08 | Lsi Logic Corporation | Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow |
JP2002289585A (ja) | 2001-03-26 | 2002-10-04 | Ebara Corp | 中性粒子ビーム処理装置 |
WO2004000284A1 (en) | 2002-06-21 | 2003-12-31 | Transform Pharmaceuticals, Inc. | Pharmaceutical compositions with improved dissolution |
KR100810794B1 (ko) * | 2002-11-20 | 2008-03-07 | 동경 엘렉트론 주식회사 | 플라즈마 처리 방법 |
JP2004281232A (ja) * | 2003-03-14 | 2004-10-07 | Ebara Corp | ビーム源及びビーム処理装置 |
US7976673B2 (en) | 2003-05-06 | 2011-07-12 | Lam Research Corporation | RF pulsing of a narrow gap capacitively coupled reactor |
JP4111274B2 (ja) | 2003-07-24 | 2008-07-02 | キヤノンアネルバ株式会社 | 磁性材料のドライエッチング方法 |
US7838430B2 (en) | 2003-10-28 | 2010-11-23 | Applied Materials, Inc. | Plasma control using dual cathode frequency mixing |
JP2005276931A (ja) * | 2004-03-23 | 2005-10-06 | Toshiba Corp | 半導体装置およびその製造方法 |
US20050211171A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US20050211546A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US7291360B2 (en) | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US20050211547A1 (en) | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma reactor and process using plural ion shower grids |
US7244474B2 (en) | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
JP2006013190A (ja) | 2004-06-28 | 2006-01-12 | Rohm Co Ltd | 半導体装置の製造方法 |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
KR100663351B1 (ko) | 2004-11-12 | 2007-01-02 | 삼성전자주식회사 | 플라즈마 처리장치 |
JP2007035728A (ja) * | 2005-07-22 | 2007-02-08 | Renesas Technology Corp | 半導体装置及びその製造方法 |
WO2007029777A1 (ja) | 2005-09-09 | 2007-03-15 | Ulvac, Inc. | イオン源およびプラズマ処理装置 |
KR100653073B1 (ko) | 2005-09-28 | 2006-12-01 | 삼성전자주식회사 | 기판처리장치와 기판처리방법 |
US7645357B2 (en) | 2006-04-24 | 2010-01-12 | Applied Materials, Inc. | Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency |
US7520999B2 (en) | 2006-05-03 | 2009-04-21 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another |
US7998307B2 (en) | 2006-09-12 | 2011-08-16 | Tokyo Electron Limited | Electron beam enhanced surface wave plasma source |
KR100869359B1 (ko) * | 2006-09-28 | 2008-11-19 | 주식회사 하이닉스반도체 | 반도체 소자의 리세스 게이트 제조 방법 |
US7897008B2 (en) | 2006-10-27 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for regional plasma control |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080178805A1 (en) | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US7611936B2 (en) * | 2007-05-11 | 2009-11-03 | Freescale Semiconductor, Inc. | Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method |
US20090084501A1 (en) * | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Processing system for producing a negative ion plasma |
US8187948B2 (en) * | 2008-02-18 | 2012-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid gap-fill approach for STI formation |
US8460567B2 (en) * | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
US8986558B2 (en) | 2008-09-01 | 2015-03-24 | Japan Science And Technology Agency | Plasma etching method, plasma etching device, and method for producing photonic crystal |
US8475673B2 (en) * | 2009-04-24 | 2013-07-02 | Lam Research Company | Method and apparatus for high aspect ratio dielectric etch |
US8749053B2 (en) | 2009-06-23 | 2014-06-10 | Intevac, Inc. | Plasma grid implant system for use in solar cell fabrications |
JP5216918B2 (ja) | 2009-07-16 | 2013-06-19 | キヤノンアネルバ株式会社 | イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法 |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
CN102834905B (zh) | 2010-02-09 | 2016-05-11 | 因特瓦克公司 | 太阳能电池制造中使用的可调阴影掩模组件 |
KR101742815B1 (ko) * | 2010-07-23 | 2017-06-01 | 삼성전자 주식회사 | Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법 |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US20120083134A1 (en) | 2010-09-30 | 2012-04-05 | Hui-Jung Wu | Method of mitigating substrate damage during deposition processes |
US9490106B2 (en) | 2011-04-28 | 2016-11-08 | Lam Research Corporation | Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil |
US9966236B2 (en) | 2011-06-15 | 2018-05-08 | Lam Research Corporation | Powered grid for plasma chamber |
US8709706B2 (en) | 2011-06-15 | 2014-04-29 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
TWI525698B (zh) | 2011-10-31 | 2016-03-11 | Canon Anelva Corp | 磁性膜之離子束蝕刻方法及離子束蝕刻裝置 |
US20130168352A1 (en) | 2011-12-28 | 2013-07-04 | Andreas Fischer | Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber |
CN202633210U (zh) | 2012-05-17 | 2012-12-26 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体刻蚀设备 |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
-
2014
- 2014-02-19 US US14/184,491 patent/US9230819B2/en active Active
- 2014-04-02 TW TW103112372A patent/TWI626686B/zh active
- 2014-04-03 JP JP2014077139A patent/JP6509495B2/ja active Active
- 2014-04-04 SG SG10201708419TA patent/SG10201708419TA/en unknown
- 2014-04-04 KR KR1020140040626A patent/KR20140121786A/ko not_active Application Discontinuation
- 2014-04-08 CN CN201410138060.XA patent/CN104103510B/zh active Active
-
2015
- 2015-11-30 US US14/954,586 patent/US9633846B2/en active Active
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2014209622A5 (ja) | ||
TWI579892B (zh) | 用以形成具有多膜層的間隔壁之蝕刻方法 | |
JP6235981B2 (ja) | 被処理体を処理する方法 | |
US9570317B2 (en) | Microelectronic method for etching a layer | |
US9269590B2 (en) | Spacer formation | |
TWI626686B (zh) | 用於半導體製造之內部電漿格柵應用 | |
US8252194B2 (en) | Methods of removing silicon oxide | |
US9287124B2 (en) | Method of etching a boron doped carbon hardmask | |
JP5933694B2 (ja) | ホウ素炭素膜をドライストリッピングする方法 | |
TWI518771B (zh) | Etching method | |
TWI518773B (zh) | 在高劑量植入剝除前保護矽之增強式鈍化製程 | |
TW201405656A (zh) | 具有高選擇性之多晶矽及原生氧化層的移除 | |
US20220181162A1 (en) | Etching apparatus | |
CN103828029B (zh) | 堆积物去除方法 | |
US11398386B2 (en) | Plasma etch processes | |
KR20190073463A (ko) | 탄소계 막들을 위한 자기 제한 순환 에칭 방법 | |
JP2017112293A (ja) | 溝を有するシリコンカーバイド基板の製造方法 | |
KR20180034698A (ko) | 실리콘 함유 기판의 에칭 방법 | |
US11232954B2 (en) | Sidewall protection layer formation for substrate processing | |
CN105097494B (zh) | 刻蚀方法 | |
KR20200113000A (ko) | 측벽 에칭을 달성하기 위한 방법 | |
JP2010098101A (ja) | 半導体装置の製造方法 | |
JP6557588B2 (ja) | ドライエッチング方法 | |
CN111863614A (zh) | 半导体结构及其形成方法 | |
TWI831850B (zh) | 將半導體元件上的矽化鎳層圖案化之方法 |