TW201405656A - 具有高選擇性之多晶矽及原生氧化層的移除 - Google Patents

具有高選擇性之多晶矽及原生氧化層的移除 Download PDF

Info

Publication number
TW201405656A
TW201405656A TW102123510A TW102123510A TW201405656A TW 201405656 A TW201405656 A TW 201405656A TW 102123510 A TW102123510 A TW 102123510A TW 102123510 A TW102123510 A TW 102123510A TW 201405656 A TW201405656 A TW 201405656A
Authority
TW
Taiwan
Prior art keywords
wafer
tantalum oxide
native
oxide layer
based species
Prior art date
Application number
TW102123510A
Other languages
English (en)
Other versions
TWI612578B (zh
Inventor
Bayu Thedjoisworo
Jack Kuo
David Cheung
Joon Park
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/916,387 external-priority patent/US8916477B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201405656A publication Critical patent/TW201405656A/zh
Application granted granted Critical
Publication of TWI612578B publication Critical patent/TWI612578B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

所提供者為移除晶圓上之原生矽氧化層的方法及系統。在非序列式方法中,晶圓在多晶矽層上設有原生矽氧化層。將包含氫基物種及氟基物種之蝕刻劑引入、暴露至電漿、並在相對低溫流動至晶圓上。然後將晶圓加熱至略為升高之溫度以實質上移除原生氧化層。在序列式方法中,晶圓設有原生矽氧化層。將包含氫基物種及氟基物種之第一蝕刻劑流動至晶圓上。然後將晶圓加熱至略為升高之溫度,使第二蝕刻劑朝晶圓流動,且然後使第二蝕刻劑暴露至電漿,以完成原生矽氧化層之移除及開始例如多晶矽層之另一層的移除。

Description

具有高選擇性之多晶矽及原生氧化層的移除 【相關申請案之交互參照】
本申請案主張2012年7月2日申請之標題為「POLYSILICON ETCH WITH HIGH SELECTIVITY」的美國臨時專利申請案第61/667,329號及2013年6月12日申請之標題為「REMOVAL OF NATIVE OXIDE WITH HIGH SELECTIVITY」的美國專利申請案第13/916,497號(其為2013年6月12日申請之標題為「POLYSILICON ETCH WITH HIGH SELECTIVITY」的美國專利申請案第13/916,387號之部份延續案)的優先權,其皆併入於此作為所有用途之參考。
本揭露內容大致關於晶圓上之原生氧化物的蝕刻,且尤其關於晶圓上具有高選擇性之原生氧化物及多晶矽的基於電漿之蝕刻。
基於電漿之蝕刻可為半導體裝置及積體電路之製造中的重要處理步驟。然而,包括含矽及金屬之半導體基板的許多材料之表面上的原生氧化層之存在影響了此等材料的圖案化。此在半導體晶片或記憶體裝置之製造中可為重要部份。舉例來說,多晶矽上之原生氧化層可實質上抑制多晶矽之蝕刻並增加多晶矽之蝕刻不均勻性。原生氧化層可在含矽表面暴露至周圍條件或氧時形成。
典型地,原生氧化物之移除可使用濕式處理來執行,例如以 稀釋氫氟酸(HF)處理原生氧化物。然而,使用如此之濕式蝕刻處理來移除原生氧化物可能是昂貴的、可能引起嚴重的安全性疑慮、可能無法達到相對其他材料之高選擇性、且可能造成額外暴露至周圍條件而容許原生氧化物在蝕刻多晶矽前重新生長。濕式處理對於涉及高縱橫比特徵部的妝置而言亦可能有問題。
典型地,多晶矽之移除可使用濕式或乾式反應性離子蝕刻(reactive-ion-etch,RIE)處理來執行。然而,用於移除多晶矽的濕式蝕刻處理可導致多晶矽之低蝕刻率,其造成低產能。再者,用於移除多晶矽的濕式蝕刻處理可能無法達到如乾式蝕刻處理一般高之相對其他材料的選擇性。
乾式RIE處理至少部份因為使用外部偏壓來控制離子方向及能量的複雜硬體而可導致更高成本。此外,使用乾式RIE處理因暴露至離子及光子通量而可能毀損周圍結構。該等周圍結構可為由例如暴露之氮化物及/或氧化物製成的側壁。如此之周圍結構可包含氮化矽(Si3N4)、氮化鈦(TiN)、及包含熱氧化物之氧化矽(SiO2)。
本揭露內容關於晶圓上之原生矽氧化層的移除方法。一方法包含提供具有多晶矽層上之原生矽氧化層的晶圓、及引入包含氫基物種及氟基物種的蝕刻劑,其中氫基物種或氟基物種包含氮。該方法更包含使蝕刻劑暴露至電漿以活化氫基物種及氟基物種、使蝕刻劑流至晶圓上以接觸原生矽氧化層、及加熱晶圓至大於約60℃之溫度以自多晶矽層實質上移除原生矽氧化層。在一些實施例中,氫基物種為氫,且氟基物種為三氟化氮。在一些實施例中,晶圓包含暴露之氮化物及/或氧化物結構。在原生矽氧化層之移除期間,暴露之氮化物及/或氧化物結構的蝕刻率可小於約每分鐘3Å。在一些實施例中,使蝕刻劑暴露至電漿包含使蝕刻劑暴露至遠端電漿。在一些實施例中,使蝕刻劑流向晶圓可發生在低於約40℃之溫度。
晶圓上之原生矽氧化層的另一移除方法包含提供具有多晶矽層上之原生矽氧化層的晶圓、及使包含第一氫基物種及第一氟基物種的第一蝕刻劑流至晶圓上以接觸原生矽氧化層,其中第一氫基物種或第一氟 基物種包含氮。該方法更包含加熱晶圓至大於約60℃之溫度、及使包含第二氫基物種及第二氟基物種的第二蝕刻劑流向晶圓。該方法更包含使第二蝕刻劑暴露至電漿、及自晶圓移除原生矽氧化層且至少部份移除多晶矽層。在一些實施例中,各步驟係於不破壞真空的情況下發生。在一些實施例中,第一蝕刻劑與第二蝕刻劑相同。第一及第二氫基物種可為氫,且第一及第二氟基物種可為三氟化氮。在一些實施例中,晶圓包含暴露之氮化物及/或氧化物結構。在原生矽氧化層之移除期間,暴露之氮化物及/或氧化物結構的蝕刻率小於約每分鐘3Å。在一些實施例中,使第一蝕刻劑流至晶圓上可發生在低於約40℃之溫度。
本揭露內容亦關於自晶圓移除原生矽氧化層的設備。該設備包含反應腔室,該反應腔室包含晶圓,其中該晶圓包含多晶矽層、該多晶矽層上方之原生矽氧化層、及暴露之氮化物及/或氧化物結構。該設備更包含電漿源,其耦接至反應腔室且配置成在反應腔室之外產生電漿;及具有用於執行一系列操作之指令的控制器。此包含使包含第一氫基物種及第一氟基物種的第一蝕刻劑流至晶圓上以接觸原生矽氧化層,其中第一氫基物種或第一氟基物種包含氮。該等指令亦執行加熱晶圓至大於約60℃之溫度、及使包含第二氫基物種及第二氟基物種的第二蝕刻劑流向晶圓。該等指令亦執行使第二蝕刻劑暴露至電漿;自多晶矽層移除原生矽氧化層,其中暴露之氮化物及/或氧化物結構的蝕刻率小於約每分鐘3Å;及至少部份移除多晶矽層,其中多晶矽層之移除係發生在大於約1000:1的相對暴露之氮化物及/或氧化物結構之選擇性下。在一些實施例中,該設備包含第一站及第二站,其中第一站係配置成執行使第一蝕刻劑流至晶圓上的操作,且第二站係配置成執行剩下的操作。在一些實施例中,控制器包含用於加熱晶圓至低於約200℃之溫度的指令。在一些實施例中,使第一蝕刻劑流至晶圓上可發生在低於約40℃之溫度。
這些及其他實施例係參照圖式於以下加以說明。
1‧‧‧站
2‧‧‧站
3‧‧‧站
4‧‧‧站
5‧‧‧站
6‧‧‧站
200‧‧‧裝置
202‧‧‧電漿源腔室
204‧‧‧暴露腔室
206‧‧‧噴淋頭組件
208‧‧‧噴淋頭
212‧‧‧晶圓
214‧‧‧平台
218‧‧‧導管
220‧‧‧入口
222‧‧‧感應線圈
224‧‧‧功率源
226‧‧‧控制器
300‧‧‧裝置結構
310‧‧‧多晶矽層
320‧‧‧下方層
330‧‧‧垂直結構
600‧‧‧裝置結構
610‧‧‧多晶矽層
620‧‧‧下方層
630‧‧‧垂直結構
640‧‧‧原生矽氧化層
700‧‧‧處理
705‧‧‧方塊
710‧‧‧方塊
715‧‧‧方塊
720‧‧‧方塊
725‧‧‧方塊
900‧‧‧處理
905‧‧‧方塊
910‧‧‧方塊
915‧‧‧方塊
920‧‧‧方塊
925‧‧‧方塊
930‧‧‧方塊
1001‧‧‧腔室
1002‧‧‧腔室
圖1為顯示多站處理設備之俯視圖的簡化示意圖。
圖2顯示依據若干實施例的下游電漿裝置。
圖3顯示具有下方層上方之多晶矽層且具有複數垂直構造的範例結構剖面。
圖4A顯示呈現針對純H2電漿的作為溫度之函數的多晶矽蝕刻率的圖表。
圖4B顯示呈現針對純H2電漿的作為壓力之函數的多晶矽蝕刻率的圖表。
圖4C顯示呈現針對純H2電漿的作為RF功率之函數的多晶矽蝕刻率的圖表。
圖5A顯示呈現針對H2及NF3電漿的作為溫度之函數的多晶矽蝕刻率的圖表。
圖5B顯示呈現針對H2及NF3電漿的作為壓力之函數的多晶矽蝕刻率的圖表。
圖6顯示具有多晶矽層及該多晶矽層上方之原生矽氧化層的範例結構剖面。
圖7顯示用於非序列式實施的示範性流程圖,其顯示移除晶圓上原生矽氧化物的方法。
圖8A顯示呈現作為溫度之函數的矽酸四乙酯(TEOS)之蝕刻率的圖表。
圖8B顯示呈現作為壓力之函數的TEOS之蝕刻率的圖表。
圖8C顯示呈現作為NF3濃度之函數的TEOS之蝕刻率的圖表。
圖9顯示用於序列式實施的示範性流程圖,其顯示移除晶圓上原生矽氧化物的方法。
在以下的敘述中,提出眾多具體細節以提供對於所呈現之概念的透徹瞭解。該等所呈現之概念可在不具有這些具體細節之一些或全部者的情況下實施。在其他情形中,為人熟知之處理操作已不詳細敘述以免 非必要地混淆所述概念。儘管一些概念將結合具體實施例加以說明,但應瞭解不欲使這些實施例成為限制性。
基於電漿之蝕刻可用於積體電路之製造中。對於各種技術節點(technology nodes)而言,例如在1x-nm或2x-nm節點中,例如記憶體裝置堆疊的新類型之結構用材料可提供極大的優勢。例如蝕刻特定層之製造處理可能需要對於如此新材料相對良性、同時又以高效率蝕刻。儘管可能為了高產能而期望達到在高效率下蝕刻例如多晶矽之若干材料,但亦可能期望使對周圍暴露材料之損失最小化,以避免不利地影響裝置效能。
遠端或下游電漿可提供可接受之蝕刻率同時使周圍材料之損失最小化。舉例來說,在一些實施例中,材料可包含氮化矽(Si3N4)及/或氮化鈦(TiN)。Si3N4可用作分隔件及/或蝕刻停止層,且TiN可用作金屬閘極結構或電極。遠端或下游電漿可提供能使直接電漿暴露造成之毀損(包括離子衝擊毀損、放電毀損、及由高通量之充能光子帶來的缺陷)最小化的條件。
電漿反應器及處理腔室
處理腔室可包含任何適合之用於被執行之蝕刻操作的反應腔室。其可為多腔室設備之一腔室,或其可僅為單一腔室設備。該腔室亦可包含其中不同晶圓同時受處理之複數站。圖1為顯示包含站1、2、3、4、5、及6之多站設備的俯視圖之簡化示意圖。晶圓在站1經由腔室1001進入該設備、被依序運送至各站以供在該站的處理操作、並在處理完成後經由腔室1002自站6離開。該等站之若干者可配置有遠端電漿源以供執行在此敘述之操作。
電漿反應器設備包含配置成自例如積體電路之裝置結構移除光阻材料及其他材料的設備。如此之設備的實例包含Lam Research Corporation of Fremont,CA提供的Gamma 2100、2130 I2CP(交錯感應耦合電漿,Interlaced Inductively Coupled Plasma)、G400、GxT、及SIERRA。其他系統包含來自Rockville,Maryland之Axcelis Technologies Inc.的Fusion產品線、來自韓國之PSK Tech Inc.的TERA21、及來自Fremont,CA之 Mattson Technology Inc.的Aspen。此外,各種電漿反應腔室可與叢集工具相關聯。舉例來說,可將條腔室(strip chamber)增加至可自Santa Clara,CA之Applied Materials取得的Centura叢集工具。
圖2為顯示依據若干實施例之下游電漿裝置200的示意圖。裝置200具有由噴淋頭組件206所隔開的下游電漿源腔室202及暴露腔室204。噴淋頭組件206包含噴淋頭208。在暴露腔室204內部,晶圓212靜置於平台、座臺、或工件支撐件214上。在一些實施例中,面對平台214的噴淋頭208之表面距離平台214上晶圓之表面約0.5至2英吋。在進一步之實施例中,面對平台214的噴淋頭208之表面距離平台214上晶圓之表面約1.2英吋。在又進一步的實施例中,面對平台214的噴淋頭208之表面距離平台上晶圓之表面約0.1至0.9英吋。由於晶圓之厚度通常小於約1mm,所以當晶圓直接靜置於平台上時,噴淋頭表面與晶圓表面之間的距離可大致視為噴淋頭表面與平台之間的距離。在晶圓靜置於平台上之支撐構造(例如抬升銷或氣流毯)上的情況中,噴淋頭表面與晶圓表面之間的距離可大致視為噴淋頭表面與支撐構造之間的距離。
在一些實施例中,平台214係設有加熱/冷卻元件。在一些實施例中,射頻(RF)功率源(未顯示)可配置成施加RF功率至平台214以提供偏壓源。然而,許多爾後在以下討論的實施例並不對平台214使用偏壓源。RF功率源在一些實施例中可為低頻(LF)功率源,且R功率源在其他實施例中可為高頻(HF)功率源。舉例來說,在一些實施例中,低頻功率源具有約50千赫(kHz)至1百萬赫(MHz)之頻率,且高頻功率源具有約2至200百萬赫(MHz)之頻率。在其他實施例中,低頻功率源具有約400kHz之頻率,且高頻功率源具有約13.56MHz之頻率。在進一步之實施例中,RF功率源包含LF及HF功率源二者。
低壓係於暴露腔室204中透過真空泵(未顯示)經由導管218而達到。暴露腔室204中的壓力在一些實施例中可為約300毫托(mtorr)至3.5torr,且在進一步之實施例中為約5mtorr至超過200mtorr。
氣體源(未顯示)經由入口220提供處理氣體流至裝置200的電漿源腔室202中。電漿源腔室202係部份由感應線圈222圍繞,該感應 線圈222係因此連接至功率源224。可使用電漿源腔室202及感應線圈222的各種配置及幾何形狀。舉例來說,感應線圈222可利用交錯形式纏繞於電漿源腔室202周圍。在另一實例中,電漿源腔室202可成型為圓頂件來代替圓柱件。控制器226可連接至裝置之構件以控制裝置200之操作。舉例來說,控制器226可連接至功率源224。控制器226亦可連接至裝置200之其他構件,俾控制例如處理氣體組成、壓力、及平台214的溫度。機器可讀媒體可耦接至控制器226且含有指令來控制裝置200中之操作的處理條件。
依據本發明,可使用不同類型的電漿源,包括RF、DC、及基於微波的電漿源。在較佳實施例中,使用下游RF電漿源。典型地,用於300mm晶圓的RF電漿功率在約300瓦至約10千瓦的範圍內。在一些實施例中,RF電漿功率係介於約2000瓦與5000瓦之間,例如3500瓦。
在一些實施例中,電漿源腔室202可為由包括石英、陶瓷、或氮化鋁(AlN)材料之不同材料製成的圓頂件。石英圓頂件中的例如多晶矽之蝕刻材料可導致矽氧化物的形成。然而,在陶瓷圓頂件中蝕刻多晶矽可使矽氧化物的形成最小化。圓頂表面亦可利用例如釔氧化物或釔氟化物之薄膜加以覆蓋,俾減少或另外預防圓頂件材料的劣化。
在操作期間,氣體混合物係引入電漿源腔室202中,且利用功率源224使感應線圈222充能,俾產生電漿(亦即,感應線圈產生感應耦合電漿於電漿源腔室202中)。引入電漿源腔室202中的氣體混合物含有將被離子化及自由基化以形成電漿的化學活性物種。噴淋頭208包含複數孔或通道,來自電漿之電漿物種可通過該等孔或通道並進入暴露腔室204。於一些實施例中,在對其施加一電壓的情況下,噴淋頭208終止來自電漿之離子流,並容許來自電漿之自由基及其他中性物種流入暴露腔室204中。噴淋頭208可接地或具有受施加的電壓,以吸引一些電荷物種而不影響中性物種流至晶圓,如0-1000瓦偏壓。許多電漿中的帶電物種在噴淋頭重組。噴淋頭可為具有孔洞的金屬板,以導引電漿及惰性氣體混合物進入反應腔室中。噴淋頭孔之數量及排列可加以設定俾使蝕刻操作最佳化。進入暴露腔室204之電漿物種可自晶圓212移除材料。
控制器
控制器226可含有用於控制供裝置200之操作用的處理條件之指令。控制器226將典型地包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連結,步進馬達控制器板等。實施適當控制操作之指令係於處理器上執行。這些指令可儲存於與控制器226相關聯之記憶體裝置、或其可透過網路提供。依據本發明的含有控制處操作之指令的機器可讀媒體可通訊性耦接至控制器226。
在若干實施例中,控制器226控制在此敘述之下游電漿裝置200的活動之所有或大部分者。控制器226可執行系統控制軟體並包含用來控制時序、氣體組成、氣體密度、流速、腔室壓力、RF功率位準、晶圓位置、及/或其他參數的指令組。在一些實施例中可使用其他儲存於與控制器226相關聯之記憶體裝置上的電腦程式、腳本(script)、或常式。為了在鄰近晶圓212之環境提供適當處理條件,可藉由控制器調整例如電漿之RF功率位準、氣體密度、及時序的參數。
處理條件及處理流程本身可藉由包含監測、維持、及/或調整若干處理變數之程式指令的控制器226加以控制。舉例來說,可包含指定流速之指令。指令亦可包含預清理、鈍化、保護層形成、非保護層、釘扎(pinning)操作、其他沉積後處理、蝕刻、局部填充、及類似者的參數。控制器226可包含針對不同設備站之不同或相同指令,因此容許設備站獨立或同步操作。
在一些實施例中,控制器226可包含用來執行一系列操作之指令。此包含使含有氫基物種及氟基物種之蝕刻劑流向晶圓,其中該晶圓包含多晶矽層及暴露之氮化物及/或氧化物結構。該等指令更包含使蝕刻劑暴露至電漿源以活化氫基物種及氟基物種、並以大於約500:1之相對暴露之氮化物及/或氧化物結構的選擇性移除多晶矽層。
在一些實施例中,可有與控制器226相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或處理條件之圖形軟體顯示器、及例如指向裝置、鍵盤、觸控螢幕、麥克風等之使用者輸入裝置。
控制上述操作之電腦程式碼可以任何習知電腦可讀程式語言撰寫:例如組合語言、C、C++、Pascal、Fortran、或其他者。所編寫之目標碼(object code)或腳本係藉由處理器執行,以實施程式中所確認之任務。
用於監測處理之訊號可藉由系統控制器的類比及/或數位輸入連結而提供。用於控制處理之訊號係於處理系統的類比及數位輸出連結上輸出。
裝置構造
圖3顯示具有多晶矽層之範例裝置結構的剖面。如圖3中的裝置結構300所示,多晶矽層310可在下方層320上方,該下方層320可包含Si3N4。舉例來說,多晶矽層310亦可由複數垂直結構330分隔,該複數垂直結構330可各包含TiN及/或Si3N4。在一些實施例中,多晶矽層310可包含經過退火之多晶矽。相較於無退火多晶矽,退火之多晶矽可更呈結晶狀及鬆弛,且可在與無退火多晶矽不同之速率下蝕刻。本領域中具有通常技藝者將瞭解,多晶矽層310可由任何數量之不同材料圍繞及/或分隔。
在圖3之實例中,裝置結構300可為記憶體裝置。Si3N4下方層320可作為蝕刻停止物,且TiN及Si3N4垂直結構330可為電極。在一些實施例中,多晶矽層310受蝕刻,且然後TiN及Si3N4垂直結構330之間的空間以介電材料加以填充,俾於TiN及Si3N4垂直結構330之間產生電容器。
在圖3之實例中,多晶矽層310之厚度可介於約1μm與約2μm之間,例如介於約1.10μm與約1.35μm之間。此外,TiN及Si3N4垂直結構330亦可介於約1μm與約2μm之間,例如介於約1.10μm與約1.35μm之間。本領域中具有通常技藝者將瞭解,記憶體裝置結構300可具有變化之厚度及方向。
在圖3之實例中,多晶矽及其他特徵部之尺寸取決於應用及技術節點。在一些實施例中,多晶矽之用於移除的厚度可為約1.3μm,其可對應於2x-nm節點。對於為2x-nm之技術節點而言,此可對應至約22nm以下之特徵部(例如閘極寬度)。在一些實施例中,多晶矽之用於移除的厚度 可為約1.5μm,其可對應於1x-nm節點。對於為1x-nm之技術節點而言,此可對應至約16nm以下之特徵部(例如閘極寬度)。
處理條件
於此敘述之已揭示實施例涉及以相對暴露之氮化物及/或氧化物結構之高選擇性在高蝕刻率下移除多晶矽的方法。該方法包含提供一晶圓,其中該晶圓包含多晶矽層及暴露之氮化物及/或氧化物結構。該方法更包含使含有氫基物種及氟基物種之蝕刻劑流向晶圓、使蝕刻劑暴露至遠端電漿以使氫基物種及氟基物種活化、及移除多晶矽層。多晶矽層之移除係於約500:1之相對暴露之氮化物及/或氧化物結構之選擇性下執行。在一些實施例中,相對暴露之氮化物及/或氧化物結構之選擇性係大於約1000:1、或大於約75000:1。
該晶圓可包含任何半導體晶圓、部份積體電路、印刷電路板、或其他適當工作件。處理條件可依晶圓尺寸而改變。典型地,許多製造設備係針對200mm晶圓、300mm、或450mm晶圓而配置。於此敘述之已揭示實施例係配置成操作於任何適合之晶圓尺寸上,如300mm及450mm晶圓技術。
在一些實施例中,多晶矽之移除可藉由遠端或下游電漿反應器進行,例如相關於圖2敘述的反應器。引入圖2中之反應器的氣體可隨應用而改變。在一些實施例中,蝕刻反應可使用氫基蝕刻劑來進行。氫基蝕刻劑可包含例如氫(H2)。另一實例可包含氨(NH3)。在一些實施例中,蝕刻反應可使用H2與例如三氟化氮(NF3)或四氟化碳(CF4)之氟化物種的組合來進行。例如H2及NF3之氣體為非毒性且通常對環境不具負面效果。
使用氫基物種作為蝕刻劑有效地蝕刻多晶矽、同時作用為使氧化作用及其他暴露之材料(如TiN、Si3N4、及SiO2)損失最小化的還原劑。例如氧之氧化劑可增加多晶矽之蝕刻率,但亦可能氧化並增加損失。增加氟基物種作為伴隨氫基物種之蝕刻劑可增加多晶矽之蝕刻率,但若氟基物種之濃度超過一定限度,亦對於暴露之其他材料可能增加損失。
如先前於此敘述,氫基物種可包含氫氣(H2)或氨(NH3),且 氟基物種可包含三氟化氮(NF3)或四氟化碳(CF4)。氟基物種之其他實例可包含六氟化硫(SF6)、六氟乙烷(C2F6)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟環丁烷(C4F8)、八氟[1-]丁烷(C4F8)、八氟[2-]丁烷(C4F8)、八氟異丁烯(C4F8)、氟(F2)、及類似者。對遠端電漿之暴露可使氫基物種及氟基物種活化,以形成電漿活化物種、自由基、及帶電物種。
在一些實施例中,移除多晶矽層可發生在大於約每分鐘1000Å之蝕刻率。在一些實施例中,暴露之氮化物可包含Si3N4。在一些實施例中,暴露之氮化物可包含TiN。在一些實施例中,暴露之氧化物可包含SiO2,例如熱氧化物。暴露之氮化物及/或氧化物結構之蝕刻率可小於約每分鐘5Å,例如小於每分鐘1Å。在一些實施例中,暴露之氮化物及/或氧化物結構之蝕刻率可小於約每分鐘0.01Å。這些蝕刻率可在多晶矽蝕刻率大於約每分鐘1000Å時達成。
電漿蝕刻之處理條件可影響多晶矽及暴露之氮化物及/或氧化物的蝕刻率。例如表面溫度、壓力、來源功率、氣體流速、晶圓尺寸、及蝕刻劑氣體之相對濃度的不同處理參數可影響處理條件,且因此影響多晶矽及暴露之氮化物及/或氧化物的蝕刻率。如此之處理參數可在「處理窗」內加以最佳化,以使多晶矽之蝕刻率極大化並限制暴露之氮化物及/或氧化物的蝕刻率。
氫基物種的引入提供將在遠端電漿源中被離子化及自由基化以形成電漿的活性物種。在不被任何理論限制的情況下,多晶矽之蝕刻可藉由連續增加吸附至矽原子之氫原子已形成Si-Hx錯合物而發生,其中化學吸附氫原子的數目自x=1、2、及3成長,亦即SiH、SiH2、及SiH3。如此的反應機制至少在純H2電漿存在時發生。添加氫原子至SiH3提昇揮發性矽烷(SiH4)的形成,其促進多晶矽之蝕刻。以下方程式可說明整體Si蝕刻反應:Si(s)+4H→SiH4(g)
其他反應亦可發生,以促進多晶矽之移除。在以下反應中,活化氟原子可與矽原子反應並形成揮發性四氟化矽(SiF4):Si(s)+4F(g)→SiF4(g)。並且,氫基物種與氟基物種之混合物可形成氣相反應物,例如HF、NH4F.HF、及NH4F。這些氣相反應物及其他電漿活化物種可潛 在性地與矽原子反應,以形成固態副產物(NH4)2SiF6。固態副產物係於略為升高之溫度(例如大於約75℃)下昇華,以形成氣相副產物並藉以移除多晶矽。因此,來自蝕刻劑的電漿活化物種及氣相反應物可共同促進多晶矽的蝕刻。
對於純H2電漿而言,多晶矽蝕刻率可相依於溫度。圖4A顯示呈現針對純H2電漿的作為溫度之函數的多晶矽蝕刻率的圖表。在低於約40℃的溫度下,多晶矽之蝕刻率隨增加的溫度而增加。在大於約40℃之溫度下,多晶矽之蝕刻率隨增加的溫度而降低。如此一來,多晶矽的最大蝕刻率可顯示一溫度最大值(Tmax),例如Tmax~40℃。在Tmax以下,增加溫度使得用於揮發性矽烷形成的熱活化增加,藉此增加多晶矽蝕刻率。在高於Tmax,多晶矽表面上可有消耗形成揮發性SiH4所需的化學吸附之H原子的H原子之重組。
對於純H2電漿而言,多晶矽蝕刻率可相依於壓力。圖4B顯示呈現針對純H2電漿的作為壓力之函數的多晶矽蝕刻率的圖表。當壓力增加時,多晶矽之蝕刻率穩定地降低。然而,當壓力變得低於0.6托(Torr)時,便可能得以觀測到作為壓力之函數的蝕刻率之最大值。在大於約0.6托且小於約3.5托的壓力下,多晶矽之蝕刻率降低。當壓力增加時,預期多晶矽表面上的氫原子之物理吸附作用增加。物理吸附氫原子與化學吸附氫原子之表面重組率可增加以形成H2氣體,導致SiHx前驅物之耗盡。此外,氫原子之表面(壁)及體積重組率亦隨壓力而增加,此可引起較低的可用於與多晶矽表面反應之氫自由基濃度。
添加例如NF3之氟化氣體物種於H2可改變多晶矽之蝕刻表現。氟原子可藉由NF3的解離而產生。遠端電漿可導致氟化氣體物種之解離,以產生氟自由基。氟自由基與矽反應而形成氣相副產物,包含穩定形式的SiF4。因此,多晶矽表面不但由氫原子蝕刻,且由氟原子蝕刻。如此一來,添加氟化氣體物種可大致上增加多晶矽之蝕刻率。自H2之解離產生的活化物種與自NF3之解離產生的活化物種之間亦可有反應,以形成更多錯合電漿物種。後者之物種可接著與多晶矽表面反應並蝕刻之。NF3、H2、及電漿活化物種亦可在氣相中反應,以產生氣相反應物之混合物,例如 HF、NH4F.HF、及NH4F,其因此反應並最終移除多晶矽表面。
氟化氣體物種之相對濃度可達一定限度以維持所期望的相對暴露之氮化物及/或氧化物的選擇性。在一些實施例中,氟化氣體物種之濃度可低於約每體積50%。在一些實施例中,氟化氣體物種之濃度可低於約每體積20%。在一些實施例中,氟化氣體物種之濃度可介於每體積約0.7%與約10%之間。
再者,添加惰性氣體載體可用於一些實施例中。如此載體可增加多晶矽之蝕刻率。據信惰性氣體載體可減少氣相中自由基重組的可能性。惰性氣體載體的實例可包含稀有氣體,例如氦(He)、氖(Ne)、及氬(Ar)。舉例來說,在一些實施例中,可使用約5:1的惰性氣體載體對H2之稀釋。
對於具有H2及NF3之氣體混合物的電漿而言,多晶矽蝕刻率顯現對溫度的強相依性。圖5A顯示呈現作為溫度之函數的多晶矽蝕刻率的圖表。對於具有約5% NF3濃度之氣體混合物而言,多晶矽之蝕刻率在約60℃與約80℃之Tmax增加至最大值。當溫度大於約Tmax時,多晶矽之蝕刻率降低。對於具有約0.7% NF3濃度之氣體混合物而言,當溫度大於約30℃時,多晶矽之蝕刻率下降。在此情況中,Tmax可出現於小於約30℃之溫度。此外,對大於約40℃之溫度而言,5% NF3的多晶矽之蝕刻率係高於0.7% NF3。因此,增加氟化氣體物種之濃度可導致較高多晶矽蝕刻率。
對於具有H2及NF3之氣體混合物的電漿而言,多晶矽蝕刻率亦顯現壓力之強相依性。圖5B顯示呈現作為壓力之函數的多晶矽蝕刻率的圖表。當將具有約5% NF3之氣體混合物的溫度固定在約100℃時,在約0.6托與約1.5托之間多晶矽之蝕刻率隨壓力增加。然而,在超過約1.5托的情況下,多晶矽之蝕刻率隨壓力而降低。當將具有約5% NF3之氣體混合物的溫度固定在約60℃時,在約0.6托與約0.8托之間多晶矽之蝕刻率亦隨壓力增加。在約2托的高壓下,發現蝕刻率明顯下降。因此,對於60℃及100℃二者而言,多晶矽之最大蝕刻率可在約1.0托與約1.5托之間之壓力、5% NF3約的情況下實現。
例如溫度、壓力、流速、及氣體混合物之相對濃度的處理條件可在特定處理窗內加以調整,以使多晶矽之蝕刻率最佳化。在一些實施 例中,溫度可介於約10℃與約160℃之間,例如約20℃與約110℃之間或約35℃與約100℃之間。在一些實施例中,壓力可介於約0.5托與約3.5托之間,例如約1.5托與約3.5托之間。在一些實施例中,氟化氣體物種之相對濃度可小於約50%體積,例如小於約20%或小於約10%體積。
如數據所建議,為了達到超過約每分鐘1000Å的多晶矽之蝕刻率,可建立處理條件使得溫度介於約60℃與100℃之間、壓力介於約0.6托與約3.0托之間、且NF3濃度為約2%至10%(例如約5%)體積。當溫度為約100℃且壓力為約1托時,多晶矽之蝕刻率可大於約每分鐘1100Å。
除了改變處理條件以達到相對有效的多晶矽蝕刻率之外,還可改變處理條件以達到暴露之例如Si3N4及TiN之材料的低損失。可與多晶矽一起使用的其他材料包括SiO2及各種金屬。
表I提供表示成作為溫度之函數的材料相對損失的Si3N4及TiN之蝕刻率。蝕刻係執行約4.2分鐘之持續時間。在此實例中,Si3N4係藉由低壓化學氣相沉積(LPCVD)形成。NF3之濃度係固定於約5%體積且壓力可固定於0.6托。為了達到NF3之5%體積濃度,而將NF3之流速設定成約106每分鐘標準立方公分(sccm),其中H2之流速係設定成約2每分鐘標準升(slm)。當溫度在約60℃與約250℃之間增加時,Si3N4損失之改變係極為可加以忽略。然而,在大於約100℃之溫度下的TiN損失並非同樣地可忽略,且隨超過100℃之溫度而實質上增加。因此,在多晶矽-TiN及/或多晶矽-Si3N4結構中,約60℃與約100℃之間之溫度可為適當。在這些處理條件下,Si3N4及TiN之損失係小於約10Å。
在其中對於約5%之NF3濃度而言溫度為約100℃、且壓力 為約1托的處理條件,Si3N4之蝕刻率為約每分鐘1.0Å,且TiN之蝕刻率為約每分鐘0Å。在如此之處理條件,多晶矽相對Si3N4之蝕刻選擇性可大於1000,且多晶矽相對TiN之蝕刻選擇性亦可大於1000。
除了例如溫度、壓力、及氣體混合物之濃度的處理參數之外,電漿源的RF功率亦可影響多晶矽、Si3N4、及TiN的蝕刻率。圖4C顯示呈現針對純H2電漿的作為RF功率之函數的多晶矽蝕刻率的圖表。對於純H2電漿而言,多晶矽之蝕刻率隨增加的RF功率而增加。對於單一300mm晶圓來說,多晶矽之蝕刻率在Lam Research Corporation Gamma GxT工具之約3000W功率約呈高原形式。超過此最大功率,氫原子重組可實質上足夠使多晶矽之蝕刻率不明顯地變化。此外,Si3N4之蝕刻率亦隨增加之RF功率而增加,並在相對高RF功率呈高原形式。
在一些實施例中,一設備可如圖1所示設置有複數站,以提供不同處理俾達到變化之材料蝕刻率。舉例來說,一些站可具有為了提供相對高的多晶矽蝕刻率及對於暴露之氮化物及/氧化物之相對低選擇性而訂製的處理條件。一些站可具有為了相對低的多晶矽蝕刻率及對於暴露之氮化物及/氧化物之相對高選擇性而訂製的處理條件。一些站可具有為了移除塊體多晶矽層之頂部上的原生氧化層而訂製的處理條件,而隨後之站係針對移除塊體多晶矽層而配置。在若干實施例中,一設備可為了高多晶矽蝕刻率及相對Si3N4及TiN之高選擇性,而在一低溫範圍內(例如介於約20℃與約100℃之間)及高RF功率(例如大於Gamma GxT之約3000W)具有複數站。該設備亦可為了低多晶矽蝕刻率及相對TiN之低選擇性,而在一高溫範圍內(例如介於約100℃與約250℃之間)及低RF功率(例如少於Gamma GxT之約3000W)具有另外之複數站。
如先前於此敘述之遠端電漿裝置可在鄰近晶圓表面處提供自由基或其他活性化物種以與多晶矽反應。遠端電漿裝置使晶圓表面處之離子轟擊減至最少,且因此使離子衝擊毀損、放電毀損、及另行由直接電漿暴露導致之高通量充能光子所帶來的缺陷降至最低。藉由直接電漿暴露的蝕刻可包含例如乾式反應性離子蝕刻(RIE),其施加外部偏壓以控制離子之方向。典型地,相較於遠端電漿蝕刻,RIE產生更多離子。RIE設備使用 基板腔室內之電漿源,並使用複雜的硬體俾利用外部偏壓來控制離子之方向及能量。相比於遠端電漿裝置,RIE之複雜硬體相當昂貴。舉例來說,典型RIE反應器之成本約為典型下游電漿反應器(如Gamma GxT)之成本的兩倍。此外,如圖2之實例中所示,RIE可導致較高的裝置結構中之側壁損失量,如此一來,側壁在多晶矽被蝕刻時受到離子及電子轟擊。
針對多晶矽之移除,不需要在遠端或下游電漿反應器中施加偏壓電壓。然而,可能需要為了移除多晶矽蝕刻後所留下的殘留物而施加偏壓電壓。為了有效移除塊體多晶矽已受蝕刻後所留下的殘留物,偏壓電壓可與遠端或下游電漿反應器一起使用。
原生氧化物移除-引言
多晶矽或任何其他含矽結構的移除可藉由原生氧化物的存在而受到阻礙。當暴露至周圍條件或氧時,原生矽氧化層可形成於多晶矽層或其他含矽層上。圖6顯示具有多晶矽層上方之原生矽氧化層的範例結構剖面。
在圖6中,裝置結構600可類似於先前圖3中提供的裝置結構300。多晶矽層610可由垂直結構630分隔,該等垂直結構630可各包含TiN及/或Si3N4。多晶矽層610亦可設置於可包含Si3N4之下方層620的上方。在一些實施例中,裝置結構600可為記憶體裝置,其中下方層620為蝕刻停止物,且垂直結構630為電極。原生矽氧化層640可形成於多晶矽層610上方。在一些實施例中,含氧層(例如矽氮氧化物)640可形成於垂直結構630中之Si3N4上。
當氧在含矽結構之表面與矽反應時,可形成原生矽氧化層640。原生矽氧化層640可具有約5Å與約50Å之間、或約10Å與約30Å之間的厚度。由於原生矽氧化層640並非蓄意製造或合成,而是在暴露至任何含氧環境時形成,所以原生矽氧化層640之結構可能是不均勻且高度非晶性。
當嘗試在下方材料上執行化學反應時,原生矽氧化層640的存在可阻礙化學反應。具體而言,原生矽氧化層640可阻礙多晶矽層610 之蝕刻、抑制多晶矽移除並增加多晶矽移除的不均勻性。此可負面地影響產能及裝置效能。
較佳地在移除多晶矽層610前移除原生矽氧化層640,同時帶來周圍材料(例如含TiN及/或Si3N4之垂直結構630)的最小損失。在一些實施例中,較佳地移除原生矽氧化層640及多晶矽層610二者,同時帶來周圍材料的最小損失。
典型地,晶圓上之原生矽氧化層640的移除係藉由濕式蝕刻處理而達成,例如將晶圓浸入含稀釋之浸浴,且然後運送至另一反應腔室以供進一步處理。此濕式蝕刻處理可能具有若干缺點,例如容許原生氧化物在運送晶圓時之等待期間再生長、相對高的持有成本、及使用毒性、危險、且具環境不友善性的溶劑。此外,濕式處理可能對見於裝置中之高縱橫比結構的完整性讓步。然而,於此敘述之已揭示實施例可藉由應用具有高選擇性之移除晶圓上之原生矽氧化層的方法、及使用乾式電漿蝕刻處理,來緩和該等缺點的至少一些者。在一些情形中,用於移除原生矽氧化層之乾式電漿蝕刻處理可結合於與多晶矽蝕刻處理相同的設備中。
原生氧化物之移除-非序列式方法
在一些實施例中,原生矽氧化層的移除發生於與隨後處理步驟(例如多晶矽之移除)分隔的設備中。此方法可針對任何數目之理由而使用,例如為了取代HF濕式蝕刻處理以減少成本、及/或為了移除原生矽氧化物,其中隨後之處理步驟發生於與原生矽氧化層之移除不相容的設備中。
圖7顯示用於非序列式實施的示範性流程圖,其顯示自晶圓上原生矽氧化物的方法。處理700開始於方塊705,其中提供在多晶矽層上具有原生矽氧化層的晶圓。該晶圓可包含任何半導體晶圓、部份積體電路、印刷電路板、或其他適當的工作件。在一些實施例中,該晶圓可為記憶體裝置的一部分。在一些實施例中,該晶圓可包含暴露之氮化物及/或氧化物結構。暴露之氮化物結構可包含矽氮化物及/或鈦氮化物。暴露之氧化物結構可包含二氧化矽,例如熱矽氧化物。可在低於約60℃(例如介於約20℃與約40℃之間)之相對冷卻溫度將該晶圓提供至反應腔室中。
處理700於方塊710繼續,其中引入包含氫基物種及氟基物種之蝕刻劑,且其中氫基物種或氟基物種包含氮。在一些實施例中,氫基物種包含氫且氟基物種包含三氟化氮。該蝕刻劑可引入而不接觸晶圓。舉例來說,可使蝕刻劑流入電漿腔室或電漿源中,其中電漿源為遠端電漿源。可將方塊710期間的晶圓維持在低於約60℃(例如介於約20℃與約40℃之間)之相對冷卻溫度。
處理700於方塊715繼續,其中使蝕刻劑暴露至電漿,以活化氫基物種及氟基物種。在一些實施例中,使蝕刻劑暴露至電漿包含使蝕刻劑暴露至遠端電漿。遠端電漿可產生自遠端下游電漿反應器。對電漿之暴露可自氫基物種及氟基物種形成反應性物種,包含電漿活化物種及自由基。可將方塊715期間的晶圓維持在低於約60℃(例如介於約20℃與約40℃之間)之相對冷卻溫度。
處理700於方塊720繼續,其中使蝕刻劑流至晶圓上以接觸原生矽氧化層。蝕刻劑中之反應性物種可自遠端電漿源流至晶圓以與原生矽氧化層反應。電漿中之NF3及H2的解離可產生包含F*、N*、NFx*、及H*的活性化物種及自由基。在不由任何理論所限制的情況下,上述物種併同分子NF3及H2皆可在電漿中反應以產生例如NH4F、及NH4F.HF的反應性物種,其因此可吸附至二氧化矽上並與之反應,以形成六氟矽酸銨((NH4)2SiF6)。六氟矽酸銨為固態產物,其藉由加熱而分解成揮發性氣體。在加熱之前,可將方塊720期間的晶圓維持在低於約60℃(例如介於約20℃與約40℃之間)之相對冷卻溫度。
處理700於方塊725繼續,其中將晶圓加熱至大於約60℃之溫度,以實質上自多晶矽層移除原生矽氧化層。加熱晶圓以造成六氟矽酸銨副產物昇華。六氟矽酸銨可藉由以下昇華反應加以移除:((NH4)2SiF6)(s)→SiF4(g)+2NH3(g)+2HF(g)。來自加熱六氟矽酸銨之每一反應產物皆為氣體。在一些實施例中,將晶圓加熱大於約75℃、或大於約100℃之溫度。再者,將晶圓加熱至低於約200℃、或低於約300℃之溫度,以不使化學反應開始而移除或以其他方式影響周圍材料。在晶圓被加熱時,可將電漿源關閉。換言之,在晶圓被加熱時,蝕刻劑並不暴露至電漿。氣體可繼續流 動以使腔室壓力穩定。
在原生矽氧化層之移除期間,暴露之氮化物及/或氧化物結構的蝕刻率可低於約每分鐘3Å、或低於約每分鐘1Å。原生矽氧化層之移除亦可相對例如鎢之暴露金屬具有選擇性。可期望相對暴露材料之高選擇性,部份因為晶圓於電漿暴露期間被維持在相對低溫、且保持氟基物種之低濃度。此外,電漿在晶圓於方塊725受加熱時被關閉。
在一些實施例中,處理700可更包含將晶圓運送至另一反應腔室以供後續處理。舉例來說,可將晶圓運送至另一設備以供移除多晶矽。除許多其他者外,其他處理步驟可包含清理、沉積、蝕刻、摻雜、退火、及圖案化。在一些情況中,運送處理可能破壞真空並導致晶圓暴露至周圍條件一段時間,此可造成一些原生矽氧化物重新生長。
原生氧化物之移除-處理窗
用於移除原生矽氧化物的處理條件可影響原生矽氧化物及周圍暴露材料的蝕刻率。例如晶圓溫度、反應腔室壓力、來源功率、蝕刻劑氣體流速、晶圓尺寸、及蝕刻劑氣體之相對濃度的各種處理參數可利用處理窗加以調整,以使原生矽氧化物之蝕刻率最佳化,同時限制暴露之氮化物、氧化物、及/或金屬結構的蝕刻率。
由於對於精確量測原生矽氧化物移除量的挑戰,尤其是由於原生矽氧化物的厚度典型地少於約20Å,因此在知悉TEOS極近似原生矽氧化物之化學及物理性質的情況下,使用矽酸四乙酯(TEOS)來收集實驗數據。事實上,TEOS可能比原生氧化層更難以移除,因為前者係關於具有更少缺陷的更規則之膜結構。因此,對於原生氧化物移除量而言,TEOS損失應為過高的估計值。圖8A-8C中之誤差線(error bars)表示橫越複數晶圓之損失量測的標準差。
圖8A顯示呈現作為溫度之函數的TEOS之蝕刻率的圖表。TEOS係於4.1%體積之NF3濃度、3500W之RF功率、及3托之腔室壓力的情況下暴露至H2/NF3電漿。在圖8A中,溫度表示電漿暴露期間且在加熱晶圓前的晶圓表面溫度。在電漿暴露之後,在無電漿的情況下(亦即RF 功率=0W)將晶圓加熱至100℃以完成移除TEOS的反應。TEOS損失代表加熱晶圓後的TEOS之移除量。對介於20℃與40℃之間的表面溫度,TEOS的移除量增加,而對大於60℃之表面溫度而言,TEOS之移除量實質上減少。最大TEOS移除量可發生在介於40℃與60℃之間的表面溫度。在不由任何理論限制的情況下,使TEOS之移除最佳化的相對低之溫度窗可歸因於反應性物種吸附於氧化物表面所期望的低溫。較高表面溫度可能抑制反應物吸附於矽氧化物表面上,且因此抑制反應率。
圖8B顯示呈現作為壓力之函數的TEOS之蝕刻率的圖表。TEOS係於4.1%體積之NF3濃度、3500W之RF功率、及20℃之表面溫度的情況下暴露至H2/NF3電漿。在電漿暴露之後,在無電漿的情況下將晶圓加熱至100℃以完成移除TEOS的反應。在圖8B中,壓力表示在整個電漿暴露及加熱晶圓過程中保持固定的腔室壓力。當壓力增加時,TEOS之移除量持續減少。因此,少於約3托、或少於2托之壓力對於使TEOS移除最佳化可能較佳。在不由任何理論限制的情況下,增加的腔室壓力可增加電漿活化物種的壁及體積重組,且因此減少可與氧化物表面反應的反應物之濃度。
圖8C顯示呈現作為NF3濃度之函數的TEOS之蝕刻率的圖表。TEOS係於3500W之RF功率、20℃之表面溫度、及3托之腔室壓力的情況下暴露至H2/NF3電漿。在電漿暴露之後,在無電漿的情況下將晶圓加熱至100℃以完成移除TEOS的反應。在圖8C中,NF3濃度表示下游H2/NF3電漿中之NF3氣體物種的體積濃度。當NF3濃度增加時,TEOS損失量持續增加。因此,大於約3.0%體積之NF3濃度對於使TEOS移除最佳化可能較佳。在不由任何理論限制的情況下,增加的NF3濃度可提供更多氟及氟基自由基,其因此增加吸附至氧化物表面並與之反應的反應性物種之濃度。
如先前在此所討論,原生矽氧化層的存在阻礙且抑制多晶矽或含矽材料的移除。表II提供不同條件下的多晶矽之蝕刻率。在各條件中,蝕刻係於覆有多晶矽且在多晶矽上具有原生矽氧化物的晶圓上執行。首先移除原生氧化層,而後移除下方之多晶矽。原生氧化物蝕刻包含四個條件 中之一者:(1)HF浸泡、(2)H2/NF3電漿而後在無電漿的情況下加熱至100℃、(3)H2/NF3無電漿(亦即僅流入H2及NF3氣體且將RF功率少定為0W)而後在無電漿的情況下加熱至100℃、及(4)無蝕刻(亦即原樣)。在執行該四個條件中之一者後,晶圓經歷周圍條件維持少於約4小時以使原生矽氧化物的重新生長減至最少。然後使用先前在此敘述之電漿蝕刻處理使晶圓經過多晶矽移除處理。用以移除多晶矽的處理條件如下:3500W之RF功率、H2中4.1%NF3、3托之腔室壓力、及100℃之晶圓溫度。
如表II所示,在蝕刻多晶矽之前使晶圓經過原生氧化物蝕刻條件實質上增加了多晶矽的蝕刻率。在不處理晶圓以移除原生氧化物的情況下,多晶矽之蝕刻率低於每分鐘80Å。然而,在蝕刻多晶矽前利用HF濕式蝕刻或H2/NF3電漿處理晶圓可達到大於每分鐘500Å之多晶矽蝕刻率。事實上,在蝕刻多晶矽前施加H2/NF3電漿至晶圓可達到大於每分鐘600Å之多晶矽蝕刻率,可比在蝕刻多晶矽前施加HF濕式蝕刻。為了移除原生氧化物,H2/NF3電漿使用4.1%體積之NF3濃度、3500W之RF功率、3托之腔室壓力、及40℃之表面溫度。然後在電漿暴露後將晶圓加熱至100℃。當在原生氧化物移除步驟期間不使H2/NF3氣體混合物暴露至電漿時(亦即RF功率=0W),原生氧化物之移除係可預期地未完成,其最終導致少於每分鐘300Å的減少之多晶矽蝕刻率。此發現建議需要使晶圓暴露至H2/NF3電漿,以確保原生氧化層的實質或完整移除。
此外,在蝕刻多晶矽前施加H2/NF3電漿至晶圓不僅提供高多晶矽蝕刻率,且提供相對周圍材料的高選擇性。周圍暴露氮化物及/或氧化物結構的蝕刻率可少於約每分鐘3Å。在此情形中,H2/NF3下游電漿蝕刻 處理顯示極度可忽略的周圍Si3N4及TiN結構之蝕刻率。此觀察結果主要歸因於原生氧化物移除步驟期間晶圓暴露至H2/NF3電漿所處的低溫(<60℃)、及氟基物種的相對低濃度。Si3N4具有約每分鐘1Å之蝕刻率,且TiN具有約每分鐘0Å的蝕刻率。此意謂若將約2分鐘的處理時間施用於原生氧化物的移除,則Si3N4的損失僅為約2Å,且TiN的損失為少於1Å。
原生氧化物之移除-序列式方法
在一些實施例中,原生矽氧化層的移除發生於與多晶矽之移除相同的設備中。此整合式方法可利用乾式電漿蝕刻處理以供在不破壞真空的情況下移除原生矽氧化物及多晶矽二者。因此,在原生矽氧化物移除與多晶矽移除之間沒有容許原生矽氧化物重新生長的等待時間。此外,移除處理係結合至一工具中以進一步減少持有成本。
圖9顯示用於序列式實施的示範性流程圖,其顯示自晶圓移除原生矽氧化物的方法。處理900開始於方塊905,其中如同處理700之方塊705所述提供具有原生矽氧化層的晶圓。在一些實施例中,該晶圓包含多晶矽層,其中原生矽氧化層在多晶矽層上方。在一些實施例中,該晶圓包含暴露之氮化物及/或氧化物結構。暴露之氮化物結構可包含矽氮化物及/或鈦氮化物。暴露之氧化物結構可包含熱矽氧化物。
處理900於方塊910繼續,其中使包第一含氫基物種及第一氟基物種之蝕刻劑流至晶圓上以接觸原生矽氧化層,其中第一氫基物種或第一氟基物種包含氮。第一氫基物種及第一氟基物種以及產生自兩物種之間之反應的反應性產物可能吸附至原生矽氧化物表面上,並引發其移除反應。在一些實施例中,第一氫基物種為氫,且第二氟基物種為三氟化氮。在使蝕刻劑流至晶圓上時,可將晶圓維持在低於約60℃(或介於約20℃與約40℃之間)之相對冷卻溫度。對比於圖7中處理700的非序列式方法,蝕刻劑在接觸晶圓時並未暴露至電漿。在不施加電漿的情況下,將由這些步驟期間暴露之薄膜帶來的任何材料損失預期為可忽略。
在一些實施例中,處理900更包含將晶圓運送至一站,以供在使第一蝕刻劑流至晶圓上後但加熱晶圓前移除多晶矽。
處理900於方塊915繼續,其中將晶圓加熱至大於約60℃之溫度。在一些實施例中,將晶圓加熱至低於約200℃、或低於約300℃之溫度。藉由僅將晶圓加熱至些微升高溫肚,額外的熱能便不授予晶圓而啟動不期望的移除原生矽氧化物及多晶矽之外的材料之化學反應,該等化學反應可能不利於裝置效能。
當晶圓被加熱時,處理900於方塊920繼續,其中使包含第二氫基物種及第二氟基物種之第二蝕刻劑流向晶圓。在一些實施例中,第一蝕刻劑可與第二蝕刻劑相同。第一及第二氟基物種的濃度可大於約3%體積。對於體積2L的氫,NF3之流速可介於約50sccm與500sccm之間。在一些實施例中,第一及第二氟基物種的濃度可相同。
處理900於方塊925繼續,其中使第二蝕刻劑暴露至電漿。在一些實施例中,使第二蝕刻劑暴露至電漿包含使第二蝕刻劑暴露至遠端電漿。如先前於此討論,遠端電漿可產生自遠端下游電漿反應器。,當晶圓被加熱緩慢上升至大於60℃之溫度時,對電漿之暴露可產生實質上較高濃度的可吸附至原生氧化層上並與之快速反應的反應性物種。此外,電漿可幫助活化發生在原生氧化層與反應性物種之間的表面反應,使得表面反應的速率加速。對比於圖7中處理700的非序列式方法,對電漿之暴露發生在晶圓被加熱至大於約60℃之溫度時。
在不由任何理論限制的情況下,第一氫基物種及第一氟基物種可反應以形成例如NH4F、及NH4F.HF的反應性物種。當晶圓溫度維持在相對低溫(例如低於約40℃)時,反應性物種可吸附至原生氧化物表面上。反應性物種可與原生氧化物反應以形成(NH4)2SiF6。當電漿在晶圓被緩慢加熱時激發,形成(NH4)2SiF6的反應速率可實質上增加,且同時可發生(NH4)2SiF6的昇華。
處理900於方塊930繼續,其中當晶圓溫度達到大於約60℃時,自晶圓移除原生矽氧化層。相對高之晶圓溫度容許原生氧化物移除反應之副產物((NH4)2SiF6)昇華,因此完成原生氧化物移除。在一些實施例中,因為晶圓暴露至第二蝕刻劑之電漿,所以多晶矽層至少部份被同時移除。在一些實施例中,於原生矽氧化層之移除期間,暴露之氮化物及/或氧 化物結構的蝕刻率係小於約每分鐘3Å。在一些實施例中,至少部份多晶矽層之移除以大於約1000:1的相對暴露氮化物及/或氧化物結構之選擇性發生。原生矽氧化層及多晶矽的移除可在不破壞真空的情況下發生於同樣的設備中。
前述用於原生矽氧化物移除及多晶矽移除的處理可參照圖1及2執行。在一些實施例中,圖9中之處理900可參照圖1中之多站設備而執行,該設備包含複數站1、2、3、4、5、及6。控制器(未顯示)可耦接至該多站設備並配置有指令,以控制多站設備的操作及處理條件。例如遠端下游電漿反應器的電漿源可耦接至多站設備,並配置成在容納晶圓之反應腔室之外產生電漿。晶圓可設於多站設備的站1中,其中該晶圓包含原生氧化層。在一些實施例中,晶圓包含多晶矽層及暴露之氮化物及/或氧化物結構。暴露之氮化物結構可包含矽氮化物及/或鈦氮化物,且暴露之氧化物結構可包含熱矽氧化物。
在一些實施例中,站1、站1-2、站1-3、或該等站之任何其他組合可配置成執行移除原生矽氧化層的操作。耦接至多站設備的控制器可配置有執行各操作的指令。舉例來說,站1-3可配置成使包含第一氫基物種及第一氟基物種之第一蝕刻劑流至晶圓上,以接觸原生矽氧化層,其中第一氫基物種或第一氟基物種包含氮。在站1-3之操作期間,晶圓可維持在小於約60℃之相對低的溫度,例如介於約20℃與約40℃之間。第一氫基物種可為氫,且第一氟基物種可為三氟化氮。第一氟基物種之濃度可介於約3%與約20%體積之間,例如約4%體積。在站1-3之操作期間,關閉電漿源(亦即RF功率=0W)。在相對低之表面溫度下,第一蝕刻劑及來自氫基物種與氟基物種間之反應的反應性物種可吸附至晶圓的氧化物表面上。此使移除原生矽氧化層的化學反應得以開始。
在一些實施例中,站4、站4-5、站4-6、或該等站之任何其他組合可配置成執行移除多晶矽層或與原生氧化物移除步驟之蝕刻化學相容之處理步驟的操作。舉例來說,站4-6可配置成加熱晶圓至大於約60℃的溫度、使包含第二氫基物種及第二氟基物種之第二蝕刻劑流向晶圓、使第二蝕刻劑暴露至電漿、及移除原生矽氧化層。在一些實施例中,使第二 蝕刻劑暴露至電漿之後可至少部份移除多晶矽層。在原生氧化物已移除後,站4-6可用於蝕刻多晶矽層。在一些實施例中,可在使第二蝕刻劑暴露至電漿後執行另一處理步驟(例如蝕刻不同材料)。
在站4-6之操作期間,第二蝕刻劑可與在站1-3流至晶圓的第一蝕刻劑相同。因此,在站1-3及4-6的操作係高度相容且使交叉污染減至最少。第二氟基物種之濃度可與第一氟基物種相同,或可加以改變以使多晶矽層或另一層的移除最佳化。在站4-6的操作期間,晶圓溫度增加至大於約60℃,且開啟電漿源(例如RF功率=3500W)。在站4-6之電漿生成容許原生氧化物移除反應快速進行至完成,且其後容許下方的多晶矽受蝕刻。
圖1之多站設備使原生氧化物移除與後續處理步驟(例如多晶矽移除)得以整合而不破壞真空。用於原生氧化物移除及後續處理步驟的處理條件可相似。在一些實施例中,蝕刻劑氣體可相同,以使交叉污染(例如H2及NF3)減至最少,壓力可相同(例如3托),處理時間可相同(例如每站90秒),且氟基物種之濃度可相同(例如約4%體積)。然而,在一些實施例中,蝕刻劑氣體及氟基物種之濃度可不同。舉例來說,多站設備中的蝕刻站可具備其自有氣體供應器以輸送不同反應物氣體。然而,自原生氧化物移除改變至多晶矽移除操作的處理條件為晶圓溫度(例如自約40℃至約100℃)及電漿源之RF功率(自約0W至約3500W)。
表III顯示針對以下條件之多晶矽蝕刻率的比較:(1)低溫下之H2/NF3原生氧化物移除步驟、後接H2/NF3電漿;(2)用於原生氧化物移除之HF濕式蝕刻、後接H2/NF3電漿;及(3)高溫下之H2/NF3原生氧化物移除步驟、後接H2/NF3電漿。各站中之處理時間為約每站90秒。
表III中之結果顯示,在低溫以H2及NF3氣體施用原生氧化物移除步驟產生可比利用HF濕式蝕刻處理施用原生氧化物移除步驟的多晶矽蝕刻率。再者,在低溫施用原生氧化物移除步驟產生實質上較高的多晶矽蝕刻率。在不由任何理論限制的情況下,據信較低溫度對於出自H2及NF3氣體之反應性物種吸附於氧化物表面上以提昇原生氧化物移除是必須的。
光微影
以上所述之設備/處理可結合微影圖案化工具或處理(例如用於半導體裝置、顯示器、發光二極體、光伏打面板(photovoltaic panels)及類似者之製造或製作)而使用。典型地,雖然並非必須,如此之工具/處理將與一般製造設施一起使用或實施。薄膜之微影圖案化典型地包含以下步驟的一些或全部者,而各步驟以若干可能工具使之進行:(1)施加光阻於工作件(亦即基板,使用旋塗或噴塗工具)上;(2)使用熱板或爐或UV硬化工具使光阻硬化;(3)利用例如晶圓步進器之工具使光阻暴露至可見光、UV光、或X射線光;(4)利用例如濕台之工具使光阻顯影以選擇性地移除光阻並藉此使其圖案化;(5)藉由利用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下方膜或工作件中;(6)使用例如RF或微波電漿光阻剝除器之工具移除光阻。
儘管前述內容已為了澄清及理解之目的而說明於若干細節中,但將顯而易見的,若干變更及修改可在所附請求項之範圍內實施。應注意有許多實施所述處理、系統、及設備的替代方式。因此,所述實施例應視為說明性且非限制性。
300‧‧‧裝置結構
310‧‧‧多晶矽層
320‧‧‧下方層
330‧‧‧垂直結構

Claims (54)

  1. 一種自晶圓移除多晶矽的方法,該方法包含:提供一晶圓,其中該晶圓包含:一多晶矽層;及暴露之氮化物及/或氧化物結構;使包含一氫基物種及一氟基物種之一蝕刻劑流向該晶圓;使該蝕刻劑暴露至一遠端電漿,以活化該氫基物種及該氟基物種;及在大於約500:1的相對暴露之該氮化物及/或氧化物結構之選擇性下移除該多晶矽層。
  2. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中該氫基物種包含氫或氨。
  3. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中該氟基物種包含三氟化氮或四氟化碳。
  4. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中移除該多晶矽層係執行於大於約1000:1的相對暴露之該氮化物及/或氧化物結構之選擇性下。
  5. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中暴露之該氮化物結構包含矽氮化物或鈦氮化物。
  6. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中暴露之該氧化物結構包含二氧化矽。
  7. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中移除該多晶矽層係於大於約每分鐘1000Å的蝕刻率下執行。
  8. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中在移除該多晶矽層期間,暴露之該氮化物及/或氧化物結構之蝕刻率小於約每分鐘5Å。
  9. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中該遠端電漿係自一下游電漿反應器產生。
  10. 如申請專利範圍第9項之自晶圓移除多晶矽的方法,其中該下游電漿反應器包含一陶瓷圓頂。
  11. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中該氟基物種之濃度為小於約每體積20%。
  12. 如申請專利範圍第11項之自晶圓移除多晶矽的方法,其中該氟基物種之濃度係介於約每體積0.7%與約每體積10%之間。
  13. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中移除該多晶矽層係於約60℃與約100℃之間的溫度下在一腔室中執行。
  14. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中移除該多晶矽層係於具有約1托(Torr)與約3托之間之壓力的一腔室中執行。
  15. 如申請專利範圍第1項之自晶圓移除多晶矽的方法,其中暴露之該氮化物及/或氧化物結構為一記憶體裝置的部份。
  16. 一種自晶圓移除多晶矽的設備,該設備包含:一反應腔室,其包含一晶圓,其中該晶圓包含:一多晶矽層;及暴露之氮化物及/或氧化物結構;一電漿源,耦接至該反應腔室,且配置成在該反應腔室之外產生一電 漿;及一控制器,具有用於執行以下操作的指令:(a)使包含一氫基物種及一氟基物種之一蝕刻劑流向該晶圓;(b)使該蝕刻劑暴露至該電漿,以活化該氫基物種及該氟基物種;及(c)在大於約500:1的相對暴露之該氮化物及/或氧化物結構之選擇性下移除該多晶矽層。
  17. 如申請專利範圍第16項之自晶圓移除多晶矽的設備,其中該電漿源包含一遠端下游電漿反應器。
  18. 如申請專利範圍第16項之自晶圓移除多晶矽的設備,其中該氫基物種包含氫或氨。
  19. 如申請專利範圍第16項之自晶圓移除多晶矽的設備,其中該氟基物種包含三氟化氮或四氟化碳。
  20. 如申請專利範圍第16項之自晶圓移除多晶矽的設備,其中暴露之該氮化物結構包含矽氮化物或鈦氮化物。
  21. 如申請專利範圍第16項之自晶圓移除多晶矽的設備,其中暴露之該氧化物結構包含二氧化矽。
  22. 如申請專利範圍第16項之自晶圓移除多晶矽的設備,其中該多晶矽層之蝕刻率係大於約每分鐘1000Å,且在移除該多晶矽層期間,暴露之該氮化物及/或氧化物結構之蝕刻率小於約每分鐘5Å。
  23. 如申請專利範圍第16項之自晶圓移除多晶矽的設備,其中該該氟基物種之濃度係介於約每體積0.7%與約每體積10%之間。
  24. 如申請專利範圍第16項之自晶圓移除多晶矽的設備,其中該晶圓之溫度係介於約60℃與約100℃之間。
  25. 一種自晶圓移除原生矽氧化層的方法,該方法包含:提供一晶圓,該晶圓具有一多晶矽層上之一原生矽氧化層;引入一蝕刻劑,該蝕刻劑包含一氫基物種及一氟基物種,其中該氫基物種或該氟基物種包含氮;使該蝕刻劑暴露至電漿,俾活化該氫基物種及該氟基物種;使該蝕刻劑流至該晶圓上,以接觸該原生矽氧化層;及加熱該晶圓至大於約60℃之溫度,以自該多晶矽層實質上移除該原生矽氧化層。
  26. 如申請專利範圍第25項之自晶圓移除原生矽氧化層的方法,其中該晶圓包含暴露之氮化物及/或氧化物結構。
  27. 如申請專利範圍第26項之自晶圓移除原生矽氧化層的方法,其中在該原生矽氧化層之移除期間,暴露之該氮化物及/或氧化物結構的蝕刻率小於約每分鐘3Å。
  28. 如申請專利範圍第26項之自晶圓移除原生矽氧化層的方法,其中暴露之該氮化物結構包含矽氮化物及/或鈦氮化物。
  29. 如申請專利範圍第26項之自晶圓移除原生矽氧化層的方法,其中暴露之該氧化物結構包含熱矽氧化物。
  30. 如申請專利範圍第25項之自晶圓移除原生矽氧化層的方法,其中該氫基物種為氫,且該氟基物種為三氟化氮。
  31. 如申請專利範圍第25項之自晶圓移除原生矽氧化層的方法,其中加熱該晶圓包含加熱該晶圓至小於約200℃之溫度。
  32. 如申請專利範圍第25項之自晶圓移除原生矽氧化層的方法,其中加熱該晶圓在不暴露至電漿的情況下發生。
  33. 如申請專利範圍第25項之自晶圓移除原生矽氧化層的方法,其中使該蝕刻劑暴露至電漿包含使該蝕刻劑暴露至一遠端電漿。
  34. 如申請專利範圍第25項之自晶圓移除原生矽氧化層的方法,更包含:將該晶圓運送至用於移除多晶矽之一腔室;及自該晶圓至少部份移除該多晶矽層。
  35. 如申請專利範圍第25項之自晶圓移除原生矽氧化層的方法,其中使該蝕刻劑流至該晶圓上係發生於小於約40℃之溫度。
  36. 如申請專利範圍第25項之自晶圓移除原生矽氧化層的方法,其中該原生矽氧化層具有約5Å與約50Å之間的厚度。
  37. 一種自晶圓移除原生矽氧化層的方法,該方法包含:提供具有一原生矽氧化層的一晶圓;使一第一蝕刻劑流至該晶圓上以接觸該原生矽氧化層,該第一蝕刻劑包含一第一氫基物種及一第一氟基物種,其中該第一氫基物種或該第一氟基物種包含氮;加熱該晶圓至大於約60℃之溫度;使一第二蝕刻劑流向該晶圓,該第二蝕刻劑包含一第二氫基物種及一第二氟基物種;使該第二蝕刻劑暴露至電漿;及移除該原生矽氧化層。
  38. 如申請專利範圍第37項之自晶圓移除原生矽氧化層的方法,更包含:將該晶圓運送至一站,以供在使該第一蝕刻劑流至該晶圓上之後但在加熱該晶圓之前,移除多晶矽並完成原生氧化物移除。
  39. 如申請專利範圍第38項之自晶圓移除原生矽氧化層的方法,其中各步驟係於不破壞真空的情況下發生。
  40. 如申請專利範圍第37項之自晶圓移除原生矽氧化層的方法,其中該第一蝕刻劑與該第二蝕刻劑相同。
  41. 如申請專利範圍第37項之自晶圓移除原生矽氧化層的方法,其中加熱該晶圓包含加熱該晶圓至低於約200℃之溫度。
  42. 如申請專利範圍第37項之自晶圓移除原生矽氧化層的方法,其中該晶圓包含一多晶矽層及暴露之氮化物及/或氧化物結構。
  43. 如申請專利範圍第42項之自晶圓移除原生矽氧化層的方法,其中在該原生矽氧化層之移除期間,暴露之該氮化物及/或氧化物結構的蝕刻率小於約每分鐘3Å。
  44. 如申請專利範圍第42項之自晶圓移除原生矽氧化層的方法,更包含:在使該第二蝕刻劑暴露至電漿後,自該晶圓至少部份移除該多晶矽層。
  45. 如申請專利範圍第44項之自晶圓移除原生矽氧化層的方法,其中至少部份移除該多晶矽層係發生於大於約1000:1的相對暴露之該氮化物及/或氧化物結構之選擇性下。
  46. 如申請專利範圍第42項之自晶圓移除原生矽氧化層的方法,其中暴露 之該氮化物結構包含矽氮化物及/或鈦氮化物。
  47. 如申請專利範圍第42項之自晶圓移除原生矽氧化層的方法,其中暴露之該氧化物結構包含熱矽氧化物。
  48. 如申請專利範圍第37項之自晶圓移除原生矽氧化層的方法,其中該第一氫基物種及該第二氫基物種為氫,且該第一氟基物種及該第二氟基物種為三氟化氮。
  49. 如申請專利範圍第37項之自晶圓移除原生矽氧化層的方法,其中使該第二蝕刻劑暴露至電漿包含使該第二蝕刻劑暴露至一遠端電漿。
  50. 一種自晶圓移除原生矽氧化層的設備,該設備包含:一反應腔室,包含該晶圓,其中該晶圓包含:一多晶矽層;一原生矽氧化層,位於該多晶矽層上方;暴露之氮化物及/或氧化物結構;一電漿源,耦接至該反應腔室,且配置成在該反應腔室之外產生一電漿;及一控制器,具有用於執行以下操作的指令:(a)使包含一第一氫基物種及一第一氟基物種之一第一蝕刻劑流至該晶圓上,其中該第一氟基物種或該第一氫基物種包含氮;(b)加熱該晶圓至大於約60℃之溫度;(c)使一第二蝕刻劑流向該晶圓,該第二蝕刻劑包含一第二氫基物種及一第二氟基物種;(d)使該第二蝕刻劑暴露至電漿;(e)自該多晶矽層移除該原生矽氧化層,其中在該原生矽氧化層之移除期間,暴露之該氮化物及/或氧化物結構的蝕刻率小於約每分鐘3Å;及(f)至少部份移除該多晶矽層,其中該多晶矽層之移除係發生於大 於約1000:1的相對暴露之該氮化物及/或氧化物結構之選擇性下。
  51. 如申請專利範圍第50項之自晶圓移除原生矽氧化層的設備,更包含一第一站及一第二站,其中該第一站係配置成執行操作(a),且該第二站係配置成執行操作(b)-(f)。
  52. 如申請專利範圍第50項之自晶圓移除原生矽氧化層的設備,其中該第一氫基物種及該第二氫基物種為氫,且該第一氟基物種及該第二氟基物種為三氟化氮。
  53. 如申請專利範圍第50項之自晶圓移除原生矽氧化層的設備,其中用於加熱該晶圓至大於約60℃之溫度的指令包含用於加熱該晶圓至低於約200℃之溫度的指令。
  54. 如申請專利範圍第50項之自晶圓移除原生矽氧化層的設備,其中暴露之該氮化物結構包含矽氮化物及/或鈦氮化物,且暴露之該氧化物結構包含熱矽氧化物。
TW102123510A 2012-07-02 2013-07-01 具有高選擇性之多晶矽及原生氧化層的移除 TWI612578B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261667329P 2012-07-02 2012-07-02
US61/667,329 2012-07-02
US13/916,497 US9034773B2 (en) 2012-07-02 2013-06-12 Removal of native oxide with high selectivity
US13/916,387 US8916477B2 (en) 2012-07-02 2013-06-12 Polysilicon etch with high selectivity
US13/916,387 2013-06-12
US13/916,497 2013-06-12

Publications (2)

Publication Number Publication Date
TW201405656A true TW201405656A (zh) 2014-02-01
TWI612578B TWI612578B (zh) 2018-01-21

Family

ID=49778564

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102123510A TWI612578B (zh) 2012-07-02 2013-07-01 具有高選擇性之多晶矽及原生氧化層的移除

Country Status (3)

Country Link
US (1) US9034773B2 (zh)
KR (1) KR102148035B1 (zh)
TW (1) TWI612578B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI806362B (zh) * 2017-01-27 2023-06-21 美商蘭姆研究公司 以原子層控制進行之膜的等向性蝕刻

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI692799B (zh) * 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US9601385B1 (en) * 2016-01-27 2017-03-21 International Business Machines Corporation Method of making a dual strained channel semiconductor device
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10475655B2 (en) 2017-05-26 2019-11-12 Applied Materials, Inc. Selective deposition of metal silicides
US10586707B2 (en) 2017-05-26 2020-03-10 Applied Materials, Inc. Selective deposition of metal silicides
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
GB2564857A (en) * 2017-07-24 2019-01-30 Samantha Leahy Joanne A beauty product container
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028119A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Non-selective and selective etching through alternating layers of materials
US20200075313A1 (en) * 2018-08-31 2020-03-05 Mattson Technology, Inc. Oxide Removal From Titanium Nitride Surfaces
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11158517B2 (en) 2019-01-18 2021-10-26 Tokyo Electron Limited Selective plasma etching of silicon oxide relative to silicon nitride by gas pulsing
KR20210047808A (ko) * 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
DE102020107379A1 (de) 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Luftspacer um kontaktstecker und verfahren zu deren ausbildung
US11355616B2 (en) 2019-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers around contact plugs and method forming same
KR20220099405A (ko) * 2021-01-06 2022-07-13 주성엔지니어링(주) 기판 처리 방법
CN113517170B (zh) * 2021-07-09 2024-02-09 长鑫存储技术有限公司 半导体结构的制造方法、半导体结构与存储器

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
EP1077475A3 (en) 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
KR100316721B1 (ko) * 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8883650B2 (en) * 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI806362B (zh) * 2017-01-27 2023-06-21 美商蘭姆研究公司 以原子層控制進行之膜的等向性蝕刻

Also Published As

Publication number Publication date
US20140004708A1 (en) 2014-01-02
KR102148035B1 (ko) 2020-08-26
TWI612578B (zh) 2018-01-21
US9034773B2 (en) 2015-05-19
KR20140004579A (ko) 2014-01-13

Similar Documents

Publication Publication Date Title
TWI612578B (zh) 具有高選擇性之多晶矽及原生氧化層的移除
US8916477B2 (en) Polysilicon etch with high selectivity
JP6882469B2 (ja) 高アスペクト比の構造体のための除去方法
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
US10283615B2 (en) Ultrahigh selective polysilicon etch with high throughput
EP3038142A1 (en) Selective nitride etch
US20190013211A1 (en) Tantalum-containing material removal
KR101884262B1 (ko) 실리콘 막들에 대한 선택적인 식각
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
KR101956837B1 (ko) 준안정 수소 종단을 통한 실리콘의 선택적인 에칭
TW540114B (en) Substrate cleaning apparatus and method
JP5931741B2 (ja) シリコン含有膜の平滑SiConiエッチング
KR20210042939A (ko) 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
TWI518773B (zh) 在高劑量植入剝除前保護矽之增強式鈍化製程
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
US11183393B2 (en) Atomic layer etching using acid halide
US20150371889A1 (en) Methods for shallow trench isolation formation in a silicon germanium layer
JP6920309B2 (ja) エッチングハードウェアに対する水素プラズマベース洗浄処理
KR20110123084A (ko) 실리콘 산화막의 건식 식각 방법
TW202335084A (zh) 高度選擇性矽蝕刻