JP5931741B2 - シリコン含有膜の平滑SiConiエッチング - Google Patents

シリコン含有膜の平滑SiConiエッチング Download PDF

Info

Publication number
JP5931741B2
JP5931741B2 JP2012545975A JP2012545975A JP5931741B2 JP 5931741 B2 JP5931741 B2 JP 5931741B2 JP 2012545975 A JP2012545975 A JP 2012545975A JP 2012545975 A JP2012545975 A JP 2012545975A JP 5931741 B2 JP5931741 B2 JP 5931741B2
Authority
JP
Japan
Prior art keywords
substrate
plasma
etching
silicon oxide
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012545975A
Other languages
English (en)
Other versions
JP2013516069A (ja
JP2013516069A5 (ja
Inventor
ジン タン,
ジン タン,
ニティン イングル,
ニティン イングル,
ドンチン ヤン,
ドンチン ヤン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013516069A publication Critical patent/JP2013516069A/ja
Publication of JP2013516069A5 publication Critical patent/JP2013516069A5/ja
Application granted granted Critical
Publication of JP5931741B2 publication Critical patent/JP5931741B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本出願は、薄膜およびコーティングの堆積、パターニングおよび処理に使用される装置、プロセスおよび材料を伴う製造技術解決策に関し、代表的な例には(それだけには限らないが)、半導体材料、誘電体材料、デバイス、シリコンベースのウエハおよびフラットパネルディスプレイ(TFTなど)を伴う応用例が含まれる。
集積回路は、複雑にパターニングされた材料層を基板表面に生成するプロセスによって実現可能になる。パターニングされた材料を基板上に生成するには、露出した材料を除去する制御された方法が必要である。化学エッチングは、フォトレジストのパターンを下にある層に転写すること、層を薄くすること、あるいは表面にすでに存在するフィーチャの横方向の寸法を細くすることを含む様々な目的に使用される。1つの材料を他のものよりも速くエッチングして、例えばパターン転写プロセスが進行するのを助けるエッチング処理があることが多くの場合で望ましい。このようなエッチング処理は、第1の材料に対して選択性があるといわれる。材料、回路およびプロセスの多様性の結果として、様々な材料に対して選択性のあるエッチング処理が開発されてきた。
SiConi(登録商標)エッチングは、遠隔プラズマドライエッチング処理であり、基板をH、NFおよびNHのプラズマ副生成物に同時にさらすことを伴う。水素化学種およびフッ素化学種の遠隔プラズマ励起により、プラズマ損傷のない基板処理が可能になる。SiConi(登録商標)エッチングは、酸化ケイ素層に対してはおおむね共形であり、かつ選択性があるが、シリコンは、アモルファス、結晶性または多結晶のシリコンであるかどうかにかかわらず、容易にエッチングしない。この選択性は、浅いトレンチ隔離(STI)および層間誘電体(ILD)凹部の形成などの応用例では利点になる。
SiConi(登録商標)処理では、基板材料が除去されるにつれて基板の表面で成長する固体副生成物が生じる。この固体副生成物はその後、基板の温度が上げられたときに昇華によって除去される。しかし、技術により32nm以下のトレンチ幅まで低減すると、これら固体副生成物の寸法は、トレンチの最小寸法と比較して無視できなくなる。かなりの大きさの固体副生成物は、ILD凹部表面粗さ、および酸化ケイ素界面の高さのトレンチごとのばらつきに関係する難題となる。
SiConi(登録商標)エッチング処理における表面の粗さおよび高さのばらつきを低減する方法が必要とされている。
シリコン含有材料をエッチングする方法を説明する。この方法は、フッ素と比べた水素の流れ比率が従来技術で見られる流れ比率よりも大きい、または小さいSiConi(登録商標)エッチングを含む。このように流量比を修正すると、エッチング後の表面の粗さが低減し、かつ高密度にパターニングされた区域と低密度にパターニングされた区域との間のエッチング速度の差が低減することが分かった。エッチング後の表面粗さを低減する代替手段には、前駆体流および/またはプラズマ出力をパルス化することが含まれ、それによって相対的に高い基板温度が維持され、複数のステップでSiConi(登録商標)が実施される。これらの取組みのそれぞれは、単独または組合せで固体残留物粒径を限定することによって、エッチングされた表面の粗さを低減するのに役立つ。
一実施形態では、相対的に平滑なエッチング後表面を残す、基板処理チャンバの基板処理領域内で基板の表面のシリコン含有層をエッチングする方法は、基板処理領域に流体結合された第1の遠隔プラズマ領域の中にフッ素含有前駆体および水素含有前駆体を、プラズマ放出物を生成するために第1の遠隔プラズマ領域内でプラズマを形成しながら流すステップを含む。フッ素含有前駆体の流量および水素含有前駆体の流量により、水素対フッ素原子流れ比率が1:1未満、または5:1超になる。この方法はさらに、基板の表面に固体副生成物を形成しながらプラズマ放出物を基板処理領域内に流し込むことによってシリコン含有層をエッチングするステップと、固体副生成物を、固体副生成物の昇華温度を超えて基板の温度を上げることによって昇華させて、相対的に平滑なエッチング後表面を残すステップとを含む。
さらに別の実施形態では、高密度にパターニングされた区域と低密度にパターニングされた区域とのエッチング速度の差を低減する、基板処理チャンバの基板処理領域内で基板の表面のシリコン含有層をエッチングする方法は、基板処理領域に流体結合された第1の遠隔プラズマ領域の中にフッ素含有前駆体および水素含有前駆体を、プラズマ放出物を生成するために第1の遠隔プラズマ領域内でプラズマを形成しながら流すステップを含む。フッ素含有前駆体の流量および水素含有前駆体の流量により、水素対フッ素原子流れ比率が1:1未満、または5:1超になる。この方法はさらに、基板の表面に固体副生成物を形成しながらプラズマ放出物を基板処理領域内に流し込むことによって、高密度にパターニングされた区域および低密度にパターニングされた区域でシリコン含有層をエッチングするステップと、固体副生成物を、固体副生成物の昇華温度を超えて基板の温度を上げることによって昇華させるステップとを含む。
さらに別の実施形態では、基板処理チャンバの基板処理領域内で基板の表面のシリコン含有層をエッチングする方法は、基板処理領域に流体結合された第1の遠隔プラズマ領域の中にフッ素含有前駆体および水素含有前駆体を、プラズマ放出物を生成するために第1の遠隔プラズマ領域内でプラズマを形成しながら流すステップを含む。前駆体のうちの少なくとも1つの流れは、流れパルスを含む。この方法はさらに、基板の表面に固体副生成物を形成しながらプラズマ放出物を基板処理領域内に流し込むことによってシリコン含有層をエッチングするステップと、固体副生成物を、固体副生成物の昇華温度を超えて基板の温度を上げることによって昇華させるステップとを含む。
さらに別の実施形態では、基板処理チャンバの基板処理領域内で基板の表面のシリコン含有層をエッチングする方法は、基板処理領域に流体結合された第1の遠隔プラズマ領域の中にフッ素含有前駆体および水素含有前駆体を、プラズマ放出物を生成するために第1の遠隔プラズマ領域内で一連のプラズマパルスを形成しながら流すステップと、基板の表面に固体副生成物を形成しながらプラズマ放出物を基板処理領域内に流し込むことによってシリコン含有層をエッチングするステップと、固体副生成物を、固体副生成物の昇華温度を超えて基板の温度を上げることによって昇華させるステップとを含む。
付加的な実施形態および特徴は、一部は以下の説明に明記され、一部は、本明細書を考察することによって当業者には明らかになるであろうし、あるいは開示された諸実施形態を実践することによって知ることができる。開示された諸実施形態の特徴および利点は、本明細書に記載の手法、複合化したもの、および方法によって実現および達成することができる。
開示された諸実施形態の性質および利点をさらに理解することは、本明細書の残りの部分および図面を参照することによって実現することができる。
開示された諸実施形態によるシリコン含有材料エッチング処理の流れ図である。 開示された諸実施形態によるSiConi(登録商標)エッチング処理の水素対フッ素流れ比率に対する表面粗さおよびエッチング速度の依存度を示すグラフである。 開示された諸実施形態による標準SiConi(登録商標)の結果のパターニング表面の写真である。 開示された諸実施形態による平滑SiConi(登録商標)の結果のパターニング表面の写真である。 開示された諸実施形態によるシリコン含有材料エッチング処理の流れ図である。 開示された諸実施形態による標準SiConi(登録商標)の結果のパターニング表面の写真である。 開示された諸実施形態による平滑SiConi(登録商標)の結果のパターニング表面の写真である。 開示された諸実施形態によるエッチング処理を行う処理チャンバの断面図である。 開示された諸実施形態によるエッチング処理を行う処理システムの図である。
添付の図で、類似の構成要素および/または特徴は、同じ参照標示を有することがある。さらに、同じ種類の様々な構成要素は、参照標示の後に、これら類似の構成要素の中で区別するダッシュおよび第2の標示が続くことで区別することができる。第1の参照標示だけが明細書で使用されている場合には、その説明は、第2の標示にかかわらず、同じ第1の参照標示を有する類似の構成要素のいずれにも当てはまる。
シリコン含有材料をエッチングする方法を説明する。この方法は、フッ素と比べた水素の流量比が従来技術で見られる流量比よりも大きい、または小さいSiConi(登録商標)エッチングを含む。このように流量比を修正すると、エッチング後の表面の粗さが低減し、かつ高密度パターニング領域と低密度パターニング領域との間のエッチング速度の差が低減することが分かった。エッチング後の表面粗さを低減する代替手段は、前駆体流および/またはプラズマ出力をパルス化することを含み、それによって相対的に高い基板温度が維持され、複数のステップでSiConi(登録商標)が実施される。これらの取組みのそれぞれは、固体残留物粒径を限定することによって、エッチングされた表面の粗さを単独または組合せで低減するのに役立つ。
SiConi(登録商標)エッチング処理では、遠隔プラズマシステム(RPS)の中を通って反応領域内に一緒に流れ込む水素源のアンモニア(NH)およびフッ素源の三フッ化窒素(NF)を使用した。これまでSiConi(登録商標)エッチング処理は、フッ素および水素の供給物を効率的に使用するように最適化されてきた。三フッ化窒素流量の2倍のアンモニア流量が、約2:1の水素対フッ素原子流れ比率にいっそう広く変わる高いエッチング速度を生じさせるために使用されてきた。水素(原子%)流量がフッ素(原子%)流量の2倍超または2倍未満になるように各流量の一方または両方を修正すると、エッチングされたシリコン含有面の粗さが低減することが分かった。水素対フッ素原子流れ比率は別々の実施形態で、1:1未満1:2未満1:4未満5:1超10:1超、および20:1超である。
仮定のプロセス機構に特許請求の範囲を縛り付けないで、流量比を「水素欠乏」状況または「フッ素欠乏」状況に修正することは、存続可能な固体残留物核生成部位の密度を高めると考えられる。より多数の核生成部位により、エッチングステップが完了した際の固体残留物の平均粒径が低減することになりうる。粒径がより小さいと、エッチング処理および他の粒径の影響の遮蔽が低減され、その結果、シリコン含有層全体にわたるエッチング速度の空間的変化が低減する。
本発明をよりよく理解し評価するために、次に、開示された諸実施形態によるフッ素欠乏SiConi(登録商標)エッチング処理の流れ図である図1を参照する。この処理は、基板が処理チャンバの中に移送されたときに始まる(操作110)。酸化ケイ素層が基板の表面に存在する。アンモニア流および三フッ化窒素流を開始して、処理領域とは別のプラズマ領域内に入れる(操作115および120)。別のプラズマ領域は、本明細書で遠隔プラズマ領域と呼ばれることがあり、処理チャンバとは異なるモジュールとすること、または処理チャンバ内の一区画とすることができる。アンモニア流および三フッ化窒素流は、水素対フッ素原子流れ比率が約10:1に維持されるように制御する(操作122)。遠隔プラズマからの遠隔プラズマ放出物または生成物を処理領域内に流し込み、基板表面と相互作用することを可能にする(操作125)。層をエッチングし(操作130)、各ガス流を止める(操作135)。基板を加熱して、エッチング処理によって残った固体副生成物を昇華させ(操作140)、基板を処理領域から取り出す(操作145)。
図2は、様々な水素対フッ素原子流量比に対するSiConi(登録商標)エッチング中のエッチング速度、および昇華の結果の表面粗さを示す。表面粗さは2:1の水素対フッ素比の近辺で最も高く、水素欠乏状況(ピークの左側)で低下するが、フッ素欠乏状況(ピークの右側)でも低下する。エッチング速度は流量比に対する依存度が小さく、そのため流量比は、表面粗さを制御するための有効なパラメータになる。この比を図に示されているよりもさらに上げるとフッ素濃度が低下し、その結果エッチング速度が急落することになる。低い流量比では、フッ素が継続して利用可能であることによりエッチングが継続する。表面粗さ測定は、タッピングモードで動作させたAFMを用いて行った。AMF測定は、寸法が1μm×1μmの正方形パターンで行い、図2に示された表面粗さ測定値は、すべての測定点の自乗平均計算により求めた。
図3は、開示された諸実施形態による標準SiConi(登録商標)の結果の粗さ(図3A)、および平滑SiConi(登録商標)の結果の粗さ(図3B)を描写する。図3Aでは、ざらついた表面(表面粗さ)が、酸化ケイ素が充填されたトレンチに沿ってはっきり見える。図3Bに示されるパターニングされた面を形成するために、平滑SiConi(登録商標)を350sccmのアンモニア流および35sccmのNF流を伴って実施した。これは、図2の右側のフッ素欠乏状況に相当する。酸化ケイ素が充填されたトレンチに沿ってざらついた表面は、図3Bでは認めることが難しい。ざらついた表面が写真中にないことは、昇華の前のエッチング中に作り出された固体残留物の粒径がより小さいことにより表面粗さがなくなっていることを反映する。図3A〜3Bの両方が、固体残留物が昇華した結果の表面を表している。図3A〜3Bのそれぞれで、パターニングされた基板の温度はエッチング操作中30℃であったが、固体残留物を昇華させるために基板温度を100℃まで上げた。
加えるプラズマ出力をパルス化すること、あるいは各前駆体流のどちらかまたは両方をパルス化することでまた、同じメカニズムによってより平滑なエッチング後表面が得られる。いかなる特定の動作理論によっても特許請求の範囲を限定しないで、本発明者らは、このようなパルス化が、より高い密度の固体副生成物核生成部位もまた生じさせると考える。パルス化プラズマプロセスは図4に示されている。この処理は、図1で描写された処理と同様に、基板が処理チャンバの中に移送されたときに始まる(操作410)。酸化ケイ素層が基板の表面に存在する。アンモニア流および三フッ化窒素流を処理領域とは別のプラズマ領域内に入れ始める(操作415および420)。今回は、プラズマ出力を約0.1Hzから約1.0Hzの間の繰返し周波数でパルス化する(操作422)。操作425で、遠隔プラズマ放出物を、これら放出物が基板表面と相互作用できる処理領域内に流し込む。層をエッチングし(操作430)、次に、処理領域内に入る各ガス流および遠隔プラズマ放出物流を止める(操作435)。基板を加熱して、エッチング処理によって残った固体副生成物を昇華させ(操作440)、基板を処理領域から取り出す(操作445)。
SiConi(登録商標)エッチングは複数のステップで実施して、やはりエッチング後の表面の粗さを低減することができる。全膜厚を除去する処理を2つの別個のステップで進めることができ、各ステップは、全膜厚の30%から80%を除去する短縮SiConi(登録商標)を含む。各ステップは、エッチング、および固体残留物を昇華させるためのアニールを含む。繰返しSiConi(登録商標)処理の間中、基板は、相対的に高い基板温度にエッチング中維持して、固体残留物を昇華させるための温度上昇を小さくできるようにする。昇華に必要な支差熱の低減により、アニールステップを短縮することが可能になり、それによって全体の処理が短縮され、相対的に高い正味スループットが可能になる。全膜厚を除去するための処理を3つ以上のステップでも進めることができる。例えば、3つ、4つまたは5つのステップを用いて、各ステップで全膜厚のそれぞれ20%から40%、15%から35%、または10%から20%を除去することによって、全膜厚を除去することができる。アニールステップは別々の実施形態で、約30秒未満、約20秒未満、約10秒未満、または約5秒未満とすることができる。エッチング後の表面をさらに平滑にするには、繰返しSiConi(登録商標)処理を、本明細書に提示された他の技法のいずれかと組み合わせてよい。各ステップでは、別々の実施形態で、約200Å以下、約150Å以下、約100Å以下、約75Å以下、約50Å以下、または約25Å以下を除去することができる。
図5A〜5Bは、開示された諸実施形態による複数のステップで実施された、標準SiConi(登録商標)の結果のパターニングされた面(図5A)、および平滑SiConi(登録商標)の結果のパターニングされた面(図5B)を描写する。酸化ケイ素界面の高さのばらつきが、図5Aの酸化ケイ素が充填されたトレンチの間ではっきり見える。このタイプの変化は、図3Aに示された表面粗さを引き起こしたものと類似のメカニズムによって、特に狭いトレンチで発生する。図5Bに示されたパターニングされた面を形成するために、図5Aに描写されたばらつきを生じさせた単一のステップよりも少なく材料を除去するようにそれぞれが構成された複数のステップで、平滑SiConi(登録商標)を実施した。酸化ケイ素界面の高さのばらつきは、図5Bでは認めることが難しい。ばらつきが写真中にないことは、昇華の前のエッチング中に作り出された固体残留物の粒径がより小さいことに起因する。固体残留物粒径は低減され、トレンチの幅をかなり下回った。図5A〜5Bの両方が、固体残留物を昇華させた結果、ならびに堆積を含むいくつかの追加処理をした結果の表面を表している。図5A〜5Bのそれぞれで、パターニングされた基板の温度はエッチング操作中30℃であったが、固体残留物を昇華させるために基板温度を100℃まで上げた。
トレンチの表面粗さおよび酸化ケイ素高さのばらつきを減らすための、本明細書に提示された技法を示した。これらの問題の根本的原因の1つは、エッチャントと誘電体膜の反応により結晶(固体副生成物)が形成されることである。結晶の大きさは、先進ノードのトレンチの大きさに匹敵し、これは、様々な結晶方位、ならびに核生成部位の分散および集中と組み合わさって、前述の問題をもたらす。本明細書に提示された技法は、結晶成長が制限されてよりよい局所的な均一性制御が得られるように、複数のエッチング反応を順番に使うことによってこれらの問題に対処する。SiConi(登録商標)エッチングを連続して適用すること、ならびに水素欠乏またはフッ素欠乏状況で操作することで、それぞれ異なるエッチャントが形成されることになり、また大きさおよび多孔率が異なる結晶が形成されることにつながる。SiConi(登録商標)を説明したように修正することにより、より高密度で、局所的により均一な結晶層を形成して、トレンチ間ならびに単一のトレンチ凹部内でより均一なエッチング速度が可能になる。
説明した方法には他の用途もまたある。いくつかの高密度にパターニングされた区域、およびいくつかのより低密度にパターニングされた区域を有するパターニングされた基板に従来のSiConi(登録商標)を実施すると、これら2つの領域の間でエッチング速度の差異が呈示されることがある。本明細書で論じる平滑SiConi(登録商標)処理では、空いている酸化ケイ素区域および高密度の酸化ケイ素区域の両方を有するパターニングされたウエハについて、エッチング速度の差異が低減することになり、またSiConi(登録商標)エッチングの有用性が高まることになる。この効果は、前の例でエッチング後の表面を平滑にしたものと類似のメカニズムにより生まれる。より小さい固体残留物粒子がより高密度で分布すると、誘電体のより小さいパッチ(高密度でパターニングされた区域に存在する)が、空いている区域にいっそう類似して見えるようにできることがある。というのは、核生成部位間の平均離隔距離が、より小さいシリコン含有パッチの横寸法よりもずっと小さくなりうるからである。最終結果は、高密度にパターニングされた区域と低密度にパターニングされた区域の間のエッチング速度の差が低減することである。
追加の平滑SiConi(登録商標)エッチ処理パラメータは、例示的な処理システムを説明する過程で開示する。
例示的処理システム
図6は、本発明の諸実施形態を実行できる説明的な処理チャンバ600を示す部分断面図である。一般に、水素含有前駆体およびフッ素含有前駆体は、1つまたは複数の開孔651を通して遠隔プラズマ領域(1つまたは複数)661〜663の中に導入し、プラズマ電源646によって励起することができる。
一実施形態では、処理チャンバ600はチャンバ本体612、リッドアセンブリ602、および支持体アセンブリ610を含む。リッドアセンブリ602は、チャンバ本体612の上端部に配置され、支持体アセンブリ610は、少なくとも一部分がチャンバ本体612の中に配置される。処理チャンバ600および付随するハードウェアは、1つまたは複数の処理適合材料(例えば、アルミニウム、ステンレス鋼など)から形成されることが好ましい。
チャンバ本体612は、処理チャンバ600の内部にアクセスするために、その側面に形成されたスリットバルブ開口660を含む。スリットバルブ開口660は、ウエハハンドリングロボット(図示せず)によってチャンバ本体612の内部にアクセスできるように選択的に開閉される。一実施形態では、ウエハは、スリットバルブ開口660を通して処理チャンバ600に出し入れし、隣接する移送チャンバおよび/またはロードロックチャンバ、またはクラスタツール内の別のチャンバまで搬送することができる。処理チャンバ600を含むことができる例示的なクラスタツールが図7に示されている。
1つまたは複数の実施形態では、チャンバ本体612は、熱伝達流体をチャンバ本体612に通して流すためのチャンバ本体チャネル613を含む。熱伝達流体は、加熱流体または冷却液とすることができ、処理および基板移送中にチャンバ本体612の温度を制御するために使用される。チャンバ本体612を加熱すると、ガスまたは副生成物がチャンバ壁上で不要に凝縮することを防止する助けになりうる。例示的な熱伝達流体には水、エチレングリコール、またはこれらの混合物が含まれる。例示的な熱伝達流体にはまた、窒素ガスも含まれうる。支持体アセンブリ610は、熱伝達流体を支持体アセンブリ610に通して流すための支持体アセンブリチャネル604を有し、それによって基板温度に影響を及ぼすことができる。
チャンバ本体612はさらに、支持体アセンブリ610を取り囲むライナ633を含むことができる。ライナ633は、サービスおよび洗浄のために取外し可能であることが好ましい。ライナ633は、アルミニウムなどの金属、またはセラミック材料で作ることができる。しかし、ライナ633は、任意の処理適合材料とすることができる。ライナ633は、その上に堆積されるどんな材料の付着性も増大させるようにビードブラストされ、それによって、処理チャンバ600の汚染を招く材料の剥離を防止することができる。1つまたは複数の実施形態では、ライナ633は、1つまたは複数の開孔635と、その中に形成され真空システムと流体連通するポンプチャネル629とを含む。開孔635は、ポンプチャネル629に入るガスの流路になり、この流路は処理チャンバ600内のガスの出口になる。
真空システムは、真空ポンプ625と、処理チャンバ600を通るガスの流れを調節するスロットルバルブ627とを含むことができる。真空ポンプ625は、チャンバ本体612上に配置された真空ポート631に結合され、したがって、ライナ633の中に形成されたポンプチャネル629と流体連通している。「ガス」および「ガス(複数)」という語は、特にことわらない限り交換可能に用いられ、1つまたは複数の反応物、触媒、キャリア、パージ、洗浄、これらの組合せ、ならびにチャンバ本体612の中に導入される他の任意の流体を指す。「前駆体」という語は、表面から材料を除去する反応、または表面に材料を堆積する反応に関与するあらゆる処理ガスを指すために用いられる。
開孔635は、ポンプチャネル629がチャンバ本体612内の処理領域640と流体連通できるようにする。処理領域640は、リッドアセンブリ602の下面および支持体アセンブリ610の上面によって画定され、ライナ633によって取り囲まれる。各開孔635は、均等に寸法設定し、ライナ633のまわりに均一な間隔で配置することができる。しかし、任意の数、位置、サイズまたは形状の開孔を使用することができ、これらの設計パラメータのそれぞれが、以下でより詳細に論じるように、基板受取面の全体にわたるガスの望ましい流れパターンに応じて変わりうる。加えて、開孔635のサイズ、数および位置は、処理チャンバ600を出るガスの均等な流れが得られるように構成される。さらに、開孔のサイズおよび位置は、急速または大容量ポンピングを行えるように構成して、チャンバ600からのガスを急速排気しやすくすることができる。例えば、真空ポート631に近接する開孔635の数およびサイズは、真空ポート631から遠く離して置かれた開孔635のサイズよりも小さいことがある。
処理ガス(1つまたは複数)を1つまたは複数の開孔651を通して処理チャンバ600まで供給するために、ガス供給パネル(図示せず)が通常は使用される。使用される特定の1つまたは複数のガスは、チャンバ600の中で行われるべき1つまたは複数の処理によって決まる。説明のためのガスには、それだけには限らないが、1つまたは複数の前駆体、還元剤、触媒、キャリア、パージ、洗浄、またはこれらの任意の混合物もしくは組合せが含まれうる。通常、処理チャンバ600に導入される1つまたは複数のガスは、上部プレート650の開孔(1つまたは複数)651を通ってプラズマ容積661に流れ込む。別法として、または組み合わせて、処理ガスは、開孔(1つまたは複数)652を通してもっと直接に処理領域640の中に導入することもできる。開孔(1つまたは複数)652は、遠隔プラズマ励起をバイパスすると共に、プラズマ励起を必要としないガスを伴う処理に対して、あるいは各ガスの追加励起の恩恵がない処理に対して有効である。電子操作されるバルブおよび/または流量制御機構(図示せず)を使用して、ガス供給から処理チャンバ600に入るガスの流れを制御することができる。処理に応じて、任意の数のガスを処理チャンバ600へ送り出すことができ、これらのガスを処理チャンバ600内で、またはガスを処理チャンバ600へ送り出す前に混合することが可能である。
リッドアセンブリ602はさらに、反応性化学種のプラズマをリッドアセンブリ602内で発生させるための電極645を含むことができる。一実施形態では、電極645は上部プレート650によって支持され、酸化アルミニウムまたは他の任意の絶縁性および処理適合性材料から作られた電気絶縁リング(1つまたは複数)647を挿入することによって、上部プレート650から電気的に分離される。1つまたは複数の実施形態では、電極645は電源646に結合されるのに対し、リッドアセンブリ602の残りの部分はグランドに接続される。それゆえに、1つまたは複数の処理ガスのプラズマを、電極645と環状取付けフランジ622の間の容積661、662および/または663からなる遠隔プラズマ領域内で発生させることができる。諸実施形態では、環状取付けフランジはガス送出プレート620を備え、または支持する。例えば、プラズマは、電極645とブロッカアセンブリ630の一方または両方のブロッカプレートとの間で点火し、維持することができる。別法として、ブロッカアセンブリ630がない場合には、プラズマを電極645とガス送出プレート620の間で出し、閉じ込めることができる。どちらの実施形態でも、プラズマはリッドアセンブリ602の中に適切に制限され、閉じ込められる。それゆえに、このプラズマは、チャンバ本体612の中に配置された基板と活性プラズマが直接接触していないので、「遠隔プラズマ」になる。結果として、プラズマが基板表面から分離されるので、基板のプラズマ損傷を回避することができる。
多種多様な電源646が、水素含有前駆体(例えばアンモニア)および窒素含有前駆体(三フッ化窒素)を活性化する能力がある。例えば、高周波(RF)、直流(DC)、またはマイクロ波(MW)をベースとする電力放電技法を使用することができる。活性化はまた、熱をベースとする技法、ガス分解技法、高強度光源(例えば、UVエネルギー)、またはX線源への露光によって引き起こすこともできる。別法として、遠隔プラズマ発生器などの遠隔活性化源を使用して反応性化学種のプラズマを発生させることができ、このプラズマはその後、チャンバ600の中へ送り出される。例示的な遠隔プラズマ発生器は、MKS Instruments,Inc.およびAdvanced Energy Industries,Inc.などの供給業者から入手可能である。例示的な処理システムでは、RF電源が電極645に結合される。高出力マイクロ波電源646が、反応性酸素もまた電源646を使用して生成される場合に有利である。
処理チャンバ本体612および基板の温度はそれぞれ、熱伝達媒体をチャンバ本体チャネル613および支持体アセンブリチャネル604それぞれに通して流すことによって制御することができる。支持体アセンブリチャネル604は、熱エネルギーを移送しやすくするように支持体アセンブリ610の中に形成することができる。チャンバ本体612および支持体アセンブリ610は、別個に冷却または加熱することができる。例えば、加熱流体を一方に通して流し、冷却流体を他方に通して流すことができる。
他の方法を使用して基板温度を制御することもできる。基板を加熱することは、抵抗ヒータを用いて、または他のいくつかの手段によって支持体アセンブリ610(または、その台などの部分)を熱することによって可能である。別の構成では、ガス送出プレート620を基板よりも高い温度に維持することができ、基板は、基板温度を上げるために上昇させることができる。この場合、基板は放射により、またはガス送出プレート620から基板まで熱を伝導するガスを使用することによって加熱される。基板は、支持体アセンブリ610を引き上げすることによって、またはリフトピンを使うことによって上昇させることができる。
本明細書に記載のエッチ処理中、チャンバ本体612は、別々の実施形態において50℃から80℃の間、55℃から75℃の間、または60℃から70℃の間のおおよその温度範囲内に維持することができる。プラズマ放出物および/または酸化剤に露出する間中、基板は、別々の実施形態において約100℃未満、約65℃未満、約15℃から約50℃の間、または約22℃から約40℃の間に維持することができる。基板はまたエッチング中に高温で保持して、固体副生成物粒子の大きさをさらに減少させることもできる。SiConi(登録商標)エッチング中、基板は、別々の実施形態において約40℃から約90℃の間、または約50℃から約85℃の間、または約60℃から約80℃の間に維持することができる。
プラズマ放出物には様々な分子、分子断片、およびイオン化種が含まれる。SiConi(登録商標)エッチングについて現在受け入れられている理論的メカニズムは、完全に正しい可能性も完全には正しくない可能性もあるが、プラズマ放出物にはNHFおよびNHF.HFが含まれると考えられ、これらは本明細書に記載の低温度基板とすぐに反応する。プラズマ放出物は、酸化シリコン面と反応して(NHSiF、NHおよびHO生成物を形成することができる。NHおよびHOは、本明細書に記載の処理条件のもとでは蒸気であり、真空ポンプ625によって処理領域640から除去することができる。(NHSiFの固体副生成物の薄い不連続層が基板面に取り残される。
プラズマ放出物への露出および付随する固体副生成物の蓄積に続き、基板を加熱して副生成物を除去することができる。諸実施形態では、ガス送出プレート620は、ガス送出プレート620の中または近くの一体型加熱素子670によって加熱することができる。基板は、基板と加熱されたガス送出プレートとの間の間隔を減少させることによって加熱することができる。ガス送出プレート620は、別々の実施形態において約100℃から150℃の間、約110℃から140℃の間、または約120℃から130℃の間で加熱することができる。基板と加熱されたガス送出プレートとの間の間隔を減少させることによって基板は、別々の実施形態において約75℃超、約90℃超、または約100℃超に、あるいは約115℃から約150℃の間で加熱することができる。ガス送出プレート620から基板に放射される熱は、基板上の固体(NHSiFを、処理領域640からポンプ排出できる揮発性SiF、NHおよびHF生成物に解離または昇華させるのに十分なものにしなければならない。
水素欠乏エッチング処理中、三フッ化窒素(または他のフッ素含有前駆体)を遠隔プラズマ容積661の中に、別々の実施形態において約25sccmから約200sccmの間、約50sccmから約150sccmの間、または約75sccmから約125sccmの間の流量で流し込むことができる。アンモニア(または一般に水素含有前駆体)は遠隔プラズマ容積661の中に、別々の実施形態において約200sccm以下、約150sccm以下、約100sccm以下、約75sccm以下、約50sccm以下、または約25sccm以下の流量で流し込むことができる。
フッ素欠乏エッチング処理中、アンモニア(または一般に水素含有前駆体)は遠隔プラズマ容積661の中に、別々の実施形態において約50sccmから約300sccmの間、約75sccmから約250sccmの間、約100sccmから約200sccmの間、または約120sccmから約170sccmの間の流量で流し込むことができる。三フッ化窒素(または一般にフッ素含有前駆体)を遠隔プラズマ容積661の中に、別々の実施形態において約100sccm以下、約75sccm以下、約50sccm以下、約25sccm以下、または約15sccm以下の流量で流し込むことができる。
遠隔プラズマ領域内に入る水素含有前駆体とフッ素含有前駆体を合わせた流量は、体積で総ガス混合物の0.05%から約20%にすることができ、残りはキャリアガスである。一実施形態では、遠隔プラズマ領域内の圧力を安定化するために、反応性ガスの前にまずパージガスまたはキャリアガスが遠隔プラズマ領域内に入れられる。
プラズマ放出物の生成は、容積661、662および/または663の中で、リッドアセンブリ602の残りの部分に対してプラズマ出力を電極645に加えることによって行われる。プラズマ出力は、様々な周波数、または複数の周波数の組合せとすることができる。例示的な処理システムでは、プラズマは、電極645へ送り出されるRF電力によって得られる。このRF電力は、別々の実施形態において約1Wと約1000Wの間、約5Wから約600Wの間、約10Wから約300Wの間、または約20Wから約100Wの間とすることができる。例示的な処理システムで適用されるRF周波数は、別々の実施形態において約200kHz未満、約150kHz未満、約120kHz未満、または約50kHzから約90kHzの間とすることができる。
処理領域640は、オゾン、酸素、キャリアガスおよび/またはプラズマ放出物の流れが処理領域640に入る間、様々な圧力に維持することができる。この圧力は、別々の実施形態において約500ミリトルから約30トルの間、約1トルから約10トルの間、または約3トルから約6トルの間に維持することができる。より低い圧力もまた、処理領域640の中で使用することができる。この圧力は、別々の実施形態において約500ミリトル以下、約250ミリトル以下、約100ミリトル以下、約50ミリトル以下、または約20ミリトル以下に維持することができる。
1つまたは複数の実施形態では、処理チャンバ600は、カリフォルニア州Santa ClaraにあるApplied Materials,Incから入手可能なProducer(登録商標)GT、Centura(登録商標)APおよびEndura(登録商標)のプラットフォームを含む様々なマルチ処理プラットフォームに内蔵することができる。このような処理プラットフォームは、真空を破らずにいくつかの処理操作を行う能力がある。
図7は、説明のためのマルチチャンバ処理システム700の概略上面図である。システム700は、システム700に出し入れする基板の移送をする1つまたは複数のロードロックチャンバ702、704を含むことができる。通常は、システム700が真空下にあるので、ロードロックチャンバ702、704は、システム700の中に導入される基板を「ポンプダウン」することができる。第1のロボット710は、ロードロックチャンバ702、704と、1つまたは複数の基板処理チャンバ712、714、716、718(4つを図示)の第1の組との間で基板を移送することができる。各処理チャンバ712、714、716、718は、本明細書に記載のドライエッチ処理を含むいくつかの基板処理操作を、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、ガス抜き、配向、および他の基板処理に加えて行うように装備を施すことができる。
第1のロボット710はまた、1つまたは複数の移送チャンバ722、724へ/から基板を移送することもできる。移送チャンバ722、724を使用して超高真空状態を維持することが、基板をシステム700の中で移送できるようにしながらできる。第2のロボット730は、移送チャンバ722、724と、1つまたは複数の処理チャンバ732、734、736、738の第2の組との間で基板を移送することができる。処理チャンバ712、714、716、718と同様に、処理チャンバ732、734、736、738は、例えば周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、ガス抜き、および配向に加えて、本明細書に記載のドライエッチ処理を含む様々な基板処理操作を行うように装備を施すことができる。ある特定の処理がシステム700によって実施される必要がない場合には、基板処理チャンバ712、714、716、718、732、734、736、738のどれかをシステム700から除去することができる。
オゾン発生器751は洗浄室の外側に置くことができ、供給ラインが処理ガスをオゾン発生器751から、本明細書に記載の酸化SiConi(登録商標)処理に使用される処理チャンバ734まで搬送する。遠隔プラズマシステム(RPS)753は、遠く離して置くことも、SiConi(登録商標)処理チャンバ734と一体化する(図示のように)こともできる。別法として、RPS 753は処理チャンバ734から分離できるが、処理チャンバ734に近接することも、さらには物理的に取り付けることもできる。RPS 753の中で励起された反応性酸素は、より直接に(例えば、開孔(1つまたは複数)652を通して)処理領域740の中に導入することができるのに対し、ガスハンドリングシステム755からの他の処理ガスは、開孔651を通して導入され、処理容積661、662および/または663の中で遠隔プラズマ(1つまたは複数)によって励起される。
システムコントローラ757が、モータ、バルブ、流れコントローラ、電源、および本明細書に記載の他の処理レシピを実行するために必要な他の機能を制御するために使用される。システムコントローラ757は、光センサからのフィードバックに依拠して可動機械アセンブリの位置を決定し調整することができる。機械アセンブリには、ロボット、スロットルバルブ、およびシステムコントローラ757の制御のもとにモータによって動くサセプタが含まれうる。
例示的な実施形態では、システムコントローラ757は、ハードディスクドライブ(メモリ)、USBポート、フロッピーディスクドライブ、およびプロセッサを含む。システムコントローラ757は、アナログおよびデジタル入力/出力ボード、インターフェースボード、およびステッピングモータコントローラボードを含む。処理チャンバ600を包含するマルチチャンバ処理システム700の様々な部分が、システムコントローラ757によって制御される。システムコントローラは、ハードディスク、フロッピーディスク、またはフラッシュメモリサムドライブなどのコンピュータ可読媒体に記憶されたコンピュータプログラムの形のシステム制御ソフトウェアを実行する。他のタイプのメモリもまた使用することができる。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、サセプタ位置、および他の特定の処理のパラメータを指示する命令のセットを含む。
エッチング、堆積または他の方法で基板上の膜を処理するプロセス、またはチャンバ15を洗浄するプロセスは、コントローラによって実行されるコンピュータプログラム製品を使用して実施することができる。コンピュータプログラムコードは、例えば68000アセンブリ言語、C、C++、パスカル、フォートランその他である、任意の従来のコンピュータ可読プログラミング言語で書くことができる。適切なプログラムコードは、従来のテキストエディタを使用して単一のファイル、または複数のファイルに入力され、コンピュータが利用可能なコンピュータのメモリシステムなどの媒体に記憶または収録される。入力されたコードテキストが高レベル言語である場合、そのコードはコンパイルされ、得られたコンパイラコードが次に、プリコンパイルされたMicrosoft Windows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。リンクされコンパイルされたオブジェクトコードを実行するには、システムユーザがオブジェクトコードを呼び出し、それによってコンピュータシステムにコードをメモリにロードさせる。次に、CPUがコードを読み出し実行して、プログラムで特定されたタスクが行われる。
ユーザとコントローラの間のインターフェースは、タッチセンスモニタを介することができ、またマウスおよびキーボードを含むこともできる。一実施形態では、2つのモニタが使用され、一方が操作員用に洗浄室の壁に取り付けられ、他方がサービス技術者用に壁の背後に取り付けられる。これら2つのモニタは、一方だけが一時に入力を受け入れるように構成されている場合には、同じ情報を同時に表示することがある。特定の画面または機能を選択するには、操作員は表示画面上の指定された区域に指またはマウスで接触する。接触された区域では、その強調表示された色が変化し、または新しいメニューもしくは画面が表示されて、操作員の選択が確認される。
本明細書で「基板」とは、上に層が形成されている、または形成されていない支持基板のことでありうる。支持基板は絶縁体、または様々なドーピング濃度およびプロファイルの半導体とすることができ、例えば、集積回路の製造に使用されるタイプの半導体基板とすることができる。「励起状態」のガスとは、ガス分子の少なくとも一部が振動的に励起され、解離され、および/またはイオン化された状態にあるガスをいう。ガスは、2つ以上のガスの化合物とすることができる。トレンチという用語は、全体を通して、エッチングされた形状寸法が大きい横のアスペクト比を有するということを含意せずに用いられる。面の上から見て、トレンチは円形、楕円形、多角形、長方形、または他の様々な形状に見えることがある。プラズマを「パルス化」することは、プラズマ出力をゼロ以外の数値と相対的に小さい数値との間で交互に入れ替えることを含むことができ、この相対的に小さい値により固体残留物がほとんど成長しなくなる。プラズマを「パルス化」することはまた、各前駆体の一方または両方の流れをゼロ以外の数値と相対的に小さい数値との間で交互に入れ替えることを含むことができ、相対的に小さい流量では、パルス間のエッチング処理の進行がかなり低減される。プラズマ出力を交互に入れ替えること、および流量を交互に入れ替えることは、別々でも、互いに組み合わせても用いることができる。
いくつかの実施形態を開示したが、当業者には、開示された諸実施形態の趣旨から逸脱することなく様々な修正、代替構造物、および等価物を使用できることが認識されよう。加えて、いくつかのよく知られた処理および要素は、本発明を不必要に不明瞭にしないようにするために、説明されていない。それゆえに、上記の説明は、本発明の範囲を限定するものと解釈されるべきではない。
ある範囲の値が与えられている場合、その範囲の上限と下限の間の、特に指示されていない限り下限の10分の1までの各介在値もまた、明確に開示されていることを理解されたい。提示された範囲内の任意の提示値または介在値と、その提示された範囲内の別の任意の提示値または介在値との間のそれぞれのより小さい範囲が包容されている。これらのより小さな範囲の上限および下限は、別個にその範囲内に含まれることも除外されることもあり、そのより小さな範囲内に上下限のどちらかまたは両方が含まれ、あるいはどちらも含まれないそれぞれの範囲もまた、提示された範囲内で明確に除外されたどの限度も条件として、本発明の中に包容される。提示された範囲がこれら限度の一方または両方を含む場合、これらの含まれた限度のどちらかまたは両方を除外する範囲もまた含まれる。
本明細書および添付の特許請求の範囲では、単数形「1つの(a)」、「1つの(an)」、および「その(the)」は、特に指示されていない限り複数の指示物を含む。すなわち、例えば、「1つの処理」を示すことには、複数のこのような処理を示すことが含まれ、「その誘導体材料」を示すことには、1つまたは複数の誘電体材料、および当業者に知られているその等価物を示すことが含まれる、などである。
また、「備える(comprise)」「備えている(comprising)」、「含む(include)」、「含んでいる(including)」、および「含む(includes)」という語は、本明細書および添付の特許請求の範囲では、提示された特徴、完全体、構成要素、またはステップの存在を明示するものであるが、他の1つまたは複数の特徴、完全体、構成要素、ステップ、動作、または群が存在すること、または追加されることを排除しない。

Claims (17)

  1. 基板処理チャンバの基板処理領域内で基板の表面の酸化シリコン層をエッチングする方法であって、相対的に平滑なエッチング後表面が残され、
    前記基板処理領域に流体結合された第1の遠隔プラズマ領域の中に水素源のアンモニア(NH およびフッ素源の三フッ化窒素(NF を、プラズマ放出物を生成するために前記第1の遠隔プラズマ領域内でプラズマを形成しながら流すステップであって、前記水素源のアンモニア(NH の流量および前記フッ素源の三フッ化窒素(NF の流量により、水素対フッ素原子流れ比率が1:2未満になるステップと、
    前記基板の前記表面に固体副生成物を形成しながら前記プラズマ放出物を前記基板処理領域内に流し込むことによって前記酸化シリコン層をエッチングするステップと、
    前記固体副生成物を、前記固体副生成物の昇華温度を超えて前記基板の温度を上げることによって昇華させて、前記相対的に平滑なエッチング後表面を残すステップとを含む、方法。
  2. 前記酸化シリコン層が、エッチング操作中に約50℃以上に維持される、請求項1に記載の方法。
  3. 前記酸化シリコン層をエッチングする操作および前記固体副生成物を昇華させる操作が1つのステップから成り立ち、整数個のステップが使用されて各サイクル中に除去される材料の量が低減する、請求項1に記載の方法。
  4. 前記酸化シリコン層の全厚が3つのステップで除去され、各ステップで全厚の20%から40%を除去する、請求項に記載の方法。
  5. 各ステップで前記酸化シリコン層から約150Å以下を除去する、請求項に記載の方法。
  6. 基板処理チャンバの基板処理領域内で基板の表面の酸化シリコン層をエッチングする方法であって、前記方法は、高密度にパターニングされた区域と低密度にパターニングされた区域との間のエッチング速度の差を低減し、
    前記基板処理領域に流体結合された第1の遠隔プラズマ領域の中に水素源のアンモニア(NH およびフッ素源の三フッ化窒素(NF を、プラズマ放出物を生成するために前記第1の遠隔プラズマ領域内でプラズマを形成しながら流すステップであって、前記水素源のアンモニア(NH の流量および前記フッ素源の三フッ化窒素(NF の流量により、水素対フッ素原子流れ比率が1:2未満になるステップと、
    前記基板の前記表面に固体副生成物を形成しながら前記プラズマ放出物を前記基板処理領域内に流し込むことによって前記高密度にパターニングされた区域と前記低密度にパターニングされた区域で前記酸化シリコン層をエッチングするステップと、
    前記固体副生成物を、前記固体副生成物の昇華温度を超えて前記基板の温度を上げることによって昇華させるステップとを含む、方法。
  7. 前記酸化シリコン層をエッチングする操作および前記固体副生成物を昇華させる操作が1つのステップから成り立ち、整数個のステップが使用されて各サイクル中に除去される材料の量が低減する、請求項に記載の方法。
  8. 前記酸化シリコン層の全厚が3つのステップで除去され、各ステップで全厚の20%から40%を除去する、請求項に記載の方法。
  9. 各ステップで前記酸化シリコン層から約100Å以下を除去する、請求項に記載の方法。
  10. 前記水素源のアンモニア(NH の前記流量および前記フッ素源の三フッ化窒素(NF の前記流量により、水素対フッ素原子流れ比率が1:4未満になる、請求項に記載の方法。
  11. 基板処理チャンバの基板処理領域内で基板の表面の酸化シリコン層をエッチングする方法であって、
    前記基板処理領域に流体結合された第1の遠隔プラズマ領域の中に水素源のアンモニア(NH およびフッ素源の三フッ化窒素(NF を、プラズマ放出物を生成するために前記第1の遠隔プラズマ領域内で一連の複数のプラズマパルスを形成しながら流すステップであって、前記水素源のアンモニア(NH の流量および前記フッ素源の三フッ化窒素(NF の流量により、水素対フッ素原子流れ比率が1:2未満になるステップと、
    前記基板の前記表面に固体副生成物を形成しながら前記プラズマ放出物を前記基板処理領域内に流し込むことによって前記酸化シリコン層をエッチングするステップと、
    前記固体副生成物を、前記固体副生成物の昇華温度を超えて前記基板の温度を上げることによって昇華させるステップとを含む、方法。
  12. 前記一連のプラズマパルスが、前記第1の遠隔プラズマ領域に加えられるプラズマ出力をパルス化することによって作り出される、請求項11に記載の方法。
  13. 前記フッ素源の三フッ化窒素(NF )流および前記水素源のアンモニア(NH )流の両方が前記エッチング操作中に一定である、請求項12に記載の方法。
  14. 前記一連のプラズマパルスが、前記水素源のアンモニア(NH )流および前記フッ素源の三フッ化窒素(NF 流の少なくとも一方の流れをパルス化することによって作り出される、請求項11に記載の方法。
  15. 前記固体副生成物を昇華させる操作が、前記一連の複数のプラズマパルスの各プラズマパルスに続いて起こる複数の基板アニールを含む、請求項11に記載の方法。
  16. 前記一連のプラズマパルスの繰返し周波数が約0.1Hzから約1.0Hzの間である、請求項11に記載の方法。
  17. 前記酸化シリコン層をエッチングする操作の間、前記基板が相対的に高い温度に維持され、それにより、前記固体副生成物を昇華させる操作において前記固体副生成物を昇華させるための温度上昇が小さいことを特徴とする、請求項1ないし請求項16のいずれか一項に記載の方法。
JP2012545975A 2009-12-23 2010-11-22 シリコン含有膜の平滑SiConiエッチング Active JP5931741B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/646,030 US8501629B2 (en) 2009-12-23 2009-12-23 Smooth SiConi etch for silicon-containing films
US12/646,030 2009-12-23
PCT/US2010/057676 WO2011087580A1 (en) 2009-12-23 2010-11-22 Smooth siconi etch for silicon-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014216327A Division JP6009520B2 (ja) 2009-12-23 2014-10-23 シリコン含有膜の平滑SiConiエッチング

Publications (3)

Publication Number Publication Date
JP2013516069A JP2013516069A (ja) 2013-05-09
JP2013516069A5 JP2013516069A5 (ja) 2014-01-16
JP5931741B2 true JP5931741B2 (ja) 2016-06-08

Family

ID=44151711

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012545975A Active JP5931741B2 (ja) 2009-12-23 2010-11-22 シリコン含有膜の平滑SiConiエッチング
JP2014216327A Active JP6009520B2 (ja) 2009-12-23 2014-10-23 シリコン含有膜の平滑SiConiエッチング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014216327A Active JP6009520B2 (ja) 2009-12-23 2014-10-23 シリコン含有膜の平滑SiConiエッチング

Country Status (7)

Country Link
US (1) US8501629B2 (ja)
JP (2) JP5931741B2 (ja)
KR (1) KR101425629B1 (ja)
CN (1) CN102687249B (ja)
SG (1) SG181669A1 (ja)
TW (1) TWI445081B (ja)
WO (1) WO2011087580A1 (ja)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101297926B1 (ko) * 2009-03-26 2013-08-19 가부시키가이샤 알박 진공 처리 방법 및 진공 처리 장치
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102938378B (zh) * 2011-08-16 2015-06-17 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8895432B2 (en) 2012-05-31 2014-11-25 Applied Materials, Inc. Method of fabricating a self-aligned buried bit line for a vertical channel DRAM
US9023723B2 (en) 2012-05-31 2015-05-05 Applied Materials, Inc. Method of fabricating a gate-all-around word line for a vertical channel DRAM
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
CN102931069A (zh) * 2012-11-28 2013-02-13 上海华力微电子有限公司 栅极的制作方法
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN104979209A (zh) * 2014-04-09 2015-10-14 中芯国际集成电路制造(上海)有限公司 一种FinFET器件的制造方法
US9385123B2 (en) 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
CN104377107A (zh) * 2014-09-24 2015-02-25 上海华力微电子有限公司 一种用于SiCoNi蚀刻工艺的蚀刻装置
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) * 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9589979B2 (en) 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9548239B2 (en) * 2015-02-02 2017-01-17 United Microelectronics Corp. Method for fabricating contact plug in an interlayer dielectric layer
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
TWI610361B (zh) * 2015-06-26 2018-01-01 東京威力科創股份有限公司 具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
US10580660B2 (en) * 2015-06-26 2020-03-03 Tokyo Electron Limited Gas phase etching system and method
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
TWI782220B (zh) 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
US10861693B2 (en) 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9673216B1 (en) 2016-07-18 2017-06-06 Sandisk Technologies Llc Method of forming memory cell film
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052475A1 (en) 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
US9876088B1 (en) 2016-09-19 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10381479B2 (en) 2017-07-28 2019-08-13 International Business Machines Corporation Interface charge reduction for SiGe surface
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10544519B2 (en) 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
DE102017120963A1 (de) 2017-09-11 2019-03-14 Aixtron Se Verfahren zum Ätzen einer Silizium enthaltenden Oberfläche
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
US10755941B2 (en) * 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
FR3090013B1 (fr) 2018-12-17 2020-12-25 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de fabrication d’une structure monocristalline
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210233775A1 (en) * 2020-01-24 2021-07-29 Tokyo Electron Limited High-throughput dry etching of silicon oxide and silicon nitride materials by in-situ autocatalyst formation
US11489043B2 (en) 2020-04-27 2022-11-01 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11398496B2 (en) 2020-04-27 2022-07-26 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11562909B2 (en) 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
US11646372B2 (en) 2020-09-19 2023-05-09 International Business Machines Corporation Vertical transistor floating body one transistor DRAM memory cell
CN115881621A (zh) * 2023-01-10 2023-03-31 广州粤芯半导体技术有限公司 浅沟槽隔离结构及其制备方法、半导体结构和芯片

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2942138B2 (ja) * 1994-03-22 1999-08-30 三菱電機株式会社 プラズマ処理装置及びプラズマ処理方法
US5789300A (en) * 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
JP3627451B2 (ja) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
US6238582B1 (en) * 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6903511B2 (en) * 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7196342B2 (en) * 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US8252696B2 (en) * 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
JP4553049B2 (ja) * 2008-02-29 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
US20090272717A1 (en) * 2008-03-21 2009-11-05 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
US8236133B2 (en) * 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films

Also Published As

Publication number Publication date
CN102687249A (zh) 2012-09-19
KR20120102139A (ko) 2012-09-17
KR101425629B1 (ko) 2014-07-31
SG181669A1 (en) 2012-07-30
JP6009520B2 (ja) 2016-10-19
JP2013516069A (ja) 2013-05-09
US20110151674A1 (en) 2011-06-23
CN102687249B (zh) 2016-01-20
TWI445081B (zh) 2014-07-11
TW201133609A (en) 2011-10-01
JP2015053501A (ja) 2015-03-19
WO2011087580A1 (en) 2011-07-21
US8501629B2 (en) 2013-08-06

Similar Documents

Publication Publication Date Title
JP6009520B2 (ja) シリコン含有膜の平滑SiConiエッチング
JP5925802B2 (ja) 2段階での均一なドライエッチング
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
US9093390B2 (en) Conformal oxide dry etch
US8435902B2 (en) Invertable pattern loading with dry etch
KR101884262B1 (ko) 실리콘 막들에 대한 선택적인 식각
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
JP5844390B2 (ja) 遠隔励起式のフッ素および水蒸気エッチング
US8748322B1 (en) Silicon oxide recess etch
JP2013048127A (ja) アッシュ後の側壁の回復

Legal Events

Date Code Title Description
A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20131121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131121

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20131121

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20131213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131224

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140319

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140327

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140424

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160125

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160427

R150 Certificate of patent or registration of utility model

Ref document number: 5931741

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250