KR101425629B1 - 실리콘 함유 필름들을 위한 평활 siconi 식각 - Google Patents

실리콘 함유 필름들을 위한 평활 siconi 식각 Download PDF

Info

Publication number
KR101425629B1
KR101425629B1 KR1020127019437A KR20127019437A KR101425629B1 KR 101425629 B1 KR101425629 B1 KR 101425629B1 KR 1020127019437 A KR1020127019437 A KR 1020127019437A KR 20127019437 A KR20127019437 A KR 20127019437A KR 101425629 B1 KR101425629 B1 KR 101425629B1
Authority
KR
South Korea
Prior art keywords
silicon
containing layer
hydrogen
fluorine
substrate
Prior art date
Application number
KR1020127019437A
Other languages
English (en)
Other versions
KR20120102139A (ko
Inventor
징 탕
니틴 잉글
동칭 양
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120102139A publication Critical patent/KR20120102139A/ko
Application granted granted Critical
Publication of KR101425629B1 publication Critical patent/KR101425629B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

실리콘 함유 물질을 식각하는 방법이 설명되고, 그러한 방법은 종래 기술에서 발견되는 것보다 더 크거나 더 작은, 불소에 대비한 수소의 유동 비율을 갖는 SiConi™ 식각을 포함한다. 이러한 방식으로 유량 비율들을 변경하는 것은 식각-후 표면의 조도를 감소시키고 조밀하게 패터닝된 영역과 성기게 패터닝된 영역 사이의 식각률에서의 차이를 감소시키는 것으로 밝혀졌다. 식각-후 표면 조도를 감소시키는 대안적인 수단은 플라즈마 전력 및/또는 전구체들의 유동들을 펄싱하는 것, 비교적 높은 기판 온도를 유지하는 것, 그리고 SiConi™ 을 다수의 단계들로 수행하는 것을 포함한다. 이들 접근 방법들 각각은, 단독으로 또는 조합되어, 고체 잔류물 입자 크기를 제한함으로써, 식각된 표면의 조도를 감소시키는 역할을 한다.

Description

실리콘 함유 필름들을 위한 평활 SICONI 식각{SMOOTH SICONI ETCH FOR SILICON-CONTAINING FILMS}
본 출원은, 코팅들 및 박막들의 증착, 패터닝, 및 처리에 사용되는, 장비, 프로세스들, 및 물질들을 수반하는 제조 기술 솔루션들(solutions)에 관한 것으로서, 그 대표적인 예들은, 반도체 및 유전체 물질들과 소자들, 실리콘 기반 웨이퍼들, 및 (TFT들과 같은) 평판 디스플레이들을 수반하는 응용예들을 포함한다(그러나 이러한 것으로 한정되는 것은 아니다).
집적 회로들은 기판의 표면들 상에 복잡하게 패터닝된 물질 층들을 생성하는 프로세스들에 의해 가능하게 된다. 기판 상에 패터닝된 물질을 생성하는 것은, 노출된 물질의 제거를 위한 제어되는 방법들을 요구한다. 화학적 식각은, 포토레지스트에서의 패턴을 하부 층들로 전사하는 것, 층들을 얇게 하는 것(thinning), 또는 표면 상에 이미 존재하는 피쳐들의 측방향 치수(lateral dimension)들을 얇게 하는 것을 포함하는 다양한 목적들을 위해서 사용된다. 종종, 예를 들어 패턴 전사 프로세스 진행을 돕는 것으로서 하나의 물질을 다른 물질보다 더 빠르게 식각하는 식각 프로세스를 가지는 것이 바람직하다. 이러한 식각 프로세스는 제 1 물질에 대해 선택적(selective)이라고 지칭된다. 물질들, 회로들, 및 프로세스들의 다양성(diversity)의 결과로서, 식각 프로세스들은 다양한 물질들에 대한 선택성(selectivity)을 갖도록 개발되어 왔다.
SiConi™ 식각은 원격 플라즈마 보조 건식 식각 프로세스이며, 그러한 프로세스는 기판을 H2, NF3 및 NH3 플라즈마 부산물들에 동시에 노출시키는 것을 수반한다. 수소 및 불소 종들의 원격 플라즈마 여기(excitation)는 플라즈마-손상-프리(plasma-damage-free) 기판 프로세싱을 가능하게 한다. SiConi™ 식각은 실리콘 산화물 층들에 대하여 대체로 등각적(conformal)이고 선택적이나, 실리콘이 비정질, 결정질 또는 다결정질인지에 무관하게 실리콘을 용이하게 식각하지는 못한다. 선택성은 STI(shallow trench isolation) 및 ILD(inter-layer dielectric) 리세스(recess) 형성과 같은 응용예들에 대해 장점들을 제공한다.
SiConi™ 프로세스는, 기판 물질이 제거됨에 따라 기판의 표면 상에서 성장하는 고체 부산물들을 생성한다. 고체 부산물들은, 기판의 온도가 상승될 때 승화를 통해 후속하여 제거된다. 그러나, 기술이 32 nm 트렌치 폭들로 그리고 그보다 더 축소됨에 따라, 이들 고체 부산물들의 치수들은 트렌치의 가장 작은 치수에 대비하여 무시할 수 없게 되었다. 고체 부산물들의 상당한(appreciable) 크기는 실리콘 산화물 인터페이스의 높이의 트렌치-대-트렌치 변동성(variability) 및 ILD 리세스 표면 조도와 관련한 문제들을 제기할 수 있다.
SiConi™ 식각 프로세스들에서의 표면 조도 및 높이 변동성을 감소시키기 위한 방법들이 요구된다.
실리콘 함유 물질을 식각하는 방법이 설명되고, 그러한 방법은 종래 기술에서 발견되는 것보다 더 크거나 더 작은, 불소에 대비한 수소의 유동 비율을 갖는 SiConi™ 식각을 포함한다. 이러한 방식으로 유량 비율들을 변경하는 것은 식각-후 표면의 조도를 감소시키고, 조밀하게(densely) 패터닝된 영역과 성기게(sparsely) 패터닝된 영역 사이의 식각률에서의 차이를 감소시키는 것으로 밝혀졌다. 식각-후 표면 조도를 감소시키는 대안적인 수단은, 플라즈마 전력(power) 및/또는 전구체들의 유동들을 펄싱하는 것, 비교적 높은 기판 온도를 유지하는 것, 그리고 SiConi™ 을 다수의 단계들로 수행하는 것을 포함한다. 이들 접근 방법들 각각은, 단독으로 또는 조합되어, 고체 잔류물 입자 크기를 제한함으로써, 식각된 표면의 조도를 감소시키는 역할을 한다.
일 실시예에서, 비교적 평활한 식각-후 표면을 남기는, 기판 프로세싱 챔버의 기판 프로세싱 구역에서 기판의 표면 상의 실리콘 함유 층을 식각하는 방법은, 기판 프로세싱 구역에 유체적으로 커플링된 제 1 원격 플라즈마 구역 내로 불소 함유 전구체 및 수소 함유 전구체를 유동시키면서, 제 1 플라즈마 구역에서 플라즈마를 형성하여 플라즈마 유출물들(effluents)을 생성하는 단계를 포함한다. 불소 함유 전구체의 유량 및 수소 함유 전구체의 유량은 1:1 미만의 또는 5:1 초과의 수소-대-불소 원자 유동 비율을 초래한다. 방법은, 기판의 표면 상에 고체 부산물들을 형성하면서, 기판 프로세싱 구역 내로 플라즈마 유출물들을 유동시킴으로써 실리콘 함유 층을 식각하는 단계, 및 기판의 온도를 고체 부산물들의 승화 온도보다 높게 증가시킴으로써 비교적 평활한 식각-후 표면을 남기도록 상기 고체 부산물들을 승화시키는 단계를 더 포함한다.
또 다른 실시예에서, 조밀하게 패터닝된 영역과 성기게 패터닝된 영역 사이의 식각률에서의 차이를 감소시키는, 기판 프로세싱 챔버의 기판 프로세싱 구역에서 기판의 표면 상의 실리콘 함유 층을 식각하는 방법은, 기판 프로세싱 구역에 유체적으로 커플링된 제 1 원격 플라즈마 구역 내로 불소 함유 전구체 및 수소 함유 전구체를 유동시키면서, 제 1 플라즈마 영역에서 플라즈마를 형성하여 플라즈마 유출물들을 생성하는 단계를 포함한다. 불소 함유 전구체의 유량 및 수소 함유 전구체의 유량은 1:1 미만의 또는 5:1 초과의 수소-대-불소 원자 유동 비율을 초래한다. 그러한 방법은, 기판의 표면 상에 고체 부산물들을 형성하면서, 기판 프로세싱 구역 내로 플라즈마 유출물들을 유동시킴으로써 조밀하게 패터닝된 영역 및 성기게 패터닝된 영역에서 실리콘 함유 층을 식각하는 단계, 및 기판의 온도를 고체 부산물들의 승화 온도보다 높게 증가시킴으로써 고체 부산물들을 승화시키는 단계를 더 포함한다.
또 다른 실시예에서, 기판 프로세싱 챔버의 기판 프로세싱 구역에서 기판의 표면 상의 실리콘 함유 층을 식각하는 방법은, 기판 프로세싱 구역에 유체적으로 커플링된 제 1 원격 플라즈마 구역 내로 불소 함유 전구체 및 수소 함유 전구체를 유동시키면서, 제 1 플라즈마 구역에서 플라즈마를 형성하여 플라즈마 유출물들을 생성하는 단계를 포함한다. 전구체들 중 적어도 하나의 유동은 유동 펄스들을 포함한다. 방법은, 기판의 표면 상에 고체 부산물들을 형성하면서, 기판 프로세싱 구역 내로 플라즈마 유출물들을 유동시킴으로써 실리콘 함유 층을 식각하는 단계, 및 기판의 온도를 고체 부산물들의 승화 온도보다 높게 증가시킴으로써 고체 부산물들을 승화시키는 단계를 더 포함한다.
또 다른 실시예에서, 기판 프로세싱 챔버의 기판 프로세싱 구역에서 기판의 표면 상의 실리콘 함유 층을 식각하는 방법은, 기판 프로세싱 구역에 유체적으로 커플링된 제 1 원격 플라즈마 구역 내로 불소 함유 전구체 및 수소 함유 전구체를 유동시키면서, 제 1 플라즈마 구역에서 일련의(a train of) 플라즈마 펄스들을 형성하여 플라즈마 유출물들을 생성하는 단계; 기판의 표면 상에 고체 부산물들을 형성하면서, 기판 프로세싱 구역 내로 플라즈마 유출물들을 유동시킴으로써 실리콘 함유 층을 식각하는 단계; 및 기판의 온도를 고체 부산물들의 승화 온도보다 높게 증가시킴으로써 고체 부산물들을 승화시키는 단계를 포함한다.
추가적인 실시예들 및 특징들이 후술하는 설명에서 일부가 제시되어 있고, 그리고 일부는 명세서의 검토를 통해 당업자에게 명확해질 것이며, 또는 개시된 실시예들의 실시에 의해 학습될 수 있을 것이다. 본 명세서에 설명된 수단들, 조합들, 및 방법들을 이용하여, 개시된 실시예들의 특징들 및 장점들이 실현되고 획득될 수 있다.
명세서의 나머지 부분들과 도면들을 참조하여, 개시된 실시예들의 본질 및 장점들의 추가적인 이해가 실현될 수 있을 것이다.
도 1은 개시된 실시예들에 따른 실리콘 함유 물질 식각 프로세스의 흐름도이다.
도 2는 개시된 실시예들에 따른 SiConi™ 식각 프로세스들에 대한 수소-대-불소 유동 비율에 대한 표면 조도 및 식각률의 상관성을 표시한 플롯이다.
도 3a 및 3b는 표준 SiConi™ 후의 패터닝된 표면 및 개시된 실시예들에 따른 평활 SiConi™ 후의 패터닝된 표면을 도시한다.
도 4는 개시된 실시예들에 따른 실리콘 함유 물질 식각 프로세스의 흐름도이다.
도 5a 및 5b는 표준 SiConi™ 후의 패터닝된 표면 및 개시된 실시예들에 따른 평활 SiConi™ 후의 패터닝된 표면을 도시한다.
도 6은 개시된 실시예들에 따른 식각 프로세스들을 수행하기 위한 프로세싱 챔버의 단면도이다.
도 7은 개시된 실시예들에 따른 식각 프로세스들을 수행하기 위한 프로세싱 시스템이다.
첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피쳐들은 동일한 참조 부호를 가질 수 있다. 또한, 동일한 타입의 다양한 컴포넌트들은 참조 부호에 이어서, 대시 및 유사한 컴포넌트들을 구별하는 2차 부호를 뒤따르게 함으로써 구별될 수 있다. 만일 본 명세서에서 1차 참조 부호만이 사용되는 경우, 2차 참조 부호와는 무관하게, 동일한 1차 참조 부호를 갖는 유사한 컴포넌트들 중 임의의 것에 대해 설명이 적용될 수 있다.
실리콘 함유 물질을 식각하는 방법이 설명되고, 그러한 방법은 종래 기술에서 발견되는 것보다 더 크거나 더 작은, 불소에 대비한 수소의 유동 비율을 갖는 SiConi™ 식각을 포함한다. 이러한 방식으로 유량 비율들을 변경하는 것은 식각-후 표면의 조도를 감소시키고 조밀하게 패터닝된 영역과 성기게 패터닝된 영역 사이의 식각률의 차이를 감소시키는 것으로 밝혀졌다. 식각-후 표면 조도를 감소시키는 대안적인 수단은 플라즈마 전력 및/또는 전구체들의 유동들을 펄싱하는 것, 비교적 높은 기판 온도를 유지하는 것, 그리고 SiConi™ 을 다수의 단계들로 수행하는 것을 포함한다. 이들 접근 방법들 각각은, 단독으로 또는 조합되어, 고체 잔류물 입자 크기를 제한함으로써, 식각된 표면의 조도를 감소시키는 역할을 한다.
SiConi™ 식각 프로세스들은 암모니아(NH3)의 수소 소스 및 삼불화질소(NF3)의 불소 소스를 이용하였으며, 그러한 암모니아와 삼불화질소는 함께, 원격 플라즈마 시스템(RPS)을 통해서 그리고 반응 구역 내로 유동한다. 이제까지, SiConi™ 식각 프로세스들은 불소 및 수소의 공급들을 효율적으로 이용하도록 최적화되어 왔다. 삼불화질소 유량의 2배인 암모니아 유량을 이용하여 높은 식각률을 산출하였으며, 이는 보다 일반적으로 약 2:1의 수소-대-불소 원자 유동 비율로 해석된다. 수소(원자%) 유량이 불소(원자%) 유량의 2배보다 크거나 작도록 유량들 중 하나 또는 양자 모두를 변경하는 것은, 식각된 실리콘 함유 표면의 조도를 감소시키는 것으로 밝혀졌다. 상이한 실시예들에서, 수소-대-불소 원자 유동 비율들은 1:1 미만, 1:2 미만, 1:4 미만, 5:1 초과, 10:1 초과, 그리고 20:1 초과이다.
특허청구범위의 범주를 가설적인 프로세스 메커니즘들에 구속하지 않으면서, "수소-결핍(starved)" 상황(regime) 또는 "불소-결핍" 상황으로 유량 비율을 변경하는 것은 유효(viable) 고체 잔류물 핵생성 사이트들의 밀도를 증가시키는 것으로 생각된다. 보다 많은 수의 핵생성 사이트들은 결과적으로, 식각 단계의 완료 시에 고체 잔류물의 평균 입자 크기를 감소시킬 수 있다. 보다 작은 입자 크기들은 식각 프로세스의 스크리닝(screening) 및 다른 입자 크기 효과들을 감소시켜 결과적으로 실리콘 함유 층에 걸친 식각률의 공간적인 변동을 감소시킨다.
본 발명을 더 잘 이해하고 인식하기 위해, 개시된 실시예들에 따른 불소-결핍 SiConi™ 식각 프로세스의 흐름도인 도 1을 이제 참조한다. 프로세스는 프로세싱 챔버 내로 기판이 이송될 때 시작된다(동작(110)). 기판의 표면 상에 실리콘 산화물 층이 존재한다. 프로세싱 구역으로부터 분리된 플라즈마 구역 내로, 암모니아 및 삼불화질소의 유동들이 개시된다(동작(115) 및 동작(120)). 본 명세서에서, 분리된 플라즈마 구역은 원격 플라즈마 구역으로서 지칭될 수 있고, 프로세싱 챔버 내의 격실 또는 프로세싱 챔버와 구별되는 모듈일 수 있다. 수소-대-불소 원자 유동 비율이 약 10:1로 유지되도록, 암모니아 및 삼불화질소의 유동들이 제어된다(동작(122)). 원격 플라즈마로부터의 원격 플라즈마 유출물들 또는 생성물들은 프로세싱 구역 내로 유동되고 기판 표면과 상호작용하게 허용된다(동작(125)). 층이 식각되고(동작(130)) 그리고 가스들의 유동들이 중단된다(동작(135)). 식각 프로세스에 의해 남겨진 고체 부산물들을 승화시키기 위하여 기판이 가열되고(동작(140)) 그리고 프로세싱 구역으로부터 기판이 제거된다(동작(145)).
도 2는 다양한 수소-대-불소 원자 유량 비율들에 대한, 승화 후의 표면 조도 및 SiConi™ 식각 중의 식각률을 도시한다. 표면 조도는 2:1의 수소-대-불소 비율 부근에서 가장 높고 그리고 수소-결핍 상황(피크의 좌측)에서 강하되지만 또한 불소-결핍 상황(피크의 우측)에서도 강하된다. 식각률은 유량 비율에 대해서 덜 의존적인데, 이는 유량 비율이 표면 조도를 제어하기 위한 유용한 파라미터가 되게 한다. 비율이 도면에 도시된 것보다 더 상승될 때, 불소 농도가 강하되고 그에 따라 식각률이 급락한다. 낮은 유량 비율들의 경우에, 불소의 계속된 가용성으로 인해서 식각이 계속된다. 표면 조도 측정들은 탭핑(tapping) 모드로 동작된 AFM으로 이루어졌다. AFM 측정들은 1 ㎛ x 1 ㎛ 치수의 정사각형 패턴에 대해 이루어졌고 그리고 도 2에 표시된 표면 조도 측정들은 모든 측정된 지점들의 rms 계산으로부터 결정되었다.
도 3은 표준 SiConi™ 후의 조도(도 3a) 그리고 개시된 실시예들에 따른 평활 SiConi™ 후의 조도(도 3b)를 나타낸다. 도 3a에서 실리콘 산화물 충진된 트렌치를 따라서 텍스쳐(표면 조도)가 명확하게 보인다. 도 3b에 도시된 패터닝된 표면을 형성하기 위해서, 350sccm의 암모니아 및 35sccm의 NF3 의 유동을 수반하여, 평활 SiConi™ 이 수행되었다. 이는 도 2의 우측 상의 불소-결핍 상황을 나타낸다. 실리콘 산화물 충진된 트렌치들을 따르는 텍스쳐는 도 3b에서 식별하기가 어렵다. 이러한 사진에서의 텍스쳐의 부재(lack)는, 승화에 앞선 식각 동안에 생성된 고체 잔류물 입자의 보다 작은 크기로부터 기인한 표면 조도의 부재를 반영한다. 도 3a 및 3b 모두는 고체 잔류물의 승화 후의 표면들을 나타낸다. 패터닝된 기판의 온도는 식각 동작 중에 30 ℃이었고, 기판 온도는 도 3a 및 3b의 각각에서 고체 잔류물을 승화시키기 위해서 100 ℃까지 상승되었다.
인가된 플라즈마 전력을 펄싱하는 것 또는 전구체들 중 어느 하나 또는 양자 모두의 유동들을 펄싱하는 것은 또한 결과적으로, 동일한 메커니즘에 의해서 식각-후 표면을 보다 평활하게 한다. 특허청구범위의 범주가 동작의 임의의 특정한 이론에 의해서 제한되지 않으면서, 본 발명자들은 그러한 펄싱이 고체 부산물 핵생성 사이트들의 보다 높은 밀도를 또한 생성하는 것으로 생각한다. 펄싱된 플라즈마 프로세스가 도 4에 도시되어 있다. 프로세스는 도 1에 도시된 프로세스와 유사하게, 기판이 프로세싱 챔버 내로 이송될 때 시작된다(동작 410). 실리콘 산화물 층은 기판의 표면 상에 존재한다. 프로세싱 구역으로부터 분리된 플라즈마 구역 내로 암모니아 및 삼불화질소의 유동들이 시작된다(동작(415) 및 동작(420)). 이번에는, 플라즈마 전력이 약 0.1 Hz 내지 약 1.0 Hz의 반복 주파수로 펄싱된다(동작(422)). 원격 플라즈마 유출물들이 프로세싱 구역 내로 유동되고, 그 프로세싱 구역에서 동작(425)에서, 유출물들은 기판 표면과 상호작용할 수 있다. 층이 식각되고(동작(430)) 그리고 그 후 프로세싱 구역 내로의 원격 플라즈마 유출물들 및 가스들의 유동들이 중단된다(동작(435)). 식각 프로세스에 의해 남겨진 고체 부산물들을 승화시키기 위하여 기판이 가열되고(동작(440)) 그리고 프로세싱 구역으로부터 기판이 제거된다(동작(445)).
또한, 식각-후 표면의 조도를 감소시키기 위해서 SiConi™ 식각이 다수의 단계들로 수행될 수 있다. 전체 필름 두께를 제거하기 위한 프로세스는 2개의 분리된 단계들로 진행될 수 있으며, 각 단계는 전체 필름 두께의 30% 내지 80%를 제거하는 단축된(abbreviated) SiConi™ 을 포함한다. 각 단계는 식각 및 고체 잔류물을 승화시키기 위한 어닐링을 포함한다. 반복된 SiConi™ 프로세스 동안, 고체 잔류물을 승화시키는데 보다 작은 온도 증가를 허용하기 위해서, 식각 중에 기판이 비교적 높은 기판 온도로 유지될 수 있다. 승화를 위해서 요구되는 열 차이(differential heat)의 감소는 어닐링 단계가 단축되게 허용하여, 전체 프로세스를 단축시키며, 그리고 비교적 높은 순(net) 처리량을 허용한다. 전체 필름 두께를 제거하기 위한 프로세스가 또한 둘보다 많은 단계들로 진행될 수 있다. 예를 들어, 3개, 4개, 또는 5개의 단계들을 이용하여 각 단계에서 전체 필름 두께의 20% 내지 40%, 15% 내지 35%, 또는 10% 내지 20%를 각각 제거함으로써 전체 필름 두께를 제거할 수 있다. 어닐링 단계는 상이한 실시예들에서 약 30초 미만, 약 20초 미만, 약 10초 미만, 또는 약 5초 미만이 될 수 있다. 반복된 SiConi™ 프로세스가 여기에서 제시된 다른 기술들 중 임의의 기술과 조합되어 식각-후 표면을 더욱 평활화할 수 있다. 상이한 실시예들에서, 각 단계는 약 200 Å 또는 그 미만, 약 150 Å 또는 그 미만, 약 100 Å 또는 그 미만, 약 75 Å 또는 그 미만, 약 50 Å 또는 그 미만, 또는 약 25 Å 또는 그 미만을 제거할 수 있다.
도 5a-5b는 표준 SiConi™ 후의 패터닝된 표면(도 5a) 및 개시된 실시예들에 따라 다수의 단계들로 수행된 평활 SiConi™ 후의 패터닝된 표면(도 5b)을 도시한다. 실리콘 산화물 인터페이스들의 높이의 변동성이 도 5a의 실리콘 산화물 충진된 트렌치들 사이에서 명확히 보인다. 이러한 타입의 변동은 특히, 도 3a에 도시된 표면 조도를 유발하였던 메커니즘과 유사한 메커니즘에 의해서 좁은 트렌치들의 경우에 발생된다. 도 5b에 도시된 패터닝된 표면을 형성하기 위해서, 평활 SiConi™ 이 다수의 단계들로 수행되었고, 각각의 단계는 도 5a에 도시된 변동성을 초래하였던 단일 단계보다 적은 물질을 제거하도록 구성된다. 실리콘 산화물 인터페이스들의 높이의 변동성은 도 5b에서는 식별하기가 어렵다. 사진에서의 변동성의 부재는 승화에 앞선 식각 동안에 생성된 고체 잔류물 입자의 보다 작은 크기로부터 기인한다. 고체 잔류물 입자 크기들은 실질적으로 트렌치들의 폭들 미만으로 감소되었다. 도 5a 및 5b 모두는 증착을 포함하는 일부 부가적인 프로세싱뿐 아니라 고체 잔류물의 승화 후의 표면들을 나타낸다. 패터닝된 기판의 온도는 식각 동작 중에 30 ℃이었고 그리고 도 5a 및 5b의 각각에서 고체 잔류물을 승화시키기 위해서 기판의 온도가 100 ℃까지 상승되었다.
여기에서 제시된 기술들은 트렌치들에서의 실리콘 산화물의 높이 변동성 및 표면 조도를 저감시키기 위해서 설명되었다. 이러한 문제들의 근본 원인들 중 하나는 식각제(etchant)들과 유전체 필름들 사이의 반응으로부터의 결정들(고체 부산물)의 형성이다. 결정 크기는 어드밴스드 노드(advanced node)들에 대한 트렌치 크기에 필적하며, 이는 가변적인 결정 배향(orientation) 및 핵생성 사이트 분포 및 농도와 조합하여 전술한 문제들을 초래한다. 여기에서 제시된 기술들은, 보다 양호한 국소적 균일성 제어를 위해서 결정 성장이 한정되도록, 다수의 식각 반응들을 순차적으로 채용함으로써 이들 문제들을 해결한다. 수소-결핍 또는 불소-결핍 상황들에서의 동작뿐만 아니라 SiConi™ 식각의 순차적인 적용은 결과적으로 상이한 식각제들을 형성하고 그리고 상이한 크기들 및 다공도들을 가지는 결정들의 형성을 이끈다. 설명한 바와 같이 SiConi™ 식각을 변경함으로써, 보다 조밀하고 국소적으로 보다 균일한 결정 층이 형성될 수 있어서, 단일 트렌치 리세스 내에서 뿐만 아니라 트렌치들 사이에서 보다 균일한 식각률을 가능하게 한다.
설명된 방법들은 또한 다른 용도들을 가진다. 일부 조밀하게 패터닝된 영역들 및 일부 보다 성기게 패터닝된 영역들을 가지는 패터닝된 기판에 대해 통상적인 SiConi™ 을 수행하는 것은 그 두 영역들 사이의 식각률 차이를 나타낼 수 있다. 여기에서 논의된 평활 SiConi™ 프로세스들은 결과적으로, 식각률 차이를 감소시키고 그리고 개방 및 조밀한 실리콘 산화물 영역들을 모두 가지는 패터닝된 웨이퍼들에 대한 SiConi™ 식각의 이용성을 향상시킨다. 이러한 효과는 앞선 예들에서 식각-후 표면을 평활화하였던 메커니즘과 유사한 메커니즘으로 인해서 발생할 수 있다. 보다 작은 고체-잔류물 입자들의 보다 높은 밀도 분포는 유전체의 보다 작은 패치들(patches)(조밀하게 패터닝된 영역들에 존재)이 개방 영역들과 보다 더 유사하게 나타나게 허용할 수 있는데, 이는 핵생성 사이트들 사이의 평균 간격(average separation)이 보다 작은 실리콘 함유 패치들의 측면 치수들(lateral dimensions)보다 훨씬 더 작아질 수 있기 때문이다. 최종 결과는, 조밀하게 패터닝된 영역과 성기게 패터닝된 영역 사이의 식각률의 차이가 감소된다는 것이다.
부가적인 평활 SiConi™ 식각 프로세스 파라미터들이 예시적인 프로세싱 시스템을 설명하는 과정 중에 개시된다.
예시적인 프로세싱 시스템
도 6은 본 발명의 실시예들이 수행될 수 있는 예시적인 프로세싱 챔버(600)를 도시하는 부분 횡단면도이다. 일반적으로, 원격 플라즈마 구역(들)(661-663) 내로 하나 또는 둘 이상의 개구들(651)을 통해 수소 함유 전구체 및 불소 함유 전구체가 도입될 수 있고, 플라즈마 전원(646)에 의해 여기될 수 있다.
일 실시예에서, 프로세싱 챔버(600)는 챔버 본체(612), 덮개 어셈블리(602), 및 지지 어셈블리(610)를 포함한다. 챔버 본체(612)의 상측 단부에 덮개 어셈블리(602)가 배치되고, 챔버 본체(612) 내에 지지 어셈블리(610)가 적어도 부분적으로 배치된다. 바람직하게, 프로세싱 챔버(600) 및 관련 하드웨어는 하나 또는 둘 이상의 프로세스-양립성(compatible) 물질들(예를 들어, 알루미늄, 스테인리스 스틸, 등)로 형성된다.
프로세싱 챔버(600)의 내부로의 접근을 제공하기 위해, 챔버 본체(612)는, 챔버 본체(612)의 측벽에서 형성된 슬릿 밸브 개구(660)를 포함한다. 웨이퍼 핸들링 로봇(도시되지 않음)에 의한 챔버 본체(612)의 내부로의 접근을 허용하도록, 슬릿 밸브 개구(660)는 선택적으로 개폐된다. 일 실시예에서, 웨이퍼는 슬릿 밸브 개구(660)를 통해, 프로세싱 챔버(600) 내로 그리고 프로세싱 챔버(600)로부터 인접한 이송 챔버 및/또는 로드락 챔버, 또는 클러스터 툴 내의 다른 챔버로 운반될 수 있다. 도 7에는 프로세싱 챔버(600)를 포함할 수 있는 예시적인 클러스터 툴이 도시된다.
하나 또는 둘 이상의 실시예들에서, 챔버 본체(612)를 통해 열전달 유체를 유동시키기 위하여, 챔버 본체(612)는 챔버 본체 채널(613)을 포함한다. 열전달 유체는, 가열 유체나 냉각재일 수 있고, 프로세싱 및 기판 이송 중에 챔버 본체(612)의 온도를 제어하는데 사용된다. 챔버 본체(612)를 가열하는 것은 챔버 벽들 상의 가스나 부산물들의 원치 않는 응축을 방지하는데 있어서 도움이 될 수 있다. 예시적인 열전달 유체들은, 물, 에틸렌 글리콜, 또는 이들의 혼합물을 포함한다. 또한, 예시적인 열전달 유체는 질소 가스를 포함할 수 있다. 지지 어셈블리(610)는 지지 어셈블리(610)를 통해 열전달 유체를 유동시킴으로써 기판 온도에 영향을 미치는 지지 어셈블리 채널(604)을 가질 수 있다.
챔버 본체(612)는 지지 어셈블리(610)를 둘러싸는 라이너(633)를 더 포함할 수 있다. 바람직하게, 라이너(633)는 정비(servicing) 및 세정을 위하여 제거 가능하다. 라이너(633)는, 알루미늄과 같은 금속 또는 세라믹 물질로 제조될 수 있다. 그러나, 라이너(633)는 임의의 프로세스-양립성 물질일 수 있다. 라이너(633) 상에 증착된 임의의 물질의 점착성을 증가시키기 위하여, 라이너(633)는 비드 블라스팅(bead blast)될 수 있으며, 이에 따라, 프로세싱 챔버(600)의 오염을 초래하는, 물질의 박리(flaking)를 방지할 수 있다. 하나 또는 둘 이상의 실시예들에서, 라이너(633)는 하나 또는 둘 이상의 개구들(635) 및 내부에 형성된 펌핑 채널(629)을 포함하고, 그 펌핑 채널(629)은 진공 시스템과 유체 소통된다. 개구들(635)은 프로세싱 챔버(600) 내의 가스들을 위한 배출구를 제공하는 펌핑 채널(629) 내로의 가스들에 대한 유동 경로를 제공한다.
프로세싱 챔버(600)를 통하는 가스들의 유동을 조절하기 위하여, 진공 시스템은 진공 펌프(625) 및 스로틀 밸브(627)를 포함할 수 있다. 진공 펌프(625)는 챔버 본체(612) 상에 배치된 진공 포트(631)에 커플링되고, 그에 따라, 라이너(633) 내에 형성된 펌핑 채널(629)과 유체 소통된다. 달리 기재되지 않는 한 "가스" 및 "가스들"이라는 용어들은 교체가능하게 사용되고, 하나 또는 둘 이상의 반응물들, 촉매들, 캐리어, 퍼지, 세정, 이들의 조합들, 뿐만 아니라 챔버 본체(612) 내로 도입되는 임의의 다른 유체를 지칭한다. "전구체"라는 용어는, 표면으로부터 물질을 제거하거나 증착하기 위해 반응에 참여하는 임의의 프로세스 가스를 지칭하는데 사용된다.
개구들(635)은, 펌핑 채널(629)이 챔버 본체(612) 내의 프로세싱 구역(640)과 유체 소통되게 허용한다. 프로세싱 구역(640)은 덮개 어셈블리(602)의 하측 표면에 의해 그리고 지지 어셈블리(610)의 상측 표면에 의해 정의되며, 라이너(633)에 의해 둘러싸인다. 개구들(635)은 균일한 크기를 가질 수 있고, 라이너(633) 주위에 균일하게 이격되어 있을 수 있다. 하지만, 개구들의 임의의 개수, 위치, 크기 또는 형상이 사용될 수 있고, 그러한 설계 파라미터들 각각은 아래에서 더 상세하게 논의되는 바와 같이, 기판 수용 표면을 가로지르는 가스의 희망 유동 패턴에 따라 달라질 수 있다. 게다가, 개구들(635)의 크기, 개수 및 위치는, 프로세싱 챔버(600)를 빠져나가는 가스들의 균일한 유동을 달성하도록 구성된다. 또한, 챔버(600)로부터의 신속한 가스 배출을 용이하게 하기 위해, 개구 크기 및 위치는, 신속한 또는 고 용량 펌핑을 제공하도록 구성될 수 있다. 예를 들어, 진공 포트(631)에 매우 근접한 개구들(635)의 개수 및 크기는, 진공 포트(631)로부터 더 멀리 떨어져 위치된 개구들(635)의 개수 및 크기보다 더 작을 수 있다.
통상적으로, 하나 또는 둘 이상의 개구들(651)을 통해 프로세싱 챔버(600)로 프로세스 가스(들)를 제공하기 위해 가스 공급 패널(도시되지 않음)이 사용된다. 사용되는 특정 가스 또는 가스들은, 챔버(600) 내에서 수행될 프로세스 또는 프로세스들에 좌우된다. 예시적인 가스들은, 하나 또는 둘 이상의 전구체들, 환원제들, 촉매들, 캐리어들, 퍼지, 세정, 또는 이들의 임의의 혼합물 또는 이들의 조합을 포함할 수 있지만, 이들로 제한되지는 않는다. 통상적으로, 프로세싱 챔버(600)에 도입된 하나 또는 둘 이상의 가스들은 상단판(650)에서의 개구(들)(651)를 통해 플라즈마 볼륨(661) 내로 유동한다. 대안적으로 또는 조합하여, 프로세싱 가스들은 보다 직접적으로 개구(들)(652)를 통해 프로세싱 구역(640) 내로 도입될 수 있다. 개구(들)(652)는 원격 플라즈마 여기를 바이패스(bypass)하고, 플라즈마 여기를 요구하지 않는 가스들을 수반하는 프로세스들, 또는 가스들의 부가적인 여기로부터 이득을 얻지 않는 프로세스들에 대해 유용하다. 프로세싱 챔버(600) 내로의 가스 공급원으로부터의 가스의 유동을 제어하기 위하여, 전자적으로 동작되는 밸브들 및/또는 유동 제어 메커니즘들(도시되지 않음)이 사용될 수 있다. 프로세스에 따라, 임의의 개수의 가스들이 프로세싱 챔버(600)로 전달될 수 있고, 프로세싱 챔버(600)에서, 또는 프로세싱 챔버(600)로 가스들이 전달되기 전에, 혼합될 수 있다.
덮개 어셈블리(602) 내에서 반응성 종들의 플라즈마를 생성하기 위하여, 덮개 어셈블리(602)는 전극(645)을 더 포함할 수 있다. 일 실시예에서, 전극(645)은 상단판(650)에 의해 지지되고, 알루미늄 산화물 또는 임의의 다른 절연 및 프로세스-양립성 물질로 제조된 전기적 격리 링(들)(647)을 삽입함으로써, 상단판(650)으로부터 전기적으로 격리된다. 하나 또는 둘 이상의 실시예들에서, 전극(645)이 전원(646)에 커플링되는 한편, 덮개 어셈블리(602)의 나머지는 접지에 연결된다. 따라서, 전극(645)과 환형 장착 플랜지(622) 사이의 볼륨들(661, 662 및/또는 663)로 구성된 원격 플라즈마 구역에서, 하나 또는 둘 이상의 프로세스 가스들의 플라즈마가 생성될 수 있다. 실시예들에서, 환형 장착 플랜지는, 가스 전달 판(620)을 포함하거나 또는 이를 지지한다. 예를 들어, 블로커 어셈블리(630)의 하나 또는 양쪽 블로커 판들과 전극(645) 사이에 플라즈마가 개시 및 유지될 수 있다. 대안적으로, 블로커 어셈블리(630)가 없는 경우, 전극(645)과 가스 전달 판(620) 사이에 플라즈마가 스트라이킹(strike) 및 수용(contain)될 수 있다. 어느 실시예에서도, 덮개 어셈블리(602) 내에 플라즈마가 원활하게 한정 또는 수용될 수 있다. 따라서, 어떠한 활성 플라즈마도 챔버 본체(612) 내에 배치된 기판에 직접 접촉하지 않기 때문에, 플라즈마는 "원격 플라즈마"이다. 결과적으로, 기판 표면으로부터 플라즈마가 분리되므로, 기판에 대한 플라즈마 손상이 방지될 수 있다.
매우 다양한 전원들(646)이 수소 함유 전구체(예를 들어, 암모니아) 및 질소 함유 전구체(삼불화질소)를 활성화시킬 수 있다. 예를 들어, 무선 주파수(RF)에 기초한 전력 방전 기술, 직류(DC)에 기초한 전력 방전 기술, 또는 마이크로파(MW)에 기초한 전력 방전 기술이 사용될 수 있다. 또한, 열에 기초한 기술, 가스 분해(breakdown) 기술, 고강도 광원(예를 들어, UV 에너지), 또는 엑스선(x-ray) 소스에 대한 노출에 의하여, 활성화가 이루어질 수 있다. 대안적으로, 원격 플라즈마 발생기와 같은 원격 활성화 소스가 사용되어 반응성 종들의 플라즈마를 생성할 수 있으며, 그 반응성 종들은 그 후 챔버(600) 내로 전달된다. 예시적인 원격 플라즈마 발생기들은 MKS Instruments, Inc. 및 Advanced Energy Industries, Inc.와 같은 판매자들로부터 입수할 수 있다. 예시적인 프로세싱 시스템에서, 전극(645)에 RF 전력 공급원이 커플링된다. 전원(646)을 사용하여 반응성 산소가 또한 생성될 경우, 더 높은 전력의 마이크로파 전원(646)이 유익하다.
챔버 본체 채널(613) 및 지지 어셈블리 채널(604) 각각을 통해 열전달 매체를 유동시킴으로써, 프로세스 챔버 본체(612) 및 기판의 온도들이 각각 제어될 수 있다. 열 에너지의 전달을 용이하게 하기 위하여, 지지 어셈블리(610) 내에 지지 어셈블리 채널(604)이 형성될 수 있다. 챔버 본체(612) 및 지지 어셈블리(610)는 독립적으로 냉각 또는 가열될 수 있다. 예를 들어, 가열 유체는 챔버 본체(612)와 지지 어셈블리(610) 중 하나를 통해 유동될 수 있는 한편, 냉각 유체가 다른 하나를 통해 유동된다.
기판 온도를 제어하기 위해 다른 방법들이 사용될 수 있다. 저항성 히터를 이용해 또는 어떤 다른 수단에 의하여 지지 어셈블리(610)(또는 받침대와 같은, 지지 어셈블리(610)의 일부)를 가열함으로써, 기판이 가열될 수 있다. 다른 구성에서, 기판 온도를 상승시키기 위하여, 기판보다 더 높은 온도로 가스 전달 판(620)이 유지될 수 있으며, 기판이 들어올려 질 수 있다. 이 경우 기판은, 가스 전달 판(620)으로부터 기판으로 열을 전도시키기 위하여 가스를 사용하는 것에 의해 또는 복사적으로 가열된다. 지지 어셈블리(610)를 상승시킴으로써, 또는 리프트 핀들을 채용함으로써, 기판이 들어올려 질 수 있다.
상이한 실시예들에서, 본 명세서에 설명된 식각 프로세스들 동안, 50℃ 내지 80℃, 55℃ 내지 75℃, 또는 60℃ 내지 70℃의 대략적인 온도 범위 내에서, 챔버 본체(612)가 유지될 수 있다. 상이한 실시예들에서, 플라즈마 유출물들 및/또는 산화제들에 노출시키는 동안, 기판은 약 100℃ 미만, 약 65℃ 미만, 약 15℃ 내지 약 50℃, 또는 약 22℃ 내지 약 40℃로 유지될 수 있다. 또한, 고체 부산물 입자들의 크기를 추가적으로 감소시키기 위해서, 식각 중에 기판이 높은 온도들에서 유지될 수 있다. 상이한 실시예들에서, SiConi™ 식각 중에, 기판은 약 40℃ 내지 약 90℃, 약 50℃ 내지 약 85℃, 또는 약 60℃ 내지 약 80℃로 유지될 수 있다.
플라즈마 유출물들은, 다양한 분자들, 분자 파편(molecular fragment)들 및 이온화된 종들을 포함한다. SiConi™ 식각의 현재 고려되는 이론적인 메커니즘들은, 전체적으로 적절할 수 있거나 적절하지 않을 수 있으나, 플라즈마 유출물들은 본 명세서에 설명된 저온 기판들과 용이하게 반응하는 NH4F 및 NH4F.HF를 포함하는 것으로 생각된다. 플라즈마 유출물들은 실리콘 산화물 표면과 반응하여 (NH4)2SiF6, NH3 및 H2O 생성물들을 형성할 수 있다. 본 명세서에 설명된 프로세싱 조건들 하에서, NH3 및 H2O는 증기들이고, 진공 펌프(625)에 의해 프로세싱 구역(640)으로부터 제거될 수 있다. 기판 표면 상에는 (NH4)2SiF6 고체 부산물들의 얇은 불연속적인 층이 남겨진다.
플라즈마 유출물들에 대한 노출 그리고 고체 부산물들의 관련된 축적 이후에, 부산물들을 제거하기 위하여 기판이 가열될 수 있다. 실시예들에서, 가스 전달 판(620) 내에 또는 가스 전달 판(620) 인근에 가열 요소(670)를 통합함으로써, 가스 전달 판(620)이 가열 가능하다. 기판과 가열된 가스 전달 판 사이의 거리를 감소시킴으로써, 기판이 가열될 수 있다. 상이한 실시예들에서, 가스 전달 판(620)은 약 100℃ 내지 150℃, 약 110℃ 내지 140℃, 또는 약 120℃ 내지 130℃로 가열될 수 있다. 기판과 가열된 가스 전달 판 사이의 간격을 감소시킴으로써, 상이한 실시예들에서 기판은 약 75℃ 초과, 약 90℃ 초과, 약 100℃ 초과, 또는 약 115℃ 내지 약 150℃로 가열될 수 있다. 가스 전달 판(620)으로부터 기판으로 복사된 열은, 기판 상의 고체 (NH4)2SiF6를 프로세싱 구역(640)으로부터 멀리 펌핑될 수 있는 휘발성의 SiF4, NH3 및 HF 생성물들로 해리시키거나 승화시키기에 충분하게 되어야 한다.
상이한 실시예들에서, 수소-결핍 식각 프로세스 중에, 삼불화질소(또는 다른 불소 함유 전구체)가 약 25sccm 내지 약 200sccm, 약 50sccm 내지 약 150sccm, 또는 약 75sccm 내지 약 125sccm의 레이트들로 원격 플라즈마 볼륨(661) 내로 유동될 수 있다. 상이한 실시예들에서, 암모니아(또는 일반적으로 수소 함유 전구체들)가 약 200sccm 또는 그 미만, 약 150sccm 또는 그 미만, 약 100sccm 또는 그 미만, 약 75sccm 또는 그 미만, 약 50sccm 또는 그 미만, 또는 약 25sccm 또는 그 미만의 레이트들로 원격 플라즈마 볼륨(661) 내로 유동될 수 있다.
상이한 실시예들에서, 불소-결핍 식각 프로세스 중에, 암모니아(또는 일반적으로 수소 함유 전구체들)가 약 50sccm 내지 약 300sccm, 약 75sccm 내지 약 250sccm, 약 100sccm 내지 약 200sccm, 또는 약 120sccm 내지 약 170sccm의 레이트들로, 원격 플라즈마 볼륨(661) 내로 유동될 수 있다. 상이한 실시예들에서, 삼불화질소(또는 일반적으로 불소 함유 전구체들)가 약 100sccm 또는 그 미만, 약 75sccm 또는 그 미만, 약 50sccm 또는 그 미만, 약 25sccm 또는 그 미만, 또는 약 15sccm 또는 그 미만의 레이트들로 원격 플라즈마 볼륨(661) 내로 유동될 수 있다.
원격 플라즈마 구역 내로의 수소 함유 전구체 및 불소 함유 전구체의 조합된 유량들은 전체적인 가스 혼합물의 0.05 부피% 내지 약 20 부피%를 차지할 수 있으며; 나머지는 캐리어 가스이다. 일 실시예에서, 원격 플라즈마 구역 내의 압력을 안정화시키기 위하여, 반응성 가스들 이전에, 퍼지 가스 또는 캐리어 가스가 원격 플라즈마 구역 내로 먼저 개시된다.
덮개 어셈블리(602)의 나머지에 대하여 전극(645)에 플라즈마 전력을 인가함으로써, 볼륨들(661, 662 및/또는 663) 내에서 플라즈마 유출물들의 생성이 일어난다. 플라즈마 전력은 다양한 주파수들 또는 다수의 주파수들의 조합일 수 있다. 예시적인 프로세싱 시스템에서, 전극(645)에 전달되는 RF 전력에 의하여 플라즈마가 제공된다. 상이한 실시예들에서, RF 전력은 약 1W 내지 약 1000W, 약 5W 내지 약 600W, 약 10W 내지 약 300W, 또는 약 20W 내지 약 100W일 수 있다. 상이한 실시예들에서, 예시적인 프로세싱 시스템에서 인가된 RF 주파수는 약 200kHz 미만, 약 150kHz 미만, 약 120kHz 미만, 또는 약 50kHz 내지 약 90kHz일 수 있다.
프로세싱 구역(640) 내로의 오존, 산소, 캐리어 가스들 및/또는 플라즈마 유출물들의 유동 중에, 프로세싱 구역(640)은 다양한 압력들로 유지될 수 있다. 상이한 실시예들에서, 약 500mTorr 내지 약 30Torr, 약 1Torr 내지 약 10Torr, 또는 약 3Torr 내지 약 6Torr로 압력이 유지될 수 있다. 또한, 프로세싱 구역(640) 내에서 더 낮은 압력들이 사용될 수 있다. 상이한 실시예들에서, 압력은 약 500mTorr 또는 그 미만, 약 250mTorr 또는 그 미만, 약 100mTorr 또는 그 미만, 약 50mTorr 또는 그 미만, 또는 약 20mTorr 또는 그 미만으로 유지될 수 있다.
하나 또는 둘 이상의 실시예들에서, 프로세싱 챔버(600)는, 캘리포니아의 산타클라라에 위치한 Applied Materials, Inc.로부터 입수할 수 있는, Producer™ GT 플랫폼, Centura™ AP 플랫폼 및 Endura™ 플랫폼을 포함하는 다양한 멀티프로세싱 플랫폼들로 통합될 수 있다. 이러한 프로세싱 플랫폼은, 진공을 파손시키지 않고 몇몇 프로세싱 동작들을 수행할 수 있다.
도 7은 예시적인 멀티챔버 프로세싱 시스템(700)의 개략적인 평면도이다. 시스템(700)은, 시스템(700) 안팎으로 기판들을 이송하기 위한 하나 또는 둘 이상의 로드락 챔버들(702, 704)을 포함할 수 있다. 통상적으로, 시스템(700)이 진공 하에 있기 때문에, 로드락 챔버들(702, 704)은 시스템(700) 내로 도입된 기판들을 "펌핑 다운(pump down)"할 수 있다. 로드락 챔버들(702, 704)과 제 1 세트의 하나 또는 둘 이상의 기판 프로세싱 챔버들(712, 714, 716, 718)(4개가 도시됨) 사이에서, 제 1 로봇(710)이 기판들을 이송할 수 있다. 순환층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 예비 세정, 탈가스, 배향 및 다른 기판 프로세스들에 더하여, 본 명세서에 설명된 건식 식각 프로세스들을 포함하는 다수의 기판 프로세싱 동작들을 수행하기 위하여, 각각의 프로세싱 챔버(712, 714, 716, 718)가 구비될 수 있다.
또한, 제 1 로봇(710)은 하나 또는 둘 이상의 이송 챔버들(722, 724)로/로부터 기판들을 이송할 수 있다. 이송 챔버들(722, 724)은, 시스템(700) 내에서 기판들이 이송되게 허용하면서 초고진공 상태들을 유지하는데 사용될 수 있다. 이송 챔버들(722, 724)과 제 2 세트의 하나 또는 둘 이상의 프로세싱 챔버들(732, 734, 736, 738) 사이에서, 제 2 로봇(730)이 기판들을 이송할 수 있다. 예를 들어, 순환층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 예비 세정, 탈가스 및 배향에 더하여, 본 명세서에 설명된 건식 식각 프로세스들을 포함하는 다양한 기판 프로세싱 동작들을 수행하기 위하여, 프로세싱 챔버들(712, 714, 716, 718)과 유사하게, 프로세싱 챔버들(732, 734, 736, 738)이 구비될 수 있다. 시스템(700)에 의해 수행될 특정한 프로세스에 대해 필요하지 않을 경우, 시스템(700)으로부터 기판 프로세싱 챔버들(712, 714, 716, 718, 732, 734, 736, 738) 중 임의의 것이 제거될 수 있다.
청정실 외부에 오존 발생기(751)가 위치될 수 있고, 오존 발생기(751)로부터, 본 명세서에 설명된 산화-SiConi™ 프로세스에 이용되는 프로세싱 챔버(734)로, 공급 라인들이 프로세스 가스들을 수송할 수 있다. 원격 플라즈마 시스템(RPS)(753)은, 원거리에 위치될 수 있거나, SiConi™ 프로세싱 챔버(734)와 (도시된 바와 같이) 통합될 수 있다. 대안적으로, RPS(753)는, 프로세싱 챔버(734)로부터 분리될 수 있으나, 프로세싱 챔버(734)에 아주 근접할 수 있거나 또는 심지어 물리적으로도 부착될 수 있다. RPS(753) 내에서 여기된 반응성 산소는 (예를 들어, 개구(들)(652)를 통해) 보다 직접적으로 프로세싱 구역(640) 내로 도입될 수 있는 반면, 가스 핸들링 시스템(755)으로부터의 다른 프로세스 가스들은 개구들(651)을 통해 도입되고, 프로세스 볼륨들(661, 662 및/또는 663) 내의 원격 플라즈마(들)에 의해 여기된다.
시스템 제어기(757)가 모터들, 밸브들, 유동 제어기들, 전력 공급원들 그리고 본 명세서에 설명된 프로세스 레시피들을 수행하기 위해 요구되는 다른 기능들을 제어하는데 사용된다. 이동 가능한 기계적 어셈블리들의 위치를 결정하고 조정하기 위하여, 시스템 제어기(757)는 광학 센서들로부터의 피드백에 의존할 수 있다. 기계적 어셈블리들은, 시스템 제어기(757)의 제어 하에 있는 모터들에 의해 이동되는, 로봇, 스로틀 밸브들 및 서셉터들을 포함할 수 있다.
예시적인 실시예에서, 시스템 제어기(757)는 하드 디스크 드라이브(메모리), USB 포트들, 플로피 디스크 드라이브 및 프로세서를 포함한다. 시스템 제어기(757)는, 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들 및 스테퍼 모터 제어기 보드들을 포함한다. 시스템 제어기(757)에 의하여, 프로세싱 챔버(700)를 포함하는 멀티챔버 프로세싱 시스템(700)의 다양한 부분들이 제어된다. 시스템 제어기는, 하드 디스크, 플로피 디스크 또는 플래시 메모리 썸 드라이브(flash memory thumb drive)와 같은 컴퓨터 판독 가능한 매체 상에 저장된 컴퓨터 프로그램의 형태의 시스템 제어 소프트웨어를 실행한다. 또한, 다른 타입들의 메모리가 사용될 수 있다. 컴퓨터 프로그램은, 특정 프로세스의 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 다른 파라미터들을 지시하는 명령들의 세트들을 포함한다.
기판 상에 필름을 식각, 증착 또는 그렇지 않으면 프로세싱하기 위한 프로세스 또는 챔버를 세정하기 위한 프로세스는 제어기에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여 실시될 수 있다. 임의의 종래의 컴퓨터 판독 가능한 프로그래밍 언어, 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 그 밖의 것으로, 컴퓨터 프로그램 코드가 작성될 수 있다. 적합한 프로그램 코드는, 종래의 텍스트 편집기(text editor)를 사용하여 단일 파일 또는 다수의 파일들로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용 가능 매체에서 저장 또는 구현된다. 만일 입력된 코드 텍스트가 고급 언어로 이루어진 경우, 코드가 컴파일되며, 이후 이에 따른(resultant) 컴파일러 코드가 프리컴파일된 Microsoft Windows? 라이브러리 루틴들의 오브젝트 코드(object code)와 링크된다. 링크되고 컴파일된 오브젝트 코드를 실행하기 위하여, 시스템 사용자는 오브젝트 코드를 인보크하여, 컴퓨터 시스템으로 하여금 메모리 내의 코드를 로딩하게 한다. 이후 CPU가 코드를 판독하고 실행하여 프로그램에서 식별된 태스크들을 수행한다.
사용자와 제어기 사이의 인터페이스는 터치 감지 모니터를 매개로 할 수 있고, 마우스 및 키보드를 또한 포함할 수 있다. 일 실시예에서, 두 개의 모니터들이 사용되는데, 이 중 하나는 오퍼레이터들을 위해 청정실 벽에 장착되고, 다른 하나는 서비스 기술자들을 위해 벽 뒤에 장착된다. 두 개의 모니터들은 동시에 동일한 정보를 디스플레이할 수 있는데, 이 경우, 한 번에 하나의 모니터만이 입력을 수용하도록 구성된다. 특정한 스크린 또는 기능을 선택하기 위하여, 오퍼레이터는 디스플레이 스크린 상의 지정된 영역을 손가락이나 마우스로 터치한다. 터치된 영역이 그의 하이라이트된 색을 변경하거나, 새로운 메뉴 또는 스크린이 디스플레이되어, 오퍼레이터의 선택을 확인시킨다.
본 명세서에 사용된 바와 같이, "기판"은, 그 위에 층들이 형성되거나 형성되지 않은 지지 기판일 수 있다. 지지 기판은 다양한 도핑 농도들 및 프로파일들의 반도체 또는 절연체일 수 있고, 예를 들어, 집적 회로들의 제조에 사용되는 타입의 반도체 기판일 수 있다. "여기된 상태"에 있는 가스란, 적어도 일부의 가스 분자들이 진동 여기 상태, 해리 상태 및/또는 이온화된 상태에 있는 가스를 나타낸다. 가스는 둘 또는 그 초과의 가스들의 조합일 수 있다. 트렌치라는 용어는 식각된 기하학적인 구조가 큰 수평 종횡비를 갖는다는 것을 암시하지 않고 전체에 걸쳐서 사용된다. 표면 위에서 보면, 트렌치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들로 보일 수 있다. 플라즈마를 "펄싱"하는 것은, 비-제로(non-zero) 값과 비교적 낮은 값 사이에서 플라즈마 전력을 교번하는 것(alternating)을 포함할 수 있고, 여기에서 비교적 낮은 값은 고체 잔류물의 매우 적은 성장을 초래한다. 또한, 플라즈마를 "펄싱"하는 것은, 비-제로 값들과 비교적 낮은 값들 사이에서 전구체들 중 하나 또는 양자 모두의 유동을 교번하는 것을 포함할 수 있고; 비교적 낮은 유량들은 펄스들 사이에서 식각 프로세스의 진행을 실질적으로 감소시킨다. 플라즈마 전력을 교번하고 유량들을 교번하는 것은 서로 독립적으로 또는 조합되어 사용될 수 있다.
몇몇 실시예들을 개시하였지만, 개시된 실시예들의 사상을 벗어나지 않고 다양한 변형들, 대안적 구성들, 및 등가물들이 이용될 수 있다는 것을 당업자들이 인식할 것이다. 부가적으로, 본 발명이 불필요하게 불명료해지는 것을 방지하기 위해서, 많은 주지의 프로세스들 및 요소들을 설명하지 않았다. 따라서, 상기 설명은 본 발명의 범주를 제한하는 것으로 간주되어서는 안된다.
수치 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 달리 명백히 표시되어 있지 않는 한 하한의 단위의 소수점 이하 추가 한 자리까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 본 발명에 포함된다. 이러한 소범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되든지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 본 발명에 포함된다.
본 명세서 및 첨부되는 청구항들에서 사용되는 바와 같이, 단수 형태 "a" "an" 및 "the"는 문맥상 명백히 달리 지시되지 않는 한, 복수의 지시대상들을 포함한다. 따라서, 예를 들어, "프로세스(a process)"라는 언급은 복수의 이러한 프로세스들을 포함하며, "유전체 물질(the dielectric material)"이라는 언급은 당업자에게 알려진 하나 또는 그 초과의 유전체 물질들 및 그 등가물들에 대한 언급을 포함하며, 기타의 경우도 유사하다.
또한, "포함하다(comprise)", "포함하는(comprising)", "구비하다(include)", "구비하는(including)", 및 "구비하다(includes)"라는 단어들은, 본 명세서 및 다음의 청구항들에서 사용되는 경우에, 명시된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들이 하나 또는 그 초과의 다른 특징들, 정수들, 컴포넌트들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (22)

  1. 기판 프로세싱 챔버의 기판 프로세싱 구역에서 기판의 표면 상의 실리콘 함유 층을 식각하는 방법으로서:
    상기 방법은 비교적 평활한(smooth) 식각-후(post-etch) 표면을 남기고,
    상기 방법은,
    플라즈마 유출물들을 생성하도록 제 1 원격 플라즈마 구역에서 플라즈마를 형성하는 동안 상기 기판 프로세싱 구역에 유체적으로(fluidly) 커플링된 상기 제 1 원격 플라즈마 구역 내로 불소 함유 전구체 및 수소 함유 전구체를 유동시키는 단계 ― 상기 불소 함유 전구체의 유량 및 상기 수소 함유 전구체의 유량은 1:2 미만의 수소-대-불소 원자 유동 비율을 초래함 ―;
    상기 기판의 표면 상에 고체 부산물들을 형성하는 동안, 상기 기판 프로세싱 구역 내로 상기 플라즈마 유출물들을 유동시킴으로써 상기 실리콘 함유 층을 식각하는 단계; 및
    상기 기판의 온도를 상기 고체 부산물들의 승화 온도보다 높게 증가시킴으로써, 상기 비교적 평활한 식각-후 표면을 남기도록, 상기 고체 부산물들을 승화시키는 단계
    를 포함하는,
    실리콘 함유 층 식각 방법.
  2. 제 1 항에 있어서,
    상기 불소 함유 전구체는, 삼불화 질소, 불화 수소, 이원자(diatomic) 불소, 일원자(monatomic) 불소, 및 불소-치환된 탄화수소들로 이루어진 그룹으로부터 선택된 적어도 하나의 전구체를 포함하는,
    실리콘 함유 층 식각 방법.
  3. 제 1 항에 있어서,
    상기 수소 함유 전구체는, 원자 수소, 분자 수소, 암모니아, 탄화수소, 및 불완전하게 할로겐-치환된 탄화수소로 이루어진 그룹으로부터 선택된 적어도 하나의 전구체를 포함하는,
    실리콘 함유 층 식각 방법.
  4. 제 1 항에 있어서,
    상기 실리콘 함유 층은 상기 식각하는 단계의 동작 동안에 50℃ 또는 그 초과로 유지되는,
    실리콘 함유 층 식각 방법.
  5. 제 1 항에 있어서,
    상기 실리콘 함유 층을 식각하는 단계의 동작 및 상기 고체 부산물들을 승화시키는 단계의 동작은 하나의 단계로 이루어지고, 그리고 각 사이클 동안에 제거되는 물질의 양을 감소시키도록 정수(integral number) 개의 단계들이 사용되는,
    실리콘 함유 층 식각 방법.
  6. 제 5 항에 있어서,
    상기 실리콘 함유 층의 전체 두께는 3개의 단계들로 제거되고, 그리고 각 단계는 상기 전체 두께의 20% 내지 40%를 제거하는,
    실리콘 함유 층 식각 방법.
  7. 제 5 항에 있어서,
    각 단계는 상기 실리콘 함유 층으로부터 150Å 또는 그 미만을 제거하는,
    실리콘 함유 층 식각 방법.
  8. 제 1 항에 있어서,
    상기 실리콘 함유 층은 실리콘 산화물을 포함하는,
    실리콘 함유 층 식각 방법.
  9. 기판 프로세싱 챔버의 기판 프로세싱 구역에서 기판의 표면 상의 실리콘 함유 층을 식각하는 방법으로서:
    상기 방법은 조밀하게(densely) 패터닝된 영역과 성기게(sparsely) 패터닝된 영역 사이의 식각률에서의 차이를 감소시키고,
    상기 방법은,
    플라즈마 유출물들을 생성하도록 제 1 원격 플라즈마 구역에서 플라즈마를 형성하는 동안 상기 기판 프로세싱 구역에 유체적으로(fluidly) 커플링된 상기 제 1 원격 플라즈마 구역 내로 불소 함유 전구체 및 수소 함유 전구체를 유동시키는 단계 ― 상기 불소 함유 전구체의 유량 및 상기 수소 함유 전구체의 유량은 1:2 미만의 수소-대-불소 원자 유동 비율을 초래함 ―;
    상기 기판의 표면 상에 고체 부산물들을 형성하는 동안, 상기 기판 프로세싱 구역 내로 상기 플라즈마 유출물들을 유동시킴으로써, 상기 조밀하게 패터닝된 영역 및 상기 성기게 패터닝된 영역에서 상기 실리콘 함유 층을 식각하는 단계; 및
    상기 기판의 온도를 상기 고체 부산물들의 승화 온도보다 높게 증가시킴으로써, 상기 고체 부산물들을 승화시키는 단계
    를 포함하는,
    실리콘 함유 층 식각 방법.
  10. 제 9 항에 있어서,
    상기 불소 함유 전구체는, 삼불화 질소, 불화 수소, 이원자 불소, 일원자 불소, 및 불소-치환된 탄화수소들로 이루어진 그룹으로부터 선택된 적어도 하나의 전구체를 포함하는,
    실리콘 함유 층 식각 방법.
  11. 제 9 항에 있어서,
    상기 수소 함유 전구체는, 원자 수소, 분자 수소, 암모니아, 탄화수소, 및 불완전하게 할로겐-치환된 탄화수소로 이루어진 그룹으로부터 선택된 적어도 하나의 전구체를 포함하는,
    실리콘 함유 층 식각 방법.
  12. 제 9 항에 있어서,
    상기 실리콘 함유 층을 식각하는 단계의 동작 및 상기 고체 부산물들을 승화시키는 단계의 동작은 하나의 단계로 이루어지고, 그리고 각 사이클 동안에 제거되는 물질의 양을 감소시키도록 정수 개의 단계들이 사용되는,
    실리콘 함유 층 식각 방법.
  13. 제 12 항에 있어서,
    상기 실리콘 함유 층으로부터 전체 두께가 3개의 단계들로 제거되고, 그리고 각 단계는 상기 전체 두께의 20% 내지 40%를 제거하는,
    실리콘 함유 층 식각 방법.
  14. 제 12 항에 있어서,
    각 단계는 상기 실리콘 함유 층으로부터 100Å 또는 그 미만을 제거하는,
    실리콘 함유 층 식각 방법.
  15. 제 9 항에 있어서,
    상기 불소 함유 전구체의 유량 및 상기 수소 함유 전구체의 유량은 1:4 미만의 수소-대-불소 원자 유동 비율을 초래하는,
    실리콘 함유 층 식각 방법.
  16. 제 9 항에 있어서,
    상기 실리콘 함유 층은 실리콘 산화물을 포함하는,
    실리콘 함유 층 식각 방법.
  17. 기판 프로세싱 챔버의 기판 프로세싱 구역에서 기판의 표면 상의 실리콘 함유 층을 식각하는 방법으로서:
    플라즈마 유출물들을 생성하도록 제 1 원격 플라즈마 구역에서 일련의 플라즈마 펄스들을 형성하는 동안 상기 기판 프로세싱 구역에 유체적으로(fluidly) 커플링된 상기 제 1 원격 플라즈마 구역 내로 불소 함유 전구체 및 수소 함유 전구체를 유동시키는 단계 ― 상기 불소 함유 전구체의 유량 및 상기 수소 함유 전구체의 유량은 1:2 미만의 수소-대-불소 원자 유동 비율을 초래함 ―;
    상기 기판의 표면 상에 고체 부산물들을 형성하는 동안, 상기 기판 프로세싱 구역 내로 상기 플라즈마 유출물들을 유동시킴으로써, 상기 실리콘 함유 층을 식각하는 단계; 및
    상기 기판의 온도를 상기 고체 부산물들의 승화 온도보다 높게 증가시킴으로써, 상기 고체 부산물들을 승화시키는 단계
    를 포함하는,
    실리콘 함유 층 식각 방법.
  18. 제 17 항에 있어서,
    상기 일련의 플라즈마 펄스들은 상기 제 1 원격 플라즈마 구역으로 인가되는 플라즈마 전력을 펄싱함으로써 생성되는,
    실리콘 함유 층 식각 방법.
  19. 제 18 항에 있어서,
    상기 수소 함유 전구체의 유동 및 상기 불소 함유 전구체의 유동 모두는 상기 식각하는 단계의 동작 동안에 일정한,
    실리콘 함유 층 식각 방법.
  20. 제 17 항에 있어서,
    상기 일련의 플라즈마 펄스들은 상기 불소 함유 전구체의 유동과 상기 수소 함유 전구체의 유동 중 적어도 하나의 유동을 펄싱함으로써 생성되는,
    실리콘 함유 층 식각 방법.
  21. 제 17 항에 있어서,
    상기 고체 부산물들을 승화시키는 단계의 동작은, 상기 플라즈마 펄스들 각각 후에 발생하는 다수의 기판 어닐링들을 포함하는,
    실리콘 함유 층 식각 방법.
  22. 제 17 항에 있어서,
    상기 일련의 플라즈마 펄스들의 반복 주파수는 0.1 Hz 내지 1.0 Hz 인,
    실리콘 함유 층 식각 방법.
KR1020127019437A 2009-12-23 2010-11-22 실리콘 함유 필름들을 위한 평활 siconi 식각 KR101425629B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/646,030 2009-12-23
US12/646,030 US8501629B2 (en) 2009-12-23 2009-12-23 Smooth SiConi etch for silicon-containing films
PCT/US2010/057676 WO2011087580A1 (en) 2009-12-23 2010-11-22 Smooth siconi etch for silicon-containing films

Publications (2)

Publication Number Publication Date
KR20120102139A KR20120102139A (ko) 2012-09-17
KR101425629B1 true KR101425629B1 (ko) 2014-07-31

Family

ID=44151711

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127019437A KR101425629B1 (ko) 2009-12-23 2010-11-22 실리콘 함유 필름들을 위한 평활 siconi 식각

Country Status (7)

Country Link
US (1) US8501629B2 (ko)
JP (2) JP5931741B2 (ko)
KR (1) KR101425629B1 (ko)
CN (1) CN102687249B (ko)
SG (1) SG181669A1 (ko)
TW (1) TWI445081B (ko)
WO (1) WO2011087580A1 (ko)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8652970B2 (en) * 2009-03-26 2014-02-18 Ulvac, Inc. Vacuum processing method and vacuum processing apparatus
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102938378B (zh) * 2011-08-16 2015-06-17 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9023723B2 (en) 2012-05-31 2015-05-05 Applied Materials, Inc. Method of fabricating a gate-all-around word line for a vertical channel DRAM
US8895432B2 (en) 2012-05-31 2014-11-25 Applied Materials, Inc. Method of fabricating a self-aligned buried bit line for a vertical channel DRAM
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
CN102931069A (zh) * 2012-11-28 2013-02-13 上海华力微电子有限公司 栅极的制作方法
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN104979209A (zh) * 2014-04-09 2015-10-14 中芯国际集成电路制造(上海)有限公司 一种FinFET器件的制造方法
US9385123B2 (en) 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
CN104377107A (zh) * 2014-09-24 2015-02-25 上海华力微电子有限公司 一种用于SiCoNi蚀刻工艺的蚀刻装置
US9368364B2 (en) * 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9589979B2 (en) 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9548239B2 (en) * 2015-02-02 2017-01-17 United Microelectronics Corp. Method for fabricating contact plug in an interlayer dielectric layer
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10971372B2 (en) * 2015-06-26 2021-04-06 Tokyo Electron Limited Gas phase etch with controllable etch selectivity of Si-containing arc or silicon oxynitride to different films or masks
CN107851559B (zh) * 2015-06-26 2022-04-26 东京毅力科创株式会社 气相蚀刻系统和方法
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI817756B (zh) 2015-09-22 2023-10-01 美商應用材料股份有限公司 清洗方法
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
TWI692799B (zh) 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9673216B1 (en) 2016-07-18 2017-06-06 Sandisk Technologies Llc Method of forming memory cell film
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10504717B2 (en) * 2016-09-16 2019-12-10 Applied Materials, Inc. Integrated system and method for source/drain engineering
US9876088B1 (en) 2016-09-19 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10381479B2 (en) 2017-07-28 2019-08-13 International Business Machines Corporation Interface charge reduction for SiGe surface
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10544519B2 (en) 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
DE102017120963A1 (de) 2017-09-11 2019-03-14 Aixtron Se Verfahren zum Ätzen einer Silizium enthaltenden Oberfläche
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) * 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
FR3090013B1 (fr) 2018-12-17 2020-12-25 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de fabrication d’une structure monocristalline
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021150419A1 (en) * 2020-01-24 2021-07-29 Tokyo Electron Limited High-throughput dry etching of silicon oxide and silicon nitride materials by in-situ autocatalyst formation
US11398496B2 (en) 2020-04-27 2022-07-26 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11489043B2 (en) 2020-04-27 2022-11-01 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11562909B2 (en) 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
US11646372B2 (en) 2020-09-19 2023-05-09 International Business Machines Corporation Vertical transistor floating body one transistor DRAM memory cell
CN115881621A (zh) * 2023-01-10 2023-03-31 广州粤芯半导体技术有限公司 浅沟槽隔离结构及其制备方法、半导体结构和芯片

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2942138B2 (ja) * 1994-03-22 1999-08-30 三菱電機株式会社 プラズマ処理装置及びプラズマ処理方法
US5789300A (en) * 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
JP3627451B2 (ja) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6903511B2 (en) * 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7196342B2 (en) * 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US8252696B2 (en) * 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
JP4553049B2 (ja) * 2008-02-29 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
KR20100128333A (ko) * 2008-03-21 2010-12-07 어플라이드 머티어리얼스, 인코포레이티드 기판 에칭 시스템 및 프로세스의 방법 및 장치
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias

Also Published As

Publication number Publication date
JP5931741B2 (ja) 2016-06-08
US8501629B2 (en) 2013-08-06
JP2013516069A (ja) 2013-05-09
KR20120102139A (ko) 2012-09-17
TW201133609A (en) 2011-10-01
US20110151674A1 (en) 2011-06-23
TWI445081B (zh) 2014-07-11
WO2011087580A1 (en) 2011-07-21
SG181669A1 (en) 2012-07-30
JP2015053501A (ja) 2015-03-19
CN102687249A (zh) 2012-09-19
JP6009520B2 (ja) 2016-10-19
CN102687249B (zh) 2016-01-20

Similar Documents

Publication Publication Date Title
KR101425629B1 (ko) 실리콘 함유 필름들을 위한 평활 siconi 식각
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
JP5925802B2 (ja) 2段階での均一なドライエッチング
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
US9093390B2 (en) Conformal oxide dry etch
US8435902B2 (en) Invertable pattern loading with dry etch
TWI760555B (zh) 蝕刻方法
US8748322B1 (en) Silicon oxide recess etch
KR101884262B1 (ko) 실리콘 막들에 대한 선택적인 식각
US20120009796A1 (en) Post-ash sidewall healing
JP2013048127A (ja) アッシュ後の側壁の回復
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
SG187276A1 (en) Post-ash sidewall healing

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant