TWI445081B - 用於含矽薄膜的平滑SiConi蝕刻法 - Google Patents
用於含矽薄膜的平滑SiConi蝕刻法 Download PDFInfo
- Publication number
- TWI445081B TWI445081B TW099144271A TW99144271A TWI445081B TW I445081 B TWI445081 B TW I445081B TW 099144271 A TW099144271 A TW 099144271A TW 99144271 A TW99144271 A TW 99144271A TW I445081 B TWI445081 B TW I445081B
- Authority
- TW
- Taiwan
- Prior art keywords
- plasma
- substrate
- hydrogen
- fluorine
- containing precursor
- Prior art date
Links
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title description 6
- 229910052710 silicon Inorganic materials 0.000 title description 6
- 239000010703 silicon Substances 0.000 title description 6
- 238000000034 method Methods 0.000 claims description 155
- 239000000758 substrate Substances 0.000 claims description 122
- 238000012545 processing Methods 0.000 claims description 74
- 238000005530 etching Methods 0.000 claims description 56
- 239000002243 precursor Substances 0.000 claims description 54
- 239000007787 solid Substances 0.000 claims description 51
- 239000006227 byproduct Substances 0.000 claims description 38
- 229910052731 fluorine Inorganic materials 0.000 claims description 37
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 34
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 34
- 239000011737 fluorine Substances 0.000 claims description 34
- 239000001257 hydrogen Substances 0.000 claims description 33
- 229910052739 hydrogen Inorganic materials 0.000 claims description 33
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 28
- 239000000463 material Substances 0.000 claims description 26
- 229910021529 ammonia Inorganic materials 0.000 claims description 14
- 238000000859 sublimation Methods 0.000 claims description 14
- 230000008022 sublimation Effects 0.000 claims description 14
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 12
- 229910052732 germanium Inorganic materials 0.000 claims description 12
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 12
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 12
- 229910052707 ruthenium Inorganic materials 0.000 claims description 12
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 7
- 229910000040 hydrogen fluoride Inorganic materials 0.000 claims description 7
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 4
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 4
- 238000000137 annealing Methods 0.000 claims description 3
- 125000001153 fluoro group Chemical group F* 0.000 claims description 3
- 229930195733 hydrocarbon Natural products 0.000 claims 6
- 150000002430 hydrocarbons Chemical class 0.000 claims 6
- 229910052797 bismuth Inorganic materials 0.000 claims 3
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 claims 3
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims 2
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 claims 2
- 229910052736 halogen Inorganic materials 0.000 claims 2
- 150000002367 halogens Chemical class 0.000 claims 2
- 230000008569 process Effects 0.000 description 91
- 210000002381 plasma Anatomy 0.000 description 89
- 239000007789 gas Substances 0.000 description 51
- 239000010410 layer Substances 0.000 description 23
- 238000012546 transfer Methods 0.000 description 16
- 230000003746 surface roughness Effects 0.000 description 13
- 238000010438 heat treatment Methods 0.000 description 7
- 239000013078 crystal Substances 0.000 description 6
- 239000012530 fluid Substances 0.000 description 6
- 230000007246 mechanism Effects 0.000 description 6
- 238000009499 grossing Methods 0.000 description 5
- 239000013529 heat transfer fluid Substances 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 230000006911 nucleation Effects 0.000 description 5
- 238000010899 nucleation Methods 0.000 description 5
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 5
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 230000007812 deficiency Effects 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 230000005284 excitation Effects 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 4
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 4
- 235000012431 wafers Nutrition 0.000 description 4
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- 238000004630 atomic force microscopy Methods 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 238000004590 computer program Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000000605 extraction Methods 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 229910017855 NH 4 F Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 239000003054 catalyst Substances 0.000 description 2
- 239000000306 component Substances 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 230000005281 excited state Effects 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000004439 roughness measurement Methods 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 206010036790 Productive cough Diseases 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000011324 bead Substances 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 239000012459 cleaning agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 230000006378 damage Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000007717 exclusion Effects 0.000 description 1
- 210000003811 finger Anatomy 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- -1 rinses Substances 0.000 description 1
- 238000010079 rubber tapping Methods 0.000 description 1
- 238000012216 screening Methods 0.000 description 1
- 230000005476 size effect Effects 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 210000003802 sputum Anatomy 0.000 description 1
- 208000024794 sputum Diseases 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 210000003813 thumb Anatomy 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3341—Reactive etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
Description
本發明係關於與用於薄膜及塗層的沉積、圖案化及處理中之設備、製程及材料有關的製造技術解決方法。下述實例包括(但不限於)半導體材料與元件、介電材料與元件、矽基(silicon-based)晶圓與平板顯示器(例如TFTs)的應用。
積體電路可藉由在基板表面上製造複雜圖案化材料層的製程而得以形成。在一基板上製作圖案化材料需要一些用於移除暴露的材料之受控方法。化學蝕刻應用於多樣化的目的上,包含將光阻中的圖案轉移至下方層中、薄化多個層、或是薄化已存在於基板上之特徵結構(feature)的側向尺寸。常常需要有一種蝕刻某一材料快於其他材料之蝕刻製程,以例如協助進行圖案轉移製程。這樣的蝕刻製程可說對於第一材料是具有選擇性的。由於材料、電路與製程的多樣性,因此蝕刻製程已發展出可對多種材料具有選擇性。
SiConiTM
蝕刻是一種遠端電漿輔助的乾式蝕刻製程,該製程包含讓基板同時暴露於氫氣、三氟化氮與氨氣電漿副產物下。氫與氟物種的遠端電漿激發係允許無電漿
破壞之基板製程。SiConiTM
蝕刻對於氧化矽層大部分為共形的且具有選擇性的,但是不論矽是非晶矽、結晶矽或多晶矽,都不會很快地蝕刻矽。選擇性對於例如淺溝槽隔離(shallow trench isolation,STI)與內層介電層(inter-layer dielectric,ILD)凹槽形成之應用提供了優點。
在基板材料被移除時,SiConiTM
製程所產生的固態副產物會生長在基板表面上。固態副產物隨後會藉由提高基板溫度來昇華移除之。然而,當溝槽寬度減少至32nm及以下時,在與最小溝槽尺寸比較下,固態副產物的尺寸變成不可忽略。固態副產物的可觀尺寸可能會對ILD凹槽表面粗糙度以及溝槽到溝槽間氧化矽界面的高度變異性構成挑戰。
在SiConiTM
蝕刻製程中需要可降低表面粗糙度及高度變異性的方法。
本發明描述一種蝕刻含矽材料的方法,該方法包含相較於先前技術之一種具有較大或較小的氫氟流速比率的SiConiTM
蝕刻。以此方式改變流速比率係發現可降低蝕刻後表面的粗糙度,以及降低稠密圖案化區域與稀疏圖案化區域的蝕刻速率差異。其他降低蝕刻後表面粗糙度的方法包含脈衝化前驅物的流動和/或電漿功率、維持相
對高的基板溫度與執行多個步驟的SiConiTM
。上述每個方法可單獨或合併使用,其藉由限制固態殘留物晶粒大小來降低蝕刻表面之粗糙度。
依據一實施例,一種在基板處理室之基板處理區中蝕刻基板表面上之含矽層的方法,該方法遺留下相對平滑之蝕刻後表面,該方法包含:將含氟前驅物與含氫前驅物流入第一遠端電漿區,並同時於第一電漿區中形成一電漿以產生電漿流出物,而第一遠端電漿區係流體地耦合至基板處理區。含氟前驅物的流速與含氫前驅物的流速所導致之氫-氟原子流速比率係小於1:1或大於5:1。該方法更包含:使電漿流出物流入基板處理區,以蝕刻含矽層,並同時形成固態副產物於該基板表面;以及,使基板的溫度增加而高於該固態副產物之昇華溫度,以昇華固態副產物,並遺留下相對平滑的蝕刻後表面。
依據另一實施例,一種在基板處理室之基板處理區中蝕刻基板表面上之含矽層的方法,以降低一稠密圖案化區域與一稀疏圖案化區域之蝕刻速率差異,該方法包含:將含氟前驅物與含氫前驅物流入第一遠端電漿區,並同時在第一電漿區中形成一電漿以產生電漿流出物,而第一遠端電漿區係流體地耦合至基板處理區。含氟前驅物的流速與含氫前驅物的流速所導致之氫-氟原子流速比率係小於1:1或大於5:1。此方法更包含:使電
漿流出物流入基板處理區,以蝕刻位於稠密圖案化區域與稀疏圖案化區域之含矽層,並同時形成固態副產物於基板表面上;以及使基板溫度增加而高於固態副產物之昇華溫度,以昇華固態副產物。
依據又一實施例,一種在基板處理室之基板處理區中蝕刻基板表面上之含矽層的方法,該方法包含:將含氟前驅物與含氫前驅物流入第一遠端電漿區,以於第一電漿區形成一電漿以產生電漿流出物,而第一遠端電漿區係流體地耦合至基板處理區。至少一前驅物的流速包含流速脈衝。此方法更包含:使電漿流出物流入基板處理區,以蝕刻含矽層,並同時形成固態副產物於該基板表面上;以及,使基板溫度增加而高於固態副產物之昇華溫度,以昇華固態副產物。
依據又一實施例,一種在基板處理室之基板處理區中蝕刻基板表面上之含矽層的方法,該方法包含:將含氟前驅物與含氫前驅物流入第一遠端電漿區,並同時於第一電漿區中形成一系列電漿脈衝以產生電漿流出物,而第一遠端電漿區係流體地耦合至該基板處理區;使電漿流出物流入基板處理區,以蝕刻含矽層,並同時形成固態副產物於該基板表面上;以及,使基板溫度增加而高於固態副產物之昇華溫度,以昇華固態副產物。
在說明書中,下文會部分提出其他實施例及特徵,對
於熟知此技藝之人來說,在查閱說明書之後,部分內容將是十分明顯的,或是實施已揭露的實施例之後,而可習得。藉由說明書描述之手段、結合與方法,可實現與達到所揭露實施例之特徵與優點。
本發明係描述一種蝕刻含矽材料的方法,該方法包含相較於先前技術之一種具有較大或較小的氫氟流速比率(flow ratio)的SiConiTM
蝕刻製程。以此方式改變流速比率發現可降低蝕刻後(post-etch)之表面粗糙度,以及降低稠密圖案化區域與稀疏圖案化區域的蝕刻速率差異。其他降低蝕刻後之表面粗糙度的方法包含:對前驅物的流動和/或電漿功率進行脈衝、維持相對高的基板溫度、與以多個步驟執行SiConiTM
。上述每個方法可單獨或合併使用,而藉由限制固態殘留物晶粒大小來降低蝕刻表面之粗糙度。
SiConiTM
蝕刻製程已經使用氨(ammonia,NH3
)作為氫之來源,並使用三氟化氮(nitrogen trifluoride,NF3
)作為氟之來源,兩者一起流經遠端電漿系統(remote plasma system,RPS)且進入反應區。過去之SiConiTM
蝕刻製程已被最佳化來有效地使用供應之氟與氫。使氨之流速為三氟化氮之兩倍(更一般譯為氫氟原子流速比率為約2:
1),此已被用於產生高蝕刻速率。改變其中之一或同時改變兩者之流速,使氫(at.%)流速與氟(at.%)流速之比值大於或小於2:1時,發現可降低所蝕刻之含矽表面之粗糙度。在不同實施例中之氫氟原子流速比率可為小於1:1、小於1:2、小於1:4、大於5:1、大於10:1與大於20:1。
在不讓申請專利範圍受限於假設製程機制的情況下,改變流速比率以使之為「氫缺乏狀態(hydrogen-starved regime)」或「氟缺乏狀態(fluorine-starved regime)」,此被認為可以提高可生長的固態殘留物成核位置之密度。較大量的成核位置可導致蝕刻步驟完成時固態殘留物之平均晶粒尺寸變小。較小的晶粒尺寸也可減少蝕刻製程的屏蔽效應(screening)與其他晶粒尺寸效應,導致跨越含矽層之蝕刻速率在空間上的變化減少。
為使更佳瞭解本發明,現請參考第1圖,係根據所揭露之實施例的氟缺乏SiConiTM
蝕刻製程流程圖。製程是從傳送基板至處理室(步驟110)開始,氧化矽層位於基板表面上。氨與三氟化氮的流動開始進入與處理區分開的電漿區(步驟115與120)。分開的電漿區在此可為遠端電漿區,也可能為與處理室不同的模組或是位於處理室中之一隔室。控制氨與三氟化氮的流動,使氫氟原子流速比率維持在約10:1(步驟122)。來自遠端電漿的遠端電
漿流出物或產物流入處理區,並使該遠端電漿流出物或產物與基板表面反應(步驟125)。蝕刻氧化矽層(步驟130),接著停止氣體流(步驟135),加熱基板以昇華蝕刻製程所遺留下之固態副產物(步驟140),再從處理區中移出基板(步驟145)。
第2圖顯示在氫氟原子流速比率變化下之SiConiTM
蝕刻期間之蝕刻速率與經過昇華後之表面粗糙度的關係圖。氫氟流速比率接近2:1時,其表面粗糙度具有最大值,在氫缺乏狀態(峰之左方)及氟缺乏狀態(峰之右方)時其表面粗糙度降低。蝕刻速率與流速比率的關係較小,使流速比率可做為控制表面粗糙度之有用參數。當氫氟流速比率較圖中所示者更為增加時,氟濃度下降以致於蝕刻速率驟降。當處於低氫氟流速比率時,由於還持續供給氟,故蝕刻仍可繼續進行。表面粗糙度量測係使用於輕敲模式(tapping mode)下操作的原子力顯微術(atomic force microscopy,AFM)。AFM量測係採用尺寸1μm×1μm之方型圖案,而第2圖之表面粗糙度量測為所有量測點經rms(均方根)計算判定而得。
第3圖顯示依照標準SiConiTM
(第3A圖)與依照所揭露實施例之平滑SiConiTM
(smooth SiConiTM
)(第3B圖)之粗糙度。在第3A圖中,可清楚見到沿著氧化矽填充溝槽的紋路(表面粗糙度)。為了形成第3B圖之圖案化的表面,
實施平滑SiConiTM
製程,其中氨流速為350sccm,三氟化氮流速為35sccm。此代表第2圖右側之氟缺乏狀態。在第3B圖中難以分辨出沿著氧化矽填充溝槽之紋路。圖中缺乏紋路係反映出缺乏表面粗糙度,此乃因為在昇華之前,蝕刻時所產生固態殘留物的晶粒尺寸較小所致。第3A與3B圖表示經過昇華固態殘留物步驟後之表面。在第3A與3B圖之各圖中,圖案化基板溫度在蝕刻操作時為30℃,在昇華固態殘留物時則升至100℃。
利用相同的原理機制,脈衝化所施加的電漿功率或是脈衝化前驅物其中之一或兩者的流動,也可導致較平滑的蝕刻後表面。在不讓申請專利範圍受限於某些特殊操作理論下,發明人認為這樣的脈衝化也能產生較高密度的固態副產物成核位置。第4圖顯示脈衝化電漿製程。製程的開始步驟類似於第1圖之製程,為傳送基板至處理室(步驟410)。氧化矽層位於基板表面上。氨與三氟化氮的流動係開始進入與處理區分開的電漿區(步驟415與420)。此時,電漿功率係以約0.1Hz至約1.0Hz的重複頻率被脈衝化(步驟422)。遠端電漿流出物流入處理區,並在此處與基板表面反應(步驟425)。蝕刻氧化矽層(步驟430),接著停止氣體與遠端電漿流出物流入處理區(步驟435),加熱基板以昇華蝕刻製程所遺留下之固態副產物(步驟440),再從處理區中移出基板(步驟445)。
SiConiTM
蝕刻可採多個步驟來進行,此亦能用以降低蝕刻後之表面的粗糙度。移除薄膜總厚度的製程可分為兩步驟進行,而每個步驟包含可移除30%至80%薄膜總厚度之簡短SiConiTM
製程。每個步驟包含一次蝕刻與一次退火以昇華固態殘留物。在重複的SiConiTM
製程期間,於蝕刻時可將基板維持在相對高的基板溫度,以使提高一點溫度就可以昇華固態殘留物。減少昇華時所需的熱差異,可縮短退火步驟、縮短整體製程及允許相對高之總處理量。移除薄膜總厚度之製程可採兩個步驟以上來進行。舉例來說,可使用三個、四個或五個步驟來移除總薄膜厚度,每個步驟分別移除總薄膜厚度的20%至40%、15%至35%或10%至20%。在不同實施例中,退火步驟時間可少於約30秒、少於約20秒、少於約10秒或少於約5秒。重複的SiConiTM
製程可以結合任一在此提及之其他製程技術,以得到更平滑之蝕刻後表面。在不同實施例中,每一步驟可移除的厚度為小於或約200Å、小於或約150Å、小於或約100Å、小於或約75Å、小於或約50Å或小於或約25Å。
第5A-5B圖顯示依照標準SiConiTM
製程(第5A圖)與依照所揭露之實施例進行多個步驟平滑SiConiTM
製程(第5B圖)所得之圖案化表面。在第5A圖中可清楚見到在氧化矽填充溝槽之中的氧化矽界面之高度變異性。這
種變異性型態特別發生在較窄溝槽上,其機制類似於造成第3A圖所示之粗糙度的機制。為了形成第5B圖之圖案化表面,所以實施多個步驟之平滑SiConiTM
製程,其每個步驟之材料移除量少於單一步驟(造成第5A圖中之變異性)之材料移除量。在第5B圖中,難以分辨出氧化矽界面的高度變異性。因為在昇華之前,蝕刻時所產生固態殘留物的晶粒尺寸較小,以致在圖中缺乏高度變異性。固態殘留物晶粒的尺寸已被減少至實質小於溝槽寬度。第5A-5B圖所顯示為經過昇華固態殘留物以及一些附加製程(包含沉積)後之表面。在第5A與5B圖中,圖案化基板溫度在蝕刻操作過程中為30℃,在昇華固態殘留物時則升至100℃。
在此所展現之技術已經顯示可降低表面粗糙度及溝槽中的氧化矽之高度變異性。這些問題的主要原因之一是蝕刻劑與介電薄膜反應所形成之晶體(即固態副產物)。與高級節點(advanced node)之溝槽尺寸差不多之晶體尺寸,結合不同晶體定向、成核分佈位置及濃度等因素,會造成上述問題。在此顯示之技術藉由連續進行多次蝕刻反應來解決這些問題,藉以限制晶體成長而有較佳的局部均一性控制。在氫缺乏狀態或氟缺乏狀態下且連續應用SiConiTM
蝕刻,會導致形成不同的蝕刻劑,造成形成不同大小及多孔性的晶體。藉由改變上述SiConiTM
蝕
刻,可形成較稠密且局部更均一之晶體層,而能夠在多個溝槽之間與單一溝槽凹口內有較均一之蝕刻速率。
上述方法也還有其他用途。在具有一些稠密圖案化區域與一些較稀疏圖案化區域的圖案化基板上進行傳統SiConiTM
時,兩個區域之間可能表現出不同的蝕刻速率。在此討論之平滑SiConiTM
製程可使蝕刻速率差異降低,且增進SiConiTM
蝕刻對於具有開放及稠密氧化矽區域之圖案化晶圓的實用性。因為類似於先前實例之使蝕刻後表面平滑化的機制,所以可增加此效應。由於成核位置之間的平均間隔可能變得遠小於較小的含矽區塊(patch)之橫向尺寸,所以較小的固態殘留物晶粒之較高分佈密度可使較小的介電層區塊(位於稠密圖案化區域)顯露出較類似於開放區域。此最終結果使稠密圖案化區域與稀疏圖案化區域的蝕刻速率差異減少。
平滑SiConiTM
蝕刻的附加製程參數將於描述之例示製程系統之課題中揭露。
第6圖為可實施本發明之實施例的例示處理室600之部分剖面視圖。一般來說,含氫前驅物與含氟前驅物可經過一或多個孔洞651引入至遠端電漿區661-663,並由電漿電源646激發。
在一實施例中,處理室600包含腔室主體612、蓋組件602及支撐組件610。蓋組件602設置於腔室主體612的上方端,而至少有一部分的支撐組件610設置於腔室主體612內。處理室600與相關硬體較佳由一或多種與製程相容的材料所製成(例如鋁、不銹鋼等)。
腔室主體612包含形成於腔室主體612的側壁中之狹縫閥開口660,用以進入到處理室600的內部。狹縫閥開口660可選擇性地開啟及關閉,以允許晶圓操作機器手(未示出)進入腔室主體612內部。在一實施例中,晶圓可經由狹縫閥開口660來傳送進出處理室600至鄰近的傳送室和/或加載鎖定室,或群集式工具內之其他室。可包含處理室600的一種例示群集式工具如第7圖所示。
在一或多種之實施例中,腔室主體612包含腔室主體通道613,供熱傳流體流經腔室主體612。此熱傳流體可為加熱流體或是冷卻劑,而可在製程及基板傳送過程中,用以控制腔室主體612的溫度。加熱腔室主體612可幫助避免不必要的氣體或副產物凝結於腔室壁上。例示性之熱傳流體包含水、乙二醇或前述流體的混合物。一例示性之熱傳流體亦可包含氮氣。支撐組件610可具有支撐組件通道604,供熱傳流體流經支撐組件610,進而影響基板溫度。
腔室主體612更可包含圍繞支撐組件610之襯墊633。
襯墊633較佳為可以移除的,以進行維修及清潔。襯墊633可為金屬製成,例如鋁或是陶瓷材料。然而,襯墊633可為任何與製程相容的材料。襯墊633可以是噴砂處理的(bead blasted),以增加沉積在該襯墊633上方的任何材料之附著性,進而避免材料成薄片剝落而污染處理室600。在一或多種之實施例中,襯墊633包含一或多個孔洞635與形成在該襯墊633中之抽氣通道629,該抽氣通道629係與真空系統為流體連通。孔洞635提供氣體進入抽氣通道629的流動路徑,讓處理室600內的氣體得以排出。
真空系統可包含真空幫浦625與節流閥627,以調節通過處理室600之氣體流速。真空幫浦625係耦接至設置於腔室主體612上之真空埠631,因而與襯墊633內之抽氣通道629為流體連通。「氣體」與「多個氣體」之用詞是可替換的,除非特別註解,否則指的是一或多種的反應物、催化劑、載體、沖洗物、清潔物及前述物種的結合物,以及任何其他引入腔室主體612的流體。「前驅物」一詞用以指的是參與任一自表面上移除或沉積材料之反應的任何製程氣體。
孔洞635係允許抽氣通道629與腔室主體612內之處理區640為流體連通。處理區640係由蓋組件602的下表面與支撐組件610的上表面所界定,並由襯墊633所包圍。孔
洞635可為均一尺寸,並且圍繞著襯墊633而均勻間隔設置。然而,可以使用任何數量、位置、尺寸或形狀的孔洞,且每一個設計參數可取決於跨越基板承接表面之期望氣體流動模式而改變,下方將會討論到更多細節。另外,孔洞635的尺寸、數量及位置是配置以達到離開處理室600的均一氣體流動。進一步地,孔洞的尺寸及位置可配置以提供快速或高容量的抽吸,以促進氣體快速從處理室600中排出。舉例來說,靠近真空埠631處的孔洞635之數量及尺寸係小於遠離真空埠631的孔洞635之尺寸。
氣體供應板(未標示)為典型透過一或多個孔洞651來提供製程氣體至處理室600。所使用的特定氣體是取決於處理室600內欲進行的製程(或多個製程)。例示氣體可包含但不限於為一或多種之前驅物、還原劑、催化劑、載體、沖洗物、清潔物或前述物種的任何混合物或組合物。典型地,導引至處理室600的一或多種氣體係經過頂板650中之孔洞651而流入電漿容積(plasma volume)661中。製程氣體也可直接經由孔洞652或是結合前述孔洞651來進入處理區640。孔洞652繞過遠端電漿激發區,其利於包含不需電漿激發之氣體的製程,或是無受益於氣體之額外激發的製程。電子操作閥和/或流量控制構件(未示出)可用來控制自氣體供應器進入處理室600的氣體流動。取決於製程,可傳送任何數量的
氣體至處理室600,且任何數量的氣體可在處理室600內混合或在傳送至處理室600之前混合。
蓋組件602可進一步包含電極645,以在蓋組件602內產生反應性物種之電漿。在一實施例中,由頂板650支撐的電極645藉由插入以氧化鋁或其他與製程相容的絕緣材料製成的電性隔離環647而與頂板650電性隔離。在一或多個實施例中,電極645耦接至電源646,而蓋組件602的其餘部分為接地。因此,在電極645與環狀安裝凸緣622之間,由容積661、662和/或663組成之遠端電漿區中可產生一或多種的製程氣體之電漿。在數個實施例中,環狀安裝凸緣622包含或支撐氣體傳送板620。舉例來說,在電極645與阻擋組件630之一或二個阻擋板之間可起始與維持電漿。或者,在沒有阻擋組件630時,電漿可點燃並容納在電極645與氣體傳送板620之間。在任一實施例中,電漿會被良好地侷限或包含在蓋組件602內。由於活性電漿(active plasma)沒有直接接觸位於腔室主體612內的基板,所以此電漿為「遠端電漿」。因此,由於電漿與基板表面為分開的,所以可避免基板被電漿破壞。
多種的電源646能夠用來活化含氫前驅物(例如氨)與含氮前驅物(三氟化氮)。舉例來說,可以使用以射頻(RF)、直流電(DC)或微波(MW)為基礎之功率放電技術。
也可使用基於熱能之技術、氣體解離技術、高強度光源(如UV光)或暴露在x光來源中來活化之。或者,可使用遠端活化源(如遠端電漿產生器)以產生接著要傳送進入處理室600的反應性物種之電漿。例示之遠端電漿產生器可購自例如MKS儀器公司(MKS Instruments,Inc)與先進能源工業公司(Advanced Energy Industries,Inc)的販售商。在例示製程系統中,一RF電源供應器與電極645連接。高功率微波之電源646對於亦使用該電源646來製造反應性氧的情況是有利的。
腔室主體612與基板之製程溫度可藉由熱傳介質分別流經腔室主體通道613與支撐組件通道604來控制。形成於支撐組件610內之支撐組件通道604可促進熱能傳送。腔室主體612與支撐組件610可獨立冷卻或加熱。舉例來說,一加熱流體可流經其中一者,而一冷卻流體可流經另一者。
還有其他方法可用於控制基板溫度。可透過電阻加熱器來加熱支撐組件610(或支撐組件610的一部分,例如底座)而加熱基板,或藉由一些其他方法來加熱。在另一配置中,氣體傳送板620可保持在高於基板的溫度,而基板可被升高以提高基板溫度。在此例子中,基板是被輻射加熱,或藉由使用氣體將熱能自氣體傳送板620傳導至基板。基板可利用提高支撐組件610或使用升降銷
來升高。
在不同實施例中,於此描述之蝕刻製程期間,腔室主體612可保持在50℃至80℃之間、55℃至75℃之間或60℃至70℃之間的約略溫度範圍內。於不同實施例中,在暴露於電漿流出物和/或氧化劑時,基板可保持在低於約100℃、低於約65℃、約15℃至50℃之間或約22℃至40℃之間。基板在蝕刻過程中也可保持在升高溫度下,以進一步減少固態副產物的晶粒尺寸。在SiConiTM
蝕刻期間,於不同實施例中,基板可保持在約40℃至90℃之間、約50℃至85℃之間或約60℃至80℃之間。
電漿流出物包含多種分子、分子片段與離子化物種。現在的SiConiTM
蝕刻之使人感興趣的理論機制可能完全正確或可能不是完全正確,但此述之包含NH4
F與NH4
F.HF的電漿流出物被認為會輕易地與低溫基板反應。電漿流出物可與氧化矽表面反應,以形成(NH4
)2
SiF6
、氨與水產物。此述之製程條件下的氨與水為蒸氣,並可藉由真空幫浦625自處理區640中移除。在基板表面上留下薄而不連續的(NH4
)2
SiF6
固態副產物層。
在暴露於電漿流出物及固態副產物的相關堆積之後,可加熱基板來移除副產物。在數個實施例中,可藉由在氣體傳送板620內或附近併入加熱元件670來加熱氣體傳送板620。可藉由減少基板與加熱的氣體傳送板間的
距離來加熱基板。在不同實施例中,氣體傳送板620可被加熱至約100℃至150℃之間、約110℃至140℃之間或約120℃至130℃之間。在不同實施例中,藉由減少基板與被加熱氣體傳送板間之距離,則基板可被加熱至大於約75℃、大於約90℃、大於約100℃或在約115℃至150℃之間。自氣體傳送板620傳至基板的輻射熱能,應足夠使基板上的固態(NH4
)2
SiF6
解離或昇華為可揮發的SiF4
、NH3
與HF產物,並可被抽吸離開處理區640。
在不同實施例中,於缺乏氫狀態之蝕刻製程過程中,三氟化氮(或其他含氟前驅物)流至遠端電漿容積661的流速可在約25sccm至約200sccm之間、約50sccm至約150sccm之間或約75sccm至約125sccm之間。在不同實施例中,氨(或一般的含氫前驅物)流至遠端電漿容積661的流速可低於或約200sccm、低於或約150sccm、低於或約100sccm、低於或約75sccm、低於或約50sccm、或低於或約25sccm。
在不同實施例中,於缺乏氟狀態之蝕刻製程過程中,氨(或一般的含氫前驅物)流至遠端電漿容積661的流速可在約50sccm至約300sccm之間、約75sccm至約250sccm之間、約100sccm至約200sccm之間、或約120sccm至約170sccm之間。在不同實施例中,三氟化氮(或含氟前驅物)流至遠端電漿容積661的流速可低於或約100sccm、
低於或約75sccm、低於或約50sccm、低於或約25sccm、或低於或約15sccm。
進入遠端電漿區之含氫與含氟前驅物的結合流速估計可為總氣體混合物體積之0.05%至約20%,剩餘部分則為載氣。在一實施例中,沖洗氣體或載氣在那些反應性氣體之前首先開始進入遠端電漿區,用以穩定遠端電漿區內的壓力。
藉由相對於蓋組件602之其餘部分而對電極645施加電漿功率,以在容積661、662和/或663內產生電漿流出物。電漿功率可為不同頻率或結合多個頻率。在例示製程系統中,電漿係藉由傳送至電極645的RF功率所提供。在不同實施例中,RF功率可為約1W至約1000W之間、約5W至約600W之間、約10W至約300W之間或約20W至約100W之間。在不同實施例中,在例示製程系統中所施加之RF頻率可少於約200kHz、少於約150kHz,少於或約120kHz或約50kHz至約90kHz之間。
在臭氧、氧氣、載氣和/或電漿流出物流入處理區640的期間,處理區640可保持在不同的壓力下。在不同實施例中,此壓力可維持在約500mTorr至約30Torr之間,約1Torr至約10Torr之間、或約3Torr至約6Torr之間。也可在處理區640內使用較低的壓力。此壓力在不同實施例中,可維持在低於或約500mTorr、低於或約
250mTorr、低於或約100mTorr、低於或約50mTorr、或低於或約20mTorr。
在一或多個的實施例中,處理室600可整合到多種不同的多製程平台,包含應用材料公司(Applied Materials,Inc,位於加州聖大克勞拉市)之ProducerTM
GT、CenturaTM
AP與EnduraTM
平台。這樣的製程平台能夠在不破壞真空的狀態下執行數個製程操作。
第7圖為一例示性之多處理室製程系統700之俯視示意圖。系統700可包含一或多個加載鎖定室702、704,用以傳送基板進出系統700。因系統700處於真空之下,加載鎖定室702、704可典型地將導引進入系統700的基板進行「抽真空(pump down)」。第一機器手710可在加載鎖定室702、704與第一組之一或多個基板處理室712、714、716、718(圖示為四個)之間傳送基板。每一個處理室712、714、716、718可配置以執行數種基板製程操作,包含在此描述之乾式蝕刻製程,除此之外,還有循環層沉積(cyclical layer deposition,CLD)、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、蝕刻、預清潔(pre-clean)、除氣(degas)、定向(orientation)與其他基板製程。
第一機器手710也可傳送基板至一或多個傳送室722、724,並將基板由該一或多個傳送室722、724傳送出。傳送室722、724可用於保持超高真空狀態,並同時允許基板在系統700內傳送。第二機器手730可在傳送室722、724與第二組之一或多個處理室732、734、736、738之間傳送基板。基板處理室732、734、736、738類似於基板處理室712、714、716、718,可配置以執行多種基板製程操作,包含在此描述之乾式蝕刻製程,除此之外,例如有CLD、ALD、CVD、PVD、蝕刻、預清潔,除氣與定向。若不需要藉由系統700來執行特定製程時,則可從系統移除任一基板處理室712、714、716、718、732、734、736、738。
一臭氧產生器751可設置於無塵室外,由供應管線自臭氧產生器751攜帶製程氣體至用於進行此處所述之氧化-SiConiTM
製程之處理室734中。一遠端電漿系統(RPS)753可設置於SiConiTM
處理室734之遠端或與SiConiTM
處理室734整合在一起(如圖所示)。或者,遠端電漿系統753與處理室734是分開的,但是非常靠近,或甚至物理性地附接至處理室734。在遠端電漿系統753內激發之反應性氧可更直接地(例如經由孔洞752)引入處理區740,而其他來自氣體處理系統755的製程氣體則由孔洞651引入,並由位於製程容積661、662和/或
663內之遠端電漿激發之。
系統控制器757可用來控制馬達、閥、流量控制器、電源供應器與其他執行在此敘述之製程配方所需之功能。系統控制器757可依靠光學感應器的回饋來判定與調整可移動的機械組件之位置。機械組件可包含機器手、節流閥與基座(susceptor),並藉由系統控制器757所控制的馬達來移動。
在一例示實施例中,系統控制器757包含硬碟(記憶體)、USB插槽、軟碟及處理器。系統控制器757包含類比與數位輸入/輸出板,介面板與步進馬達控制器板。由系統控制器757來控制包含多個處理室之多室製程系統700的多個部位。系統控制器執行系統控制軟體,這些軟體以電腦程式的形式儲存在電腦可讀取媒介中,例如硬碟、軟碟或快閃記憶體拇指碟。也可使用其他種類的記憶體。電腦程式包含多套指令,以控制時序、氣體混合物、腔室壓力、腔室溫度、RF功率層級、基座位置及特定製程的其他參數。
使用電腦程式產品,並經由控制器執行,則可在基板上進行蝕刻、沉積或處理薄膜的製程,或是清潔腔室的製程。電腦程式碼可用任何傳統電腦可讀取的程式語言來撰寫,舉例來說,68000組合語言、C、C++、帕斯卡程式語言(Pascal)、福傳程式語言(Fortran)或其他程式語
言。適合的程式碼可使用傳統的文字編輯器輸入至單一檔案或多個檔案中,再儲存或收錄在一電腦可用媒介中,例如電腦的記憶系統。假如輸入的編碼內容是高階語言,程式碼會先被編譯,所得編譯程式碼會與預編譯的微軟視窗作業系統程式館常式(Microsoft Windows® library routines)的目標碼連結。為了執行連結的、編譯的目標碼,系統使用者調用目標碼,使得電腦系統自記憶體中載入程式碼。然後CPU會讀取及執行此程式碼,來進行程式中已被確認的任務。
在使用者與控制器之間的介面可透過一觸控感應式螢幕,也可包含滑鼠及鍵盤。在一實施例中,可使用兩個螢幕,一個安裝在無塵室牆上,給操作員用,另一個安裝在牆的後方,給技術服務員用。此兩個螢幕可同時顯示相同的資訊,且在某一時間的情況下只能接收一個輸入指示。操作員以手指或滑鼠點選顯示面板上指定區域來選擇一特定的螢幕或功能。被觸控的區域會改變其強調顏色或顯示新的目錄或螢幕,用以確認操作員的選擇。
在此使用的「基板」可以是具有或不具有(其他)層在基板上形成的支撐基板。支撐基板可為絕緣體或具有多種摻雜濃度及輪廓的半導體,舉例來說,支撐基板是用於製造積體電路的半導體基板類型。處於「激發態」的氣體係描述的是一氣體其中至少有部分氣體分子在振動
激發態、解離和/或離子化態。一氣體可為兩種或多種氣體的組合物。使用的「溝槽」一詞自始至終不涉及被蝕刻的幾何形狀具有很大的水平深寬比(aspect ratio)。由表面上方觀看,可見到溝槽為圓形、橢圓形、多邊形、矩形或其他形狀的變化。「脈衝化」電漿包含使電漿功率在非零值與相對低值之間交替,其中相對低值功率使固態殘留物的成長非常少。「脈衝化」電漿也包含使前驅物之一或兩者之流速在非零值與相對低值之間交替。相對低的流速會實質降低在脈衝間蝕刻製程的進行。電漿功率的交替與流速的交替可分開或合併使用。
已經揭露一些實施例,在不脫離本發明揭露實施例精神之下,熟悉本發明技術領域者將會認可各種更動、替換結構及其等效物。另外,未敘述一些已知製程及元件,是為了避免不必要地模糊本發明。因此,以上敘述非用於限制本發明之範圍。
當提供數值範圍時,可以瞭解介於所提供數值範圍的上限與下限之間的各個居中數值(intervening value)(除非上下文有清楚的指示,居中數值到下限單位的十分之一),也是被特定揭露的。在所述範圍內之任何指定數值與居中數值之間,以及在那個指定範圍內之任何其他指定數值或居中數值之間的每個較小範圍均被包含。這些較小範圍的上限與下限在範圍中可獨立地被包含或排
除,且包含在較小範圍內的每個範圍之限制可任一、兩個都不或兩個同時包含在發明內,讓指定範圍內受到任何特定排除限制。指定範圍包含一或兩個之限制,而排除任一或兩個的那些包含限制也包含在內。
除非上下文有清楚指示,否則,在此使用及申請專利範圍內的單數形式之「一」與「該」包含複數個指定對象。因此,舉例來說,如「一個製程」,則包含了複數個這樣的製程,如「該介電材料」,則包含一或多個介電材料及熟悉本發明技術領域者所知之等效物。
同時,在說明書中與下列申請專利範圍中使用的「包含」與「包括」一詞,用以特意指定特定特徵、整體、成分或步驟的存在,但不排除現有或附加的一或多個特徵、整體、成分、步驟、行為或族群。
110,115,120,122,125,130,135,140,145‧‧‧步驟
410,415,420,422,425,430,435,440,445‧‧‧步驟
600‧‧‧處理室
602‧‧‧蓋組件
604‧‧‧通道
610‧‧‧支撐組件
612‧‧‧腔室主體
613‧‧‧通道
620‧‧‧氣體傳送板
622‧‧‧安裝凸緣
625‧‧‧真空幫浦
627‧‧‧節流閥
629‧‧‧抽氣通道
630‧‧‧阻擋組件
631‧‧‧真空埠
633‧‧‧襯墊
635‧‧‧孔洞
640‧‧‧處理區
645‧‧‧電極
646‧‧‧電源
647‧‧‧電性隔離環
650‧‧‧頂板
651,652‧‧‧孔洞
660‧‧‧狹縫閥開口
661-663‧‧‧遠端電漿區/容積
670‧‧‧加熱元件
700‧‧‧系統
702,704‧‧‧加載鎖定室
710‧‧‧第一機器手
722,724‧‧‧傳送室
712,714,716,718,732,734,736,738‧‧‧處理室
730‧‧‧第二機器手
751‧‧‧臭氧產生器
752‧‧‧孔洞
753‧‧‧遠端電漿系統
755‧‧‧氣體處理系統
757‧‧‧控制器
為讓所揭露之實施例的基本性質與優點能有進一步的了解,係參照本說明書的其餘部分與圖式。
第1圖係繪示依照所揭露實施例之含矽材料蝕刻製程的流程圖。
第2圖係繪示依照所揭露實施例之SiConiTM
蝕刻製程之氫氟流速比率與表面粗糙度及蝕刻速率之關係圖。
第3A-3B圖係表示在標準SiConiTM
與所揭露實施例之
平滑SiConiTM
之後的圖案化表面。
第4圖係繪示依照所揭露實施例之含矽材料蝕刻製程流程圖。
第5A-5B圖係表示在標準SiConiTM
與所揭露實施例之平滑SiConiTM
之後的圖案化表面。
第6圖係繪示依照所揭露實施例之用於執行蝕刻製程之處理室的剖面視圖。
第7圖係繪示依照所揭露實施例之用於執行蝕刻製程之製程系統。
在所附圖式中,相似的部件及/或特徵可具有相同的元件符號。再者,相同類型的各種部件之區別可以藉由在元件符號後方加註虛線及第二符號而來區別相似的部件。若在說明書中僅使用第一元件符號,則說明可適用於具有相同第一元件符號的相似部件之任一者,而不論第二元件符號。
110、115、120、122、125、130、135、140、145‧‧‧步驟
Claims (22)
- 一種在一基板處理室之一基板處理區中蝕刻在一基板之一表面上的一含矽層之方法,其中該方法遺留下一相對平滑之蝕刻後(post-etch)表面,該方法包含:將一含氟前驅物與一含氫前驅物流入一第一遠端電漿區,並同時於該第一電漿區中形成一電漿而產生電漿流出物(plasma effluents),該第一遠端電漿區係流體地耦合至該基板處理區,其中該含氟前驅物的一流速與該含氫前驅物的一流速所導致之氫-氟原子流速比率(flow ratio)為小於1:2;使該些電漿流出物流入該基板處理區,以蝕刻該含矽層,並同時於該基板之該表面上形成固態副產物;以及使該基板的一溫度增加而高於該些固態副產物之一昇華溫度,以昇華該些固態副產物,而遺留下該相對平滑之蝕刻後表面。
- 如申請專利範圍第1項所述之方法,其中該含氟前驅物包含至少一種前驅物,該至少一種前驅物係選自於由三氟化氮、氟化氫、雙原子氟、單原子氟及氟取代碳氫化合物所組成的群組。
- 如申請專利範圍第1項所述之方法,其中該含氫前驅物包含至少一種前驅物,該至少一種前驅物係選自於由原子氫、分子氫、氨、碳氫化合物及不完全鹵素取代之碳氫化合物(incompletely halogen-substituted hydrocarbon)所組成的群組。
- 如申請專利範圍第1項所述之方法,其中該含矽層在蝕刻操作之過程中維持在約50℃或以上。
- 如申請專利範圍第1項所述之方法,其中蝕刻該含矽層與昇華該些固態副產物之操作包含使用一個步驟與整數個步驟,以減少每個循環過程中所移除之材料量。
- 如申請專利範圍第5項所述之方法,其中由該含矽層移除之一總厚度為三步驟進行,其中每個步驟移除該總厚度之20%至40%。
- 如申請專利範圍第5項所述之方法,其中每個步驟由該含矽層移除約150Å或以下。
- 如申請專利範圍第1項所述之方法,其中該含矽層 包含氧化矽。
- 一種在一基板處理室之一基板處理區中蝕刻在一基板之一表面上的一含矽層之方法,其中該方法可降低一稠密圖案化區域與一稀疏圖案化區域之間的一蝕刻速率差異,該方法包含:將一含氟前驅物與一含氫前驅物流入一第一遠端電漿區,並同時於該第一電漿區中形成一電漿而產生電漿流出物,該第一遠端電漿區係流體地耦合至該基板處理區,其中該含氟前驅物的一流速與該含氫前驅物的一流速所導致之氫-氟原子流速比率係小於1:2;使該些電漿流出物流入該基板處理區,以蝕刻位於該稠密圖案化區域與該稀疏圖案化區域中之該含矽層,並同時形成固態副產物於該基板之該表面上;以及使該基板的一溫度增加而高於該些固態副產物之一昇華溫度,以昇華該些固態副產物。
- 如申請專利範圍第9項所述之方法,其中該含氟前驅物包含至少一種前驅物,該至少一種前驅物係選自於由三氟化氮、氟化氫、雙原子氟、單原子氟及氟取代碳氫化合物所組成的群組。
- 如申請專利範圍第9項所述之方法,其中該含氫前驅物包含至少一種前驅物,該至少一種前驅物係選自於由原子氫、分子氫、氨、碳氫化合物及不完全鹵素取代之碳氫化合物所組成的群組。
- 如申請專利範圍第9項所述之方法,其中蝕刻該含矽層與昇華該些固態副產物的操作包含使用一個步驟與整數個步驟,以減少每個循環過程中所移除之材料量。
- 如申請專利範圍第12項所述之方法,其中由該含矽層移除之一總厚度為三步驟進行,其中每個步驟移除該總厚度之20%至40%。
- 如申請專利範圍第12項所述之方法,其中每個步驟由該含矽層移除約100Å或以下。
- 如申請專利範圍第9項所述之方法,其中該含氟前驅物的該流速與該含氫前驅物的該流速所導致之氫-氟原子流速比率為小於1:4。
- 如申請專利範圍第9項所述之方法,其中該含矽層 包含氧化矽。
- 一種在一基板處理室之一基板處理區中蝕刻一基板的一表面上之一含矽層的方法,該方法包含:將一含氟前驅物與一含氫前驅物流入一第一遠端電漿區,並同時於該第一電漿區中形成一系列的電漿脈衝而產生電漿流出物,其中該第一遠端電漿區係流體地耦合至該基板處理區,且其中該含氟前驅物的一流速與該含氫前驅物的一流速所導致之氫-氟原子流速比率係小於1:2;使該些電漿流出物流入該基板處理區,以蝕刻該含矽層,並同時形成固態副產物於該基板之該表面上;以及使該基板的一溫度增加而高於該些固態副產物之一昇華溫度,以昇華該些固態副產物。
- 如申請專利範圍第17項所述之方法,其中該系列的電漿脈衝係藉由脈衝化施加至該第一電漿區之電漿功率所產生。
- 如申請專利範圍第18項所述之方法,其中該含氟前 驅物的該流動與該含氫前驅物的該流動在該蝕刻操作之過程中為恆定。
- 如申請專利範圍第17項所述之方法,其中該系列的電漿脈衝係藉由脈衝化該含氟前驅物的一流動與該含氫前驅物的一流動之至少一者的流動所產生。
- 如申請專利範圍第17項所述之方法,其中昇華該些固態副產物的操作包含在每一次電漿脈衝後,進行多次基板退火。
- 如申請專利範圍第17項所述之方法,其中該系列的電漿脈衝之一重複頻率為約0.1Hz至約1.0Hz。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/646,030 US8501629B2 (en) | 2009-12-23 | 2009-12-23 | Smooth SiConi etch for silicon-containing films |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201133609A TW201133609A (en) | 2011-10-01 |
TWI445081B true TWI445081B (zh) | 2014-07-11 |
Family
ID=44151711
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW099144271A TWI445081B (zh) | 2009-12-23 | 2010-12-16 | 用於含矽薄膜的平滑SiConi蝕刻法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US8501629B2 (zh) |
JP (2) | JP5931741B2 (zh) |
KR (1) | KR101425629B1 (zh) |
CN (1) | CN102687249B (zh) |
SG (1) | SG181669A1 (zh) |
TW (1) | TWI445081B (zh) |
WO (1) | WO2011087580A1 (zh) |
Families Citing this family (215)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101297926B1 (ko) * | 2009-03-26 | 2013-08-19 | 가부시키가이샤 알박 | 진공 처리 방법 및 진공 처리 장치 |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
CN102938378B (zh) * | 2011-08-16 | 2015-06-17 | 中芯国际集成电路制造(北京)有限公司 | 半导体器件制造方法 |
US8679982B2 (en) * | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
TWI492298B (zh) * | 2011-08-26 | 2015-07-11 | Applied Materials Inc | 雙重圖案化蝕刻製程 |
US8679983B2 (en) * | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US20130260564A1 (en) * | 2011-09-26 | 2013-10-03 | Applied Materials, Inc. | Insensitive dry removal process for semiconductor integration |
US8664012B2 (en) * | 2011-09-30 | 2014-03-04 | Tokyo Electron Limited | Combined silicon oxide etch and contamination removal process |
US8808563B2 (en) * | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9023723B2 (en) | 2012-05-31 | 2015-05-05 | Applied Materials, Inc. | Method of fabricating a gate-all-around word line for a vertical channel DRAM |
US8895432B2 (en) | 2012-05-31 | 2014-11-25 | Applied Materials, Inc. | Method of fabricating a self-aligned buried bit line for a vertical channel DRAM |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
SG2013083241A (en) * | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
CN102931069A (zh) * | 2012-11-28 | 2013-02-13 | 上海华力微电子有限公司 | 栅极的制作方法 |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9761489B2 (en) | 2013-08-20 | 2017-09-12 | Applied Materials, Inc. | Self-aligned interconnects formed using substractive techniques |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN104979209A (zh) * | 2014-04-09 | 2015-10-14 | 中芯国际集成电路制造(上海)有限公司 | 一种FinFET器件的制造方法 |
US9385123B2 (en) | 2014-05-20 | 2016-07-05 | International Business Machines Corporation | STI region for small fin pitch in FinFET devices |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9941388B2 (en) * | 2014-06-19 | 2018-04-10 | Globalfoundries Inc. | Method and structure for protecting gates during epitaxial growth |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) * | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
CN104377107A (zh) * | 2014-09-24 | 2015-02-25 | 上海华力微电子有限公司 | 一种用于SiCoNi蚀刻工艺的蚀刻装置 |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9589979B2 (en) | 2014-11-19 | 2017-03-07 | Macronix International Co., Ltd. | Vertical and 3D memory devices and methods of manufacturing the same |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US20160181111A1 (en) * | 2014-12-19 | 2016-06-23 | Lam Research Corporation | Silicon etch and clean |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9548239B2 (en) * | 2015-02-02 | 2017-01-17 | United Microelectronics Corp. | Method for fabricating contact plug in an interlayer dielectric layer |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
CN107924816B (zh) * | 2015-06-26 | 2021-08-31 | 东京毅力科创株式会社 | 具有含硅减反射涂层或硅氧氮化物相对于不同膜或掩模的可控蚀刻选择性的气相蚀刻 |
WO2016210301A1 (en) * | 2015-06-26 | 2016-12-29 | Tokyo Electron Limited | Gas phase etching system and method |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US9564341B1 (en) | 2015-08-04 | 2017-02-07 | Applied Materials, Inc. | Gas-phase silicon oxide selective etch |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9978610B2 (en) * | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
TWI782220B (zh) | 2015-09-22 | 2022-11-01 | 美商應用材料股份有限公司 | 清洗方法 |
WO2017052905A1 (en) * | 2015-09-22 | 2017-03-30 | Applied Materials, Inc. | Apparatus and method for selective deposition |
US10256076B2 (en) | 2015-10-22 | 2019-04-09 | Applied Materials, Inc. | Substrate processing apparatus and methods |
CN108292602B (zh) | 2015-12-18 | 2023-08-18 | 应用材料公司 | 清洁方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US9673216B1 (en) | 2016-07-18 | 2017-06-06 | Sandisk Technologies Llc | Method of forming memory cell film |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10504717B2 (en) | 2016-09-16 | 2019-12-10 | Applied Materials, Inc. | Integrated system and method for source/drain engineering |
US9876088B1 (en) | 2016-09-19 | 2018-01-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10381479B2 (en) | 2017-07-28 | 2019-08-13 | International Business Machines Corporation | Interface charge reduction for SiGe surface |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10544519B2 (en) | 2017-08-25 | 2020-01-28 | Aixtron Se | Method and apparatus for surface preparation prior to epitaxial deposition |
DE102017120963A1 (de) | 2017-09-11 | 2019-03-14 | Aixtron Se | Verfahren zum Ätzen einer Silizium enthaltenden Oberfläche |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10840254B2 (en) | 2018-05-22 | 2020-11-17 | Macronix International Co., Ltd. | Pitch scalable 3D NAND |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
FR3090013B1 (fr) | 2018-12-17 | 2020-12-25 | Commissariat A L Energie Atomique Et Aux Energies Alternatives | Procede de fabrication d’une structure monocristalline |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US12040181B2 (en) | 2019-05-01 | 2024-07-16 | Lam Research Corporation | Modulated atomic layer deposition |
WO2021150419A1 (en) * | 2020-01-24 | 2021-07-29 | Tokyo Electron Limited | High-throughput dry etching of silicon oxide and silicon nitride materials by in-situ autocatalyst formation |
US11398496B2 (en) | 2020-04-27 | 2022-07-26 | Sandisk Technologies Llc | Three-dimensional memory device employing thinned insulating layers and methods for forming the same |
US11489043B2 (en) | 2020-04-27 | 2022-11-01 | Sandisk Technologies Llc | Three-dimensional memory device employing thinned insulating layers and methods for forming the same |
US11562909B2 (en) | 2020-05-22 | 2023-01-24 | Applied Materials, Inc. | Directional selective junction clean with field polymer protections |
US11646372B2 (en) | 2020-09-19 | 2023-05-09 | International Business Machines Corporation | Vertical transistor floating body one transistor DRAM memory cell |
CN115881621A (zh) * | 2023-01-10 | 2023-03-31 | 广州粤芯半导体技术有限公司 | 浅沟槽隔离结构及其制备方法、半导体结构和芯片 |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2942138B2 (ja) * | 1994-03-22 | 1999-08-30 | 三菱電機株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US5789300A (en) * | 1997-02-25 | 1998-08-04 | Advanced Micro Devices, Inc. | Method of making IGFETs in densely and sparsely populated areas of a substrate |
JP3627451B2 (ja) * | 1997-06-04 | 2005-03-09 | 東京エレクトロン株式会社 | 表面処理方法及びその装置 |
US6238582B1 (en) | 1999-03-30 | 2001-05-29 | Veeco Instruments, Inc. | Reactive ion beam etching method and a thin film head fabricated using the method |
US6403491B1 (en) * | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
US7628897B2 (en) | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6903511B2 (en) * | 2003-05-06 | 2005-06-07 | Zond, Inc. | Generation of uniformly-distributed plasma |
US7581511B2 (en) * | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US7196342B2 (en) * | 2004-03-10 | 2007-03-27 | Cymer, Inc. | Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source |
US20060017043A1 (en) * | 2004-07-23 | 2006-01-26 | Dingjun Wu | Method for enhancing fluorine utilization |
KR100689826B1 (ko) * | 2005-03-29 | 2007-03-08 | 삼성전자주식회사 | 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들 |
CN101153396B (zh) * | 2006-09-30 | 2010-06-09 | 中芯国际集成电路制造(上海)有限公司 | 等离子刻蚀方法 |
US7939422B2 (en) | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US8252696B2 (en) * | 2007-10-22 | 2012-08-28 | Applied Materials, Inc. | Selective etching of silicon nitride |
JP4553049B2 (ja) * | 2008-02-29 | 2010-09-29 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
JP5608157B2 (ja) * | 2008-03-21 | 2014-10-15 | アプライド マテリアルズ インコーポレイテッド | 基板エッチングシステム及びプロセスの方法及び装置 |
US8236133B2 (en) | 2008-05-05 | 2012-08-07 | Applied Materials, Inc. | Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
-
2009
- 2009-12-23 US US12/646,030 patent/US8501629B2/en active Active
-
2010
- 2010-11-22 KR KR1020127019437A patent/KR101425629B1/ko active IP Right Grant
- 2010-11-22 CN CN201080059775.6A patent/CN102687249B/zh active Active
- 2010-11-22 JP JP2012545975A patent/JP5931741B2/ja active Active
- 2010-11-22 WO PCT/US2010/057676 patent/WO2011087580A1/en active Application Filing
- 2010-11-22 SG SG2012043469A patent/SG181669A1/en unknown
- 2010-12-16 TW TW099144271A patent/TWI445081B/zh active
-
2014
- 2014-10-23 JP JP2014216327A patent/JP6009520B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
JP2015053501A (ja) | 2015-03-19 |
JP6009520B2 (ja) | 2016-10-19 |
CN102687249A (zh) | 2012-09-19 |
JP5931741B2 (ja) | 2016-06-08 |
KR20120102139A (ko) | 2012-09-17 |
WO2011087580A1 (en) | 2011-07-21 |
US8501629B2 (en) | 2013-08-06 |
CN102687249B (zh) | 2016-01-20 |
JP2013516069A (ja) | 2013-05-09 |
TW201133609A (en) | 2011-10-01 |
KR101425629B1 (ko) | 2014-07-31 |
US20110151674A1 (en) | 2011-06-23 |
SG181669A1 (en) | 2012-07-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI445081B (zh) | 用於含矽薄膜的平滑SiConi蝕刻法 | |
US9093390B2 (en) | Conformal oxide dry etch | |
KR101931134B1 (ko) | 2개의 스테이지들에서의 균일한 건식 에칭 | |
US8475674B2 (en) | High-temperature selective dry etch having reduced post-etch solid residue | |
US8435902B2 (en) | Invertable pattern loading with dry etch | |
KR101764166B1 (ko) | 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각 | |
US9754800B2 (en) | Selective etch for silicon films | |
US8748322B1 (en) | Silicon oxide recess etch | |
US20120009796A1 (en) | Post-ash sidewall healing | |
KR20230117475A (ko) | 원자 층 제어를 사용한 막의 등방성 에칭 | |
SG187276A1 (en) | Post-ash sidewall healing | |
TW201306125A (zh) | 灰化後側壁修復 |