KR20230117475A - 원자 층 제어를 사용한 막의 등방성 에칭 - Google Patents

원자 층 제어를 사용한 막의 등방성 에칭 Download PDF

Info

Publication number
KR20230117475A
KR20230117475A KR1020237025812A KR20237025812A KR20230117475A KR 20230117475 A KR20230117475 A KR 20230117475A KR 1020237025812 A KR1020237025812 A KR 1020237025812A KR 20237025812 A KR20237025812 A KR 20237025812A KR 20230117475 A KR20230117475 A KR 20230117475A
Authority
KR
South Korea
Prior art keywords
substrate
film
control
germanium
processing chamber
Prior art date
Application number
KR1020237025812A
Other languages
English (en)
Inventor
윤상 김
혁준 권
동우 팽
헤 장
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230117475A publication Critical patent/KR20230117475A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Magnetic Heads (AREA)

Abstract

원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 방법은 a) 실리콘 (Si), 게르마늄 (Ge) 및 실리콘 게르마늄 (SiGe) 으로 이루어진 그룹으로부터 선택된 재료를 포함하는 기판을 제공하는 단계를 포함한다. 방법은 b) 기판의 하부를 냉각하는 단계; 프로세싱 챔버 내에 산화제-함유 플라즈마를 생성하는 단계 또는 공급하는 단계 중 하나의 단계; 상기 프로세싱 챔버 내에 상기 산화제-함유 플라즈마를 생성하는 단계 또는 공급하는 단계 동안 급속 가열 (rapid thermal heating) 을 이용하여 미리 결정된 기간 동안 상기 기판의 표면 온도를 상승시키는 단계에 의해 상기 프로세싱 챔버 내 상기 막 상에 희생 층 (sacrificial layer) 을 증착하는 단계를 포함한다. 방법은 c) 상기 프로세싱 챔버를 퍼징하는 단계를 포함한다. 방법은 d) 상기 프로세싱 챔버 내에 에칭 가스 혼합물을 공급함으로써 그리고 플라즈마를 스트라이킹함으로써 상기 희생 층 및 상기 재료를 에칭하는 단계를 포함한다.

Description

원자 층 제어를 사용한 막의 등방성 에칭{ISOTROPIC ETCHING OF FILM WITH ATOMIC LAYER CONTROL}
관련 출원들의 교차-참조
본 출원은 2018년 1월 22일자로 출원된 미국 실용신안 출원 제 15/876,576 호에 대한 우선권을 주장하며, 그리고 또한 2018년 1월 2일자로 출원된 미국 특허 가출원 제 62/612,845 호 및 2017년 1월 27일자로 출원된 미국 특허 가출원 번호 제 62/451,383 호에 대한 같은 이익을 주장한다. 이 미국 출원들의 전체 내용들은 본 명세서에 참조로서 인용된다.
본 개시는 기판 프로세싱 시스템들과 관련되며, 더욱 구체적으로는 원자 층 제어를 사용하여 막의 선택적인 등방성 에칭을 수행하기 위한 시스템들 및 방법들에 관련된다.
본 명세서에 제공되는 배경기술 기술은 본 개시의 본 맥락을 일반적으로 나타내기 위한 목적을 위한 것이다. 본 명세서의 배경기술 섹션에서 기술되는 범위 내에서의 본 발명자들의 성과, 및 출원 시의 선행 기술로서 자격이 없을 수도 있는 기술의 양태들은, 본 개시에 대한 선행 기술로서 명시적으로 또는 암시적으로 받아들여지지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들 상의 막을 에칭하는데 이용될 수도 있다. 기판 프로세싱 시스템들은 전형적으로 프로세싱 챔버, 가스 분배 장치 및 기판 지지부를 포함한다. 프로세싱 동안, 기판은 기판 지지부 상에 배치된다. 상이한 가스 혼합물들은 프로세싱 챔버 내로 유입될 수도 있고 그리고 무선 주파수 (RF) 플라즈마는 화학 반응들을 활성화시키는데 사용될 수도 있다.
7 mm 미만과 같은 작은 피처 사이즈들을 가진 장치들을 제조하기 위해, 나노 스케일 제어를 사용한 재료의 등방성 제거가 요구된다. 습식 화학적 에칭은 패턴 붕괴로 인해 구조적인 손상을 초래한다. 건식 화학적 에칭 또한 구조적인 손상을 초래한다. 원자 층 에칭 (ALE) 은 이온 방향성으로 인해 제한된 등방성 제거를 제공한다. 이러한 프로세스들 모두 에칭될 밑에 놓이는 재료의 표면 거칠기를 증가시킨다.
원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 방법은 a) 실리콘 (Si), 게르마늄 (Ge) 및 실리콘 게르마늄 (SiGe) 으로 이루어진 그룹으로부터 선택된 막을 포함하는 기판을 제공하는 단계를 포함한다. 방법은 b) 기판의 하부를 냉각하는 단계; 프로세싱 챔버 내에 산화제-함유 플라즈마를 생성하는 단계 또는 공급하는 단계 중 하나의 단계; 프로세싱 챔버 내에 산화제-함유 플라즈마를 생성하는 단계 또는 공급하는 단계 동안 급속 가열 (rapid thermal heating) 을 이용하여 미리 결정된 기간 동안 기판의 표면 온도를 상승시키는 단계에 의해 프로세싱 챔버 내 막 상에 희생 층 (sacrificial layer) 을 증착하는 단계를 포함한다. 방법은 c) 프로세싱 챔버를 퍼징하는 단계를 포함한다. 방법은 d) 프로세싱 챔버 내에 에칭 가스 혼합물을 공급함으로써 그리고 플라즈마를 스트라이킹 (striking) 함으로써 희생 층 및 막을 에칭하는 단계를 포함한다.
다른 특징들에서, 방법은 프로세싱 챔버 내의 압력을 20 mTorr (milliTorr) 미만으로부터 10 Torr 까지의 범위 내에서 미리 결정된 압력으로 제어하는 단계를 포함한다. 산화제-함유 플라즈마는 분자 산소 (O2), 분자 수소 (H2), 분자 질소 (N2), 및 헬륨 (He) 으로 이루어진 그룹으로부터 선택된 적어도 하나의 가스 종을 포함한다.
다른 특징들에서, 방법은 프로세싱 챔버 내의 압력을 1 microTorr 미만으로 제어하는 단계를 포함한다. 산화제-함유 플라즈마는 실란 (silane), 게르만 (germane), 포스판 (phosphane) 및 디보란 (diborane) 으로 이루어진 그룹으로부터 선택된 전구체 가스 (precursor gas) 를 포함한다.
다른 특징들에서, 산화제-함유 플라즈마는 산화제-함유 가스 혼합물을 공급함으로써 그리고 프로세싱 챔버 주위에 배치된 유도 코일로 RF 전력을 공급함으로써 프로세싱 챔버 내에서 생성된다. RF 전력은 2 부터 14 MHz 까지의 범위 내의 미리 결정된 주파수에서 0 W 초과 1000 W 이하의 범위 내이다.
다른 특징들에서, 방법은 단계 d) 동안 0 eV 이상 1000 eV 까지의 범위 내에서 기판 지지부로 RF 바이어스 전력을 공급하는 단계를 포함한다. 방법은 단계 b), 단계 c) 및 단계 d) 를 한번 이상 반복하는 단계를 포함한다.
원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 방법은 a) 프로세싱 챔버 내에 게르마늄 (Ge) 의 노출 층 (exposed layer) 을 포함하는 기판을 제공하는 단계를 포함한다. 방법은 b) 미리 결정된 제 1 기간 동안 프로세싱 챔버 내에 수소 가스 종을 포함하는 플라즈마를 생성하는 단계 또는 공급하는 단계 중 하나의 단계를 포함한다. 방법은 c) 미리 결정된 제 1 기간 후, 노출 층 상에 산화물 층을 생성하도록 미리 결정된 제 2 기간 동안 기판을 산소 가스 종을 포함하는 가스 혼합물에 노출시키는 단계를 포함한다. 산화물 층은 게르마늄 일산화물 (GeO) 및 게르마늄 이산화물 (GeO2) 을 포함한다. 산화물 층은 게르마늄 이산화물 (GeO2) 보다 게르마늄 일산화물 (GeO) 을 더 포함한다. 방법은 d) 산화물 층 내에 게르마늄 일산화물 (GeO) 을 제거하도록 미리 결정된 제 3 기간 동안 기판의 표면의 급속 가열을 수행하고 이로써 게르마늄 (Ge) 의 노출 층을 에칭하는 단계를 포함한다.
다른 특징들에서, 기판은 실리콘 (Si) 및 실리콘 이산화물 (SiO2) 중 적어도 하나의 노출 층을 더 포함하고 그리고 게르마늄 (Ge) 의 노출 층을 에칭하는 단계는 게르마늄 (Ge) 의 노출 층에 선택적이다. 방법은 단계 b), 단계 c) 및 단계 d) 를 한번 이상 반복하는 단계를 포함한다.
다른 특징들에서, 단계 b) 에서 플라즈마는 분자 수소 (H2), 디보란 (B2H6), 탄화수소 (CxHy) (여기서 x 및 y는 정수들이고 y/x > 2), 암모니아 (NH3), 및 질소 및 수소를 포함하는 가스 (NxHy) (여기서 x 및 y 는 정수들이고 y/x > 2) 로 이루어진 그룹으로부터 선택된 수소 가스 종을 포함한다.
다른 특징들에서, 단계 b) 에서 플라즈마는 분자 수소 (H2), 분자 질소 (N2) 및 아르곤 (Ar) 으로 이루어진 그룹으로부터 선택된 불활성 가스를 더 포함한다. 미리 결정된 제 1 기간 및 미리 결정된 제 2 기간은 1 초 (second) 부터 120 초 (seconds) 까지의 범위 내이다.
다른 특징들에서, 방법은 단계 b) 후 그리고 단계 c) 전에 그리고 단계 c) 후 그리고 단계 d) 전에 프로세싱 챔버를 퍼징하는 단계를 포함한다. 단계 c) 내에 산소 가스는 분자 산소 (O2), 오존 (O3), 물 (H2O) 및 아산화 질소 (N2O) 로 이루어진 그룹으로부터 선택된다.
다른 특징들에서, 방법은 단계 c) 동안 플라즈마를 스트라이킹하는 단계를 포함한다. 단계 d) 에서 급속 가열은 플래시 램프 및 레이저 중 적어도 하나를 이용하여 기판의 표면을 가열하는 것을 포함한다. 미리 결정된 제 3 기간은 0을 초과하고 1 초 이하이다. 단계 d) 에서 급속 가열은 미리 결정된 제 3 기간 동안 기판의 표면을 400 ℃로부터 800 ℃까지의 범위 내의 온도로 가열한다. 산화물 층은 90% 이상의 게르마늄 일산화물 및 10 % 이하의 게르마늄 이산화물을 포함한다. 방법은 단계 b) 전에 게르마늄의 노출 층 상에 천연의 게르마늄 이산화물 (GeO2) 층을 게르마늄 일산화물 (GeO) 로 변환하는 단계를 포함한다.
본 개시의 적용의 다른 범위들은 상세한 설명, 청구항 및 도면들로부터 분명해질 것이다. 상세한 설명 및 특정 예들은 단지 설명의 목적들을 위해 의도된 것이며, 개시의 범위를 제한하도록 의도된 것이 아니다.
본 개시는 상세한 설명 및 첨부된 도면들로부터 더욱 완전히 이해될 것이다.
도 1 내지 도 3은 본 개시에 따라 에칭될 수 있는 게이트 올 어라운드 트랜지스터 (gate all around transistor) 의 예들을 도시한다.
도 4는 본 개시에 따른 등방성 에칭을 수행하기 위한 기판 프로세싱 시스템의 일 예의 기능적 블록도이다.
도 5는 본 개시에 따른 등방성 에칭을 수행하기 위한 기판 프로세싱 시스템의 또 다른 예의 기능적 블록도이다.
도 6은 본 개시에 따른 등방성 에칭을 수행하기 위한 방법의 예를 예시하는 흐름도이다.
도 7은 본 개시에 따른 게르마늄의 선택적 에칭을 수행하기 위한 방법의 일 예를 예시하는 흐름도이다.
도면들에서, 참조 번호들은 유사 및/또는 동일한 구성요소들을 식별하도록 재사용될 수도 있다.
본 개시에 따른 시스템들 및 방법들은 다양한 접근법들을 이용하여 원자 층 제어로 빠른 등방성 에칭을 수행한다. 제 1 접근법에서, 기판의 표적 층은 플라즈마를 이용하여 산화되고, 플라즈마 산화 동안 펄스 열 원에 노출된다. 에칭은 산화 및 대략 표적 층의 단일층 (monolayer) 를 제거하도록 순차적으로 수행된다. 단계들은 한번 이상 반복된다.
더욱 구체적으로, 본 명세서에 기술된 시스템들 및 방법들은 표적 층 상에 박형 희생 층을 성장시키도록 산화제-함유 플라즈마를 이용한다. 일부 예들에서, 표적 층은 실리콘 (Si), 게르마늄 (Ge), 실리콘 게르마늄 (SiaGeb) (여기서 a 및 b는 정수들임) (일반적으로 SiGe) 또는 다른 재료를 포함한다. 일부 예들에서, 박형 희생 층은 표적 층의 산화물을 포함한다. 예를 들어, 표적 층이 Si를 포함할 때, 박형 희생 층은 실리콘 이산화물 (SiO2) 을 포함한다.
산화 플라즈마에 노출되는 동안, 펄스 열 원 (pulsed thermal heat source) 은 미리 결정된 기간 동안 급속 가열을 제공한다. 짧은 지속 기간으로 인해 가열은 기판의 상부 표면에서 국부화된다. 일 예에서, 펄스 열원은 플래시 열 램프, 레이저 또는 마이크로파 소스 중 적어도 하나를 포함한다. 기판의 나머지 (또는 하부) 부분들은 보다 낮은 온도를 유지하도록 기판 지지부에 의해 냉각된다. 상부 표면에서의 급속 가열 및 기판의 냉각은 프로세스가 열적 예산들의 초과 없이 (without exceeding thermal budgets) 수행되도록 한다. 또한, 펄스 열 원에 의한 급속 가열은 열적 스트레스 및 대응하는 손상을 피하도록 짧은 기간 동안 수행된다.
이어서, 플라즈마-기반의 에칭 프로세스는 플라즈마-기반의 에칭 프로세스를 이용하여 박형 희생 층 및 표적 층의 고-제어 (highly-controlled) 부분을 제거하도록 수행된다. 일부 예들에서, 2-단계 프로세스는 사이클 각각 동안 표적 층의 단일층을 제거한다. 일부 예들에서, 플라즈마-기반의 에칭 프로세스는 불소 (F), 염소 (Cl) 및/또는 브롬 (Br) 으로 이루어진 그룹으로부터 선택된 에칭 가스 종을 포함하는 가스 혼합물을 이용한다. 일부 예들에서, 가스 혼합물은 불활성 가스와 같은 하나 이상의 다른 가스를 더 포함한다. 2-단계 프로세스는 표적 층을 등방성으로 에칭 백 (etch back) 하도록 필요에 따라 반복된다. 전술한 프로세스는 종래의 방법들에 비해 감소된 표면 거칠기를 갖는 등방성 에칭을 수행한다.
제 2 접근법에서, 원자 층 제어를 사용한 Ge의 등방성 에칭은 기판을 (플라즈마가 없는 또는 플라즈마가 있는) 산소 종을 포함하는 가스에 노출되기 전에 미리 결정된 기간 동안 수소 종을 포함하는 플라즈마에 노출시키는 것을 포함한다. 플라즈마 전처리 및 산화 단계들은 대부분 GeO (및 일부 GeO2) 를 포함하는 Ge 상에 단일층을 생성한다. 전처리 단계는 수소 플라즈마 처리가 없이 산화 단계를 수행하는 것과 비교하여 단일층에 GeO 의 양을 증가시킨다 (그리고 GeO2를 감소시킨다). 플라즈마 전처리 단계 없이 (GeO 및 GeO2) 에 대한 GeO 의 비율은 0.4 미만이다. 본 명세서에 기술된 전처리 후 산화될 때, (GeO 및 GeO2) 에 대한 GeO 의 비율은 0.9 를 초과하도록 상승된다. 보다 높은 비율의 (GeO2보다 휘발성인) GeO는 더욱 쉽게 제거된다.
기판을 산소 종을 포함하는 가스로 노출시킨 후에, 기판의 표면의 급속 가열은 GeO의 단일층을 탈착하도록 수행된다. Si는 SiO2의 보다 높은 끓는 점 때문에 급속 열 원에 의해 제거되지 않는 SiO2 패시베이팅 층 (passivating layer) 아래에 위치한다.
산화가 저온에서 수행되고 그리고 대략 하나의 단일층으로 제한되기 때문에 GeO 성장은 자기-제한적이다. 결과적으로, Ge는 원자 두께 레벨 (atomic thickness level) 로 제거될 수 있다. 일부 예들에서, 사이클 마다 대략 Ge의 0.6 nm가 제거된다. 매우 짧은 인터벌들 동안 오로지 기판의 상부 표면만 가열되기 때문에, 열적 예산은 매우 낮을 수 있고 그리고 확산이 방지될 수 있다.
일부 예들에서, 본 명세서에 기술된 시스템들 및 방법들은 3D 트랜지스터에 대한 GAA (Gate All Around) 구조의 층을 선택적으로 에칭하는데 이용된다. 프로세스 조건들을 적절하게 선택함으로써, 표적 재료의 선택적 에칭이 하나 이상의 다른 노출된 재료들에 대해 수행될 수도 있다.
이제 도 1 내지 도 3을 참조하면, 시스템 및 방법들이 다른 기판들에 대해 이용될 수도 있지만, 시스템들 및 방법들은 GAA 트랜지스터들 (10) 을 에칭하도록 이용될 수도 있다. 도 1 및 도 2에서, GAA 트랜지스터들 (10) 은 소스 및 드레인 영역들 각각 (14, 20) 을 포함한다. 게이트 영역들 (24) 은 소스 영역 (14) 과 드래인 영역 (20) 사이의 채널 영역들 (30) 주위에 형성된다. 채널 영역들 (30) 은 실리콘 (Si), 게르마늄 (Ge) 또는 SiGe 나노와이어들 (nanowires) 을 이용하여 형성될 수도 있다. 일부 예들에서, 본 명세서에 기술된 시스템들 및 방법들은 나노와이어들을 에칭하는데 이용된다. 트랜지스터들 중 일부는 PMOSTFET들 (40) 일 수도 있고 그리고 트렌지스터들 중 일부는 NMOSFET들 (42) 일 수도 있다. 도 3에서, 채널 영역들 (30) 은 산화물 층 (32) 및 게이트 영역들 (24) 에 의해 둘러싸인다.
이제 도 4를 참조하면, 기판 프로세싱 시스템 (50) 이 도시된다. 기판 프로세싱 시스템은 ICP (inductively coupled plasma) 소스를 포함하지만 다른 플라즈마 소스들이 이용될 수도 있다. 기판 프로세싱 서브시스템 (50) 은 프로세싱 챔버 (58) 및 기판 (66) 을 지지하기 위한 기판 지지부 (62) 를 포함한다. 일부 예들에서, 기판 지지부 (62) 는 정전 척 (electrostatic chuck) 또는 진공 척 (vacuum chuck) 을 포함한다. 일부 실시예에서, 기판 지지부 (62) 는 온도 제어된다. 예를 들어, 기판 지지부 (62) 는 하나 이상의 구역들에 배치될 수도 있는 복수의 유체 채널들 (68) 및 히터들 (72) 을 포함한다. 기판 지지부 (26) 는 전극 (76) 을 더 포함할 수도 있다.
온도 및/또는 압력 센서들과 같은 하나 이상의 센서들 (80) 은 온도 및/또는 압력을 각각 센싱할 수 있도록 프로세싱 챔버 (58) 내에 배치될 수도 있다. 밸브 (82) 및 펌프 (84) 는 프로세싱 챔버 (58) 내의 압력을 제어하는데 그리고/또는 프로세싱 챔버 (58) 로부터 반응물들을 비우는데 이용될 수도 있다. 일부 예들에서, 프로세싱 챔버 (58) 내의 압력은 20 mT와 10 T 사이의 미리 결정된 범위 내에서 유지된다.
열 원 (86) 은 산화 플라즈마의 존재 하에 미리 결정된 기간 동안 국부적인 열 펄스를 기판 (66) 의 상부 표면으로 제공한다. 열 원 (86) 은 유전체 윈도우와 같은 윈도우 (88) 에 근접하여 프로세싱 챔버 (58) 의 외부에 배치될 수도 있다. 일부 예들에서, 열 원 (86) 은 플래시 램프, 레이저 및/또는 마이크로파 램프를 포함한다.
온도 제어 시스템 (90) 은 기판 지지부 및 기판 (66) 의 온도를 제어하는데 이용된다. 온도 제어 시스템 (90) 은 유체 채널들 (68) 에 연결된 펌프 (94) 를 통해 유체 소스 (92) 로부터 냉각 유체의 공급을 제어할 수도 있다. 다른 온도들도 이용될 수 있지만, 일부 예들에서 유체 소스 (92) 는 20 ℃ 이하의 온도로 유지된다. 다른 온도들이 이용될 수도 있지만, 일부 예들에서 유동 소스 (92) 는 0 ℃ 이하의 온도로 유지된다. 다른 온도들도 이용될 수 있지만, 일부 예들에서 유체 소스 (92) 는 -30 ℃ 이하의 온도로 유지된다. 다른 온도들도 이용될 수 있지만, 일부 예들에서 유체 소스 (92) 는 -60 ℃ 이하의 온도로 유지된다.
온도 제어 시스템 (90) 은 또한 히터 (72) 의 동작을 제어할 수도 있다. 온도 제어 시스템 (90) 은 기판 지지부 (62) 의 하나 이상의 위치들 또는 구역들의 온도들을 센싱하도록 하나 이상의 온도 센서들 (96) 을 포함할 수도 있다.
가스 전달 시스템 (100) 은 하나 이상의 가스 소스들 (104), 하나 이상의 밸브들 (106), 하나 이상의 질량 유량 제어기들 (mass flow controllers) (108) 및 혼합 매니폴드 (mixing manifold) (110) 를 포함한다. 가스 전달 시스템 (100) 은 프로세스의 산화 및 에칭 부분들 각각 동안 산화 플라즈마 가스 혼합물 및 에칭 플라즈마 가스 혼합물을 프로세싱 챔버 (58) 로 공급한다. 일부 예들에서, 산화 플라즈마 가스 혼합물은 전구체 가스, 분자 수소 (H2), 분자 산소 (O2), 분자 질소 (N2) 및/또는 헬륨 (He) 을 포함한다. 일부 예들에서, 프로세싱 챔버 (58) 내의 압력은 20 mTorr와 10 Torr 사이의 범위 내에서 유지된다.
다른 전구체 가스들이 이용될 수 있지만, 다른 예들에서 전구체 가스는 실란 (SiH4), 게르만 (GeH4), 포스판 (PH3), 및/또는 디보란 (B2H6) 을 포함한다. 일부 예들에서, 프로세싱 챔버 (58) 내의 압력은 이러한 가스들에 대해 10-6 Torr 이하로 유지될 수도 있다.
RF 생성기 (120-1) 는 프로세싱 챔버 (58) 의 외측 벽을 둘러싸는 코일 (126) 로 RF 전력을 출력하는 매칭 네트워크 (124) 및 RF 소스 (122) 를 포함한다. RF 생성기 (120-1) 는 플라즈마를 스트라이킹하는 프로세싱 챔버 내에서 자기장을 생성한다. 또 다른 RF 생성기 (120-2) 는 에칭 동안 기판 지지부 (62) 내의 전극 (76) 으로 RF 바이어스를 공급하는데 이용될 수도 있다. 제어기 (130) 는 프로세스를 제어하도록 하나 이상의 센서들 (80), 밸브 (82) 및 펌프 (84), 온도 제어 시스템 (90), 열 원 (86), RF 생성기들 (120-1 및/또는 120-2), 및 가스 전달 시스템 (100) 과 통신한다.
이제 도 5를 참고하면, ICP 소스는 마이크로파 플라즈마 소스 또는 다른 원격 플라즈마 소스와 같은 원격 플라즈마 소스 (140) 에 의해 대체될 수 있다.
이제 도 6을 참조하면, 본 개시에 따른 기판 상의 막을 에칭하기 위한 방법 (200) 이 도시된다. 210에서, 기판은 프로세싱 챔버 내에 배치된다. 212에서, 기판의 온도는 미리 결정된 온도 범위 내에서 미리 결정된 온도로 제어된다. 일부 예들에서, 미리 결정된 온도 범위는 -80 ℃로부터 20 ℃까지이다.
214에서, 전구체 및 플라즈마 가스들은 프로세싱 챔버로 공급되고 그리고 산화 플라즈마는 프로세싱 챔버 내에서 스트라이킹된다. ICP가 이용될 때, 다른 전력 레벨들 및 주파수들이 이용될 수 있지만, 일부 예들에서 RF 전력은 2로부터 14 MHz까지에서 0으로부터 3000 W의 범위로 공급된다. 대안적으로, 산화 플라즈마는 마이크로파 플라즈마 소스와 같은 원격 플라즈마 소스에 의해 프로세싱 챔버로 공급될 수 있다.
218에서, 기판은 산화 플라즈마의 존재 하에서 미리 결정된 기간 동안 기판의 상부 표면을 가열하도록 열 원에 노출된다. 일부 예들에서, 기판의 상부 표면은 미리 결정된 온도 범위 내의 온도로 가열된다. 일부 예들에서, 미리 결정된 온도 범위는 200 ℃으로부터 1000 ℃까지이다. 일부 예들에서, 미리 결정된 기간은 0으로부터 20 ms까지의 범위 내이다. 222에서, 플라즈마가 전구체 또는 챔버로의 산화 플라즈마의 공급이 중단된다. 일부 예들에서, 산화 플라즈마는 1 초와 5 초 (seconds) 사이의 기간 동안 유지된다.
224에서, RF 바이어스는 기판 지지부로 선택 가능하게 공급된다. 일부 예들에서, RF 바이어스 전력은 0으로부터 500 Watts까지의 범위 내이며 그리고 RF 바이어스는 0으로부터 1000 eV까지의 범위 내에서 공급된다. 226에서, 플라즈마 에칭 가스 혼합물은 프로세싱 챔버로 공급되고 그리고 에칭 플라즈마는 프로세싱 챔버 내로 스트라이킹되고 또는 에칭 플라즈마는 미리 결정된 에칭 기간 동안 원격 플라즈마 소스로부터 프로세싱 챔버로 공급된다. 230에서, 에칭 플라즈마는 소화되거나 또는 프로세싱 챔버로 에칭 플라즈마의 공급이 중단된다. 일부 예들에서, 에칭 플라즈마는 1과 5 초 (seconds) 사이의 기간 동안 유지된다. 234에서 결정된 바와 같이 추가적인 사이클들이 요구되면, 프로세스는 214로 되돌아간다.
이제 도 7을 참조하면, 실리콘 (Si) 종을 포함하는 다른 노출된 막들에 대한 높은 선택성으로 및 원자 층 정밀도로 게르마늄 (Ge) 을 등방성으로 에칭하기 위한 방법이 도시된다. 일부 프로세스들에서, (Si 또는 SiO2와 같은) 실리콘 (Si) 종 또는 Si와 유사한 또 다른 재료를 포함하는 막의 제거 없이 Ge를 제거하는 것이 바람직하다. 예를 들어, Si 또는 SiO2에 대한 Ge의 선택적 에칭은 Ge 나노와이어들을 에칭할 때 수행될 수도 있다.
Ge를 선택적으로 에칭하기 위한 종래의 방법들은 건식 에칭 및 습식 에칭을 포함한다. 플라즈마를 이용하는 건식 에칭은 바람직하지 않게 표면에 거칠기를 초래하는 경향이 있다. 습식 에칭 프로세스들은 패턴 붕괴와 같은 패턴 손상을 초래하는 경향이 있다. 다른 종래의 프로세스들에서, Ge는 (열적으로 또는 오존 또는 산소-함유 플라즈마를 사용하여) 산화된다. 노출된 Si도 또한 산화된다. GeO2는 SiO2와 관련하여 열적으로 선택적으로 제거된다. 그러나, 이러한 제거 프로세스는 열적 예산 요구사항들과 보통 양립할 수 없는 매우 고온 (일반적으로 450 ℃로부터 850 ℃까지) 을 요구한다.
본 개시에 따른 원자 층 제어로 기판 상의 Ge 막을 등방성으로 에칭하기 위한 방법은 (플라즈마가 있는 또는 플라즈마가 없는) 산소 종을 포함하는 가스로의 노출 전에 미리 결정된 기간 동안 기판을 수소 종을 포함하는 플라즈마에 노출하는 단계를 포함한다. 전처리 및 산화 단계들은 대부분 GeO (및 일부 GeO2) 를 포함하는 Ge 상에 단일층을 생성한다. 전처리 단계는 수소 플라즈마 처리가 없이 산화 단계를 수행하는 것과 비교하여 (GeO 및 GeO2) 에 대한 GeO 의 비율을 증가시킨다. 전처리 단계 없이 (GeO 및 GeO2) 에 대한 GeO 의 비율은 0.4 미만이다. 본 명세서에 기술된 전처리 후 산화될 때, (GeO 및 GeO2) 에 대한 GeO 의 비율은 0.9 를 초과하도록 상승된다. 보다 높은 비율의 (GeO2보다 휘발성인) GeO는 더욱 쉽게 제거된다.
기판을 산소 종을 포함하는 가스로 노출시킨 후, 기판의 표면의 급속 가열은 GeO의 단일층을 제거하도록 수행된다. Si는 SiO2 패시베이팅 층 아래에 위치되고 그리고 SiO2의 보다 높은 끓는 점으로 인해 제거되지 않는다.
본 명세서에 기술된 방법에서, 저온에서 수행된 산화가 대략 하나의 단일층으로 제한되기 때문에 GeO 성장은 자기-제한적이다. 결과적으로, Ge는 원자 두께 레벨 (atomic thickness level) 로 제거될 수 있다. 일부 예들에서, 사이클 마다 대략 0.6 nm의 Ge가 제거된다. 매우 짧은 인터벌들 동안 오로지 기판의 상부 표면만 가열되기 때문에, 열적 예산은 매우 낮을 수 있고 그리고 확산이 방지될 수 있다.
이제 도 7을 참조하면, 실리콘 (Si) 종을 포함하는 막에 대해 선택적으로 게르마늄 (Ge) 을 에칭하기 위한 방법 (300) 이 도시된다. 310에서, 노출된 Ge (및 선택적으로 노출된 Si 또는 SiO2) 를 포함하는 기판은 프로세싱 챔버 내에 배치된다. 312에서, 기판의 온도 및 프로세싱 챔버 내의 압력은 (필요에 따라 다른 프로세스 파라미터들과 함께) 미리 결정된 값들로 제어된다.
314에서, 수소 종을 포함하는 가스 혼합물은 프로세싱 챔버로 공급되고 그리고 플라즈마는 기판의 노출된 표면들을 처리하도록 프로세싱 챔버 내에서 스트라이킹된다. 318에서, 미리 결정된 기간 후에, 수소 종을 포함하는 플라즈마는 소화되며 그리고 플라즈마 가스의 흐름은 중단된다. 324에서, 프로세스 챔버는 불활성 가스를 사용하여 미리 결정된 기간 동안 퍼징된다. 일부 예들에서, 불활성 가스는 아르곤 (Ar), 분자 질소 (N2) 또는 헬륨 (He) 으로 이루어진 그룹으로부터 선택된다.
328에서, 기판은 미리 결정된 기간 동안 산소 종을 포함하는 산화 가스로 노출된다. 일부 예들에서, 플라즈마는 산화 단계 동안 챔버 내에서 스트라이킹된다. 다른 예들에서, 플라즈마는 산화 단계 동안 이용되지 않는다. 산화 가스는 전처리 단계로 인해 높은 비율의 (GeO 및 GeO2) 에 대한 GeO를 포함하는 단일층을 형성한다. 일부 예들에서, 비율은 90% 이상이다.
334에서, 프로세싱 챔버는 미리 결정된 기간 동안 퍼징된다. 336에서, 기판의 상부 표면은 미리 결정된 기간 동안 기판의 표면의 온도를 상승시키도록 급속 가열에 노출된다. 일부 예들에서, 프로세싱 챔버는 급속 가열 동안 불활성 가스를 사용하여 펌핑된다.
340에서, 방법은 추가적인 사이클들이 수행될 것인지 여부를 결정한다. 340이 참이라면, 방법은 314로 되돌아가고 그렇지 않다면 방법은 종료된다.
일부 예들에서, 수소 종을 포함하는 플라즈마 가스는 분자 수소 (H2), 디보란 (B2H6), 탄화수소 (CxHy) (여기서 x 및 y는 정수들이고 y/x > 2), 및/또는 암모니아 (NH3) 또는 질소 및 수소를 포함하는 다른 가스 (NxHy) (여기서 x 및 y 는 정수들이고 y/x > 2) 로 이루어진 그룹으로부터 선택된다. 일부 예들에서, 환원성 유기 화합물들 (reducing organic compounds) 이 이용될 수 있다. 일부 예들에서, 프로세싱 챔버로의 플라즈마 가스의 플로우 레이트는 5로부터 1000 sccm (standard cubic centimeters) 까지의 범위 내이다.
일부 예들에서, 수소를 포함하는 가스는 He, Ar 및/또는 N2와 같은 불활성 가스와 혼합된다. 일부 예들에서, 수소 종은 플라즈마 가스 혼합물의 0 % 초과 및 99 % 이하를 포함한다. 일부 예들에서, 기판은 1 초 (s) 부터 120 초 (seconds) 까지의 범위 내의 미리 결정된 기간 동안 플라즈마 가스에 노출된다. 일부 예들에서, RF 전력은 수소 플라즈마 처리 동안 5 W로부터 3000 W까지의 범위 내이다. 일부 예들에서, 챔버 압력은 수소 플라즈마 처리 동안 1 mT로부터 20 T까지의 압력 범위에서 제어된다. 일부 예들에서, 기판 온도는 수소 플라즈마 처리 동안 50 ℃ 이하로 유지된다.
일부 예들에서, TCP (transformer coupled plasma) 가 이용된다. 다른 예들에서, ICP (inductively coupled plasma) 가 이용된다. 일부 예들에서, 챔버 압력은 전처리 동안 플라즈마가 스트라이킹될 때 180 mT 이상으로 유지된다. 일부 예들에서, 수소 종을 사용한 플라즈마는 10 초 (s) 부터 60 초 (s) 까지의 범위 내의 기간 동안 공급된다. 일부 예들에서, Ar 및 H2을 포함하는 플라즈마 가스 혼합물은 90으로부터 500 sccm까지의 범위 내의 플로우 레이트로 공급된다.
일부 예들에서, 퍼징 단계는 5로부터 1000 sccm까지의 범위 내의 플로우 레이트에서 불활성 가스를 챔버로 공급하는 단계를 포함한다. 일부 예들에서, 불활성 가스는 Ar, N2 또는 He으로 이루어진 그룹으로부터 선택된다. 일부 예들에서, 프로세싱 챔버는 1 초 (s) 부터 120 초 (seconds) 까지의 범위 내의 미리 결정된 기간 동안 퍼징된다. 일부 예들에서, 챔버 압력은 퍼징 동안 1 mT로부터 20 T까지의 범위 내에서 제어된다. 일부 예들에서, 기판 온도는 퍼징 동안 50 ℃ 이하로 유지된다.
일부 예들에서, 산화 단계는 산소 종을 포함하는 가스 혼합물을 공급하는 단계를 포함한다. 산소 종을 포함하는 가스들의 예들은 분자 산소 (O2), 오존 (O3), 물 (H2O), 및/또는 아산화 질소 (N2O) 를 포함한다. 일부 예들에서, 플라즈마는 산화 단계 동안 스트라이킹된다. 일부 예들에서, 플라즈마는 산화 단계 동안 스트라이킹되지 않는다.
일부 예들에서, 산화 가스의 플로우 레이트는 5로부터 1000 sccm까지의 범위 내이다. 일부 예들에서, 기판은 1 초 (s) 부터 120 초 (seconds) 까지의 범위 내의 미리 결정된 기간 동안 산화 가스에 노출된다. 일부 예들에서, RF 전력은 5 W로부터 3000 W까지의 범위 내에서 공급된다. 일부 예들에서, 챔버 압력은 산화 단계 동안 1 mT로부터 20 T까지의 범위 내에서 제어된다. 일부 예들에서, 기판 온도는 산화 단계 동안 50 ℃ 이하로 유지된다.
다른 압력들이 이용될 수 있지만, 일부 예들에서 챔버 압력은 산화 단계 동안 500 mT 이상으로 유지된다. 보다 높은 압력이 산화 기간을 단축하도록 산화 단계 동안 이용될 수 있다. 일부 예들에서, 산화 단계는 10 초 (s) 부터 60 초 (s) 까지의 범위 내의 기간 동안 수행된다. 일부 예들에서, O2는 150으로부터 500 sccm까지의 범위 내의 플로우 레이트로 공급된다.
일부 예들에서, 급속 가열은 플래시 램프 또는 레이저 중 적어도 하나를 이용하여 기판의 표면을 가열하는 것을 포함한다. 일부 예들에서, 기판의 표면은 미리 결정된 기간 동안 200 ℃로부터 800 ℃까지의 범위 내의 온도로 가열된다. 일부 예들에서, 플래시 램프가 이용될 때, 노출 기간은 1으로부터 1000 밀리초까지의 범위 내이다. 일부 예들에서, 레이저가 이용될 때, 노출 기간은 1 펨토초(femtoseconds)로부터 1000 ms까지의 범위 내이다. 일부 예들에서, 챔버 압력은 급속 가열 동안 1 mT로부터 20 T까지의 범위 내에서 제어된다. 일부 예들에서, 기판 온도는 급속 가열 동안 50 ℃ 이하로 유지된다.
일부 예들에서, 기판의 표면 온도는 (예를 들어 400 ℃, 450 ℃, 또는 500 ℃와 같은) 400 ℃로부터 800 ℃까지의 온도 범위 내의 미리 결정된 온도 이상으로 일시적으로 상승된다. 일부 예들에서, 각각의 급속 가열 단계는 단일 펄스 또는 펄스들의 그룹을 포함한다.
본 개시에 따른 방법은 천연의 산화물들 (GeO2) 을 GeO로 변환할 수 있다. GeO2의 제거 후에, 수소-풍부 Ge 표면은 급속 가열을 이용하여 제거되는 GeO로 선택적으로 변환된다. 본 명세서에 기술된 방법 동안, GeO는 노출된 Ge 표면들 상에서 성장된다. Si는 저온에서 SiO2를 성장시키는 것을 계속하지 않기 때문에, Si는 SiO2의 박형 층으로 패시베이팅될 수 있다. SiO2의 끓는 점이 2000 ℃를 초과하기 때문에 Ge만 제거된다. 열적 프로세스는 산화 가스가 아닌 불활성 가스를 사용하여 수행되기 때문에, 산화는 급속 가열 동안 발생하지 않는다.
천연 산화물들의 경우, GeO2는 수소 종을 포함하는 플라즈마에 의해 GeO로 환원될 수 있다. 첫번째 싸이클이 GeO2를 제거한 후에, H-풍부 Ge 표면은 GeO2로의 과 산화를 방지하며 그리고 GeO가 생성된다. 수소 풍부 Ge 표면은 또한 산화 동안 Ge(OH)2를 획득할 수도 있다. Ge(OH)2는 열적 처리 하에서 GeO 및 H2O로 분해된다. 결과적으로 근소하게 다른 반응 경로이지만 프로세스는 여전히 작동한다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 기술된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 (isotropically) 에칭하기 위한 시스템에 있어서,
    프로세싱 챔버에서 기판을 지지하도록 구성된 기판 지지부로서, 상기 기판은 실리콘 (Si), 게르마늄 (Ge) 및 실리콘 게르마늄 (SiGe) 으로 이루어진 그룹으로부터 선택된 막을 포함하는, 상기 기판 지지부; 및
    제어기를 포함하고,
    상기 제어기는,
    상기 기판의 하부를 냉각하는 동작,
    상기 프로세싱 챔버 내에 산화제-함유 플라즈마를 생성하는 동작 및 공급하는 동작 중 하나의 동작,
    상기 프로세싱 챔버 내에 상기 산화제-함유 플라즈마를 생성하는 동작 또는 공급하는 동작 동안 급속 가열 (rapid thermal heating) 을 이용하여 미리 결정된 기간 동안 상기 기판의 표면 온도를 상승시키는 동작,
    상기 프로세싱 챔버를 퍼징하는 동작, 및
    상기 프로세싱 챔버 내에 에칭 가스 혼합물을 공급하고 플라즈마를 스트라이킹 (striking) 함으로써, 희생 층 (sacrificial layer) 및 상기 막을 에칭하는 동작에 의해, 상기 막 상에 상기 희생 층을 증착하기 위해 가스 전달 시스템, 온도 제어 시스템, 열 원 (heat source), RF 생성기, 및 밸브 및 펌프를 제어하도록 구성되는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 제어기는 기판 지지부를 -80 ℃로부터 20 ℃까지의 범위 내의 미리 결정된 온도로 유지하기 위해 상기 온도 제어 시스템을 제어하도록 구성되는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  3. 제 1 항에 있어서,
    상기 제어기는 상기 급속 가열 동안 상기 기판의 상기 표면 온도를 200 ℃로부터 1000 ℃까지의 범위 내에서 미리 결정된 온도로 상승시키기 위해 상기 열 원을 제어하도록 구성되는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  4. 제 3 항에 있어서,
    상기 미리 결정된 기간은 0을 초과하고 20 밀리초 이하의 범위 내인, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  5. 제 1 항에 있어서,
    상기 에칭 가스 혼합물은 불소 (F), 염소 (Cl) 및 브롬 (Br) 으로 이루어진 그룹으로부터 선택된 가스 종 (gas species) 을 포함하는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  6. 제 1 항에 있어서,
    상기 제어기는,
    상기 프로세싱 챔버 내의 압력을 20 mTorr 미만으로부터 10 Torr 까지의 범위 내에서 미리 결정된 압력으로 제어하도록 더 구성되고,
    상기 산화제-함유 플라즈마는 분자 산소 (O2), 분자 수소 (H2), 분자 질소 (N2), 및 헬륨 (He) 으로 이루어진 그룹으로부터 선택된 적어도 하나의 가스 종을 포함하는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  7. 제 1 항에 있어서,
    상기 제어기는,
    상기 프로세싱 챔버 내의 압력을 1 microTorr 미만으로 제어하도록 더 구성되고,
    상기 산화제-함유 플라즈마는 실란 (silane), 게르만 (germane), 포스판 (phosphane) 및 디보란 (diborane) 으로 이루어진 그룹으로부터 선택된 전구체 가스 (precursor gas) 를 포함하는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  8. 제 1 항에 있어서,
    상기 제어기는 산화제-함유 가스 혼합물을 공급하기 위해 상기 가스 전달 시스템을 제어하고 상기 프로세싱 챔버 주위에 배치된 (arrange) 유도 코일로 RF 전력을 공급하기 위해 상기 RF 생성기를 제어함으로써, 상기 프로세싱 챔버 내에서 상기 산화제-함유 플라즈마의 생성을 제어하도록 구성되는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  9. 제 8 항에 있어서,
    상기 RF 전력은 2 ㎒로부터 14 ㎒까지의 범위 내의 미리 결정된 주파수에서 0 W 초과 3000 W 이하의 범위 내인, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  10. 제 2 항에 있어서,
    상기 제어기는 0 eV 초과 1000 eV까지의 범위 내에서 상기 기판 지지부로 RF 바이어스 전력의 공급을 제어하도록 더 구성되는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  11. 제 1 항에 있어서,
    상기 가스 전달 시스템, 상기 온도 제어 시스템, 상기 열 원, 상기 RF 생성기, 및 상기 밸브 및 펌프 중 적어도 하나를 더 포함하는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  12. 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템에 있어서,
    프로세싱 챔버에서 기판을 지지하도록 구성된 기판 지지부로서, 상기 기판은 게르마늄 (Ge) 의 노출 층 (exposed layer) 을 포함하는, 상기 기판 지지부; 및
    제어기를 포함하고,
    상기 제어기는,
    미리 결정된 제 1 기간 동안 상기 프로세싱 챔버 내에 수소 가스 종을 포함하는 플라즈마를 생성하는 동작 및 공급하는 동작 중 하나의 동작,
    상기 미리 결정된 제 1 기간 후, 상기 노출 층 상에 산화물 층을 생성하도록 미리 결정된 제 2 기간 동안 상기 기판을 산소 가스 종을 포함하는 가스 혼합물에 노출시키는 동작으로서,
    상기 산화물 층은 게르마늄 일산화물 (GeO) 및 게르마늄 이산화물 (GeO2) 을 포함하고, 그리고
    상기 산화물 층은 상기 게르마늄 이산화물 (GeO2) 보다 상기 게르마늄 일산화물 (GeO) 을 더 포함하는, 상기 기판을 산소 가스 종을 포함하는 가스 혼합물에 노출시키는 동작; 및
    상기 산화물 층 내의 상기 게르마늄 일산화물 (GeO) 을 제거하도록 미리 결정된 제 3 기간 동안 상기 기판의 표면의 급속 가열을 수행하고 이로써 상기 게르마늄 (Ge) 의 상기 노출 층을 에칭하는 동작을 위해 가스 전달 시스템, 온도 제어 시스템, 열 원, RF 생성기, 및 밸브 및 펌프를 제어하도록 구성되는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  13. 제 12 항에 있어서,
    상기 플라즈마는 분자 수소 (H2), 디보란 (B2H6), 탄화수소 (CxHy) (여기서 x 및 y는 정수들이고 y/x > 2), 암모니아 (NH3), 및 질소 및 수소를 포함하는 가스 (NxHy) (여기서 x 및 y 는 정수들이고 y/x > 2) 로 이루어진 그룹으로부터 선택된 수소 가스 종을 포함하는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  14. 제 13 항에 있어서,
    상기 플라즈마는 분자 수소 (H2), 분자 질소 (N2) 및 아르곤 (Ar) 으로 이루어진 그룹으로부터 선택된 불활성 가스를 더 포함하는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  15. 제 12 항에 있어서,
    실리콘 (Si) 및 실리콘 이산화물 (SiO2) 중 적어도 하나의 노출 층을 더 포함하고 그리고 상기 게르마늄 (Ge) 의 노출 층을 에칭하는 단계는 상기 게르마늄 (Ge) 의 노출 층에 선택적이고,
    상기 미리 결정된 제 1 기간 및 상기 미리 결정된 제 2 기간은 1 초 (second) 부터 120 초 (seconds) 까지의 범위 내이고, 그리고
    상기 산소 가스 종은 분자 산소 (O2), 오존 (O3), 물 (H2O) 및 아산화 질소 (N2O) 로 이루어진 그룹으로부터 선택되는 것 중 적어도 하나인, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  16. 제 12 항에 있어서,
    상기 제어기는 플래시 램프 및 레이저 중 적어도 하나를 사용하여 상기 기판의 상기 표면을 가열하기 위해 상기 열 원을 제어하도록 구성되는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  17. 제 12 항에 있어서,
    상기 미리 결정된 제 3 기간은 0을 초과하고 1 초 이하이고, 그리고
    상기 급속 가열은 상기 미리 결정된 제 3 기간 동안 상기 기판의 상기 표면을 400 ℃로부터 800 ℃까지의 범위 내의 온도로 가열하는 것 중 적어도 하나인, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  18. 제 12 항에 있어서,
    상기 산화물 층은 90 % 이상의 게르마늄 일산화물 및 10 % 이하의 게르마늄 이산화물을 포함하는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  19. 제 12 항에 있어서,
    상기 제어기는 상기 게르마늄의 노출 층 상의 천연의 게르마늄 이산화물 (GeO2) 층을 게르마늄 일산화물 (GeO) 로 변환하도록 구성되는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
  20. 제 12 항에 있어서,
    상기 가스 전달 시스템, 상기 온도 제어 시스템, 상기 열 원, 상기 RF 생성기, 및 상기 밸브 및 펌프 중 적어도 하나를 더 포함하는, 원자 층 제어를 사용하여 기판 상의 막을 등방성으로 에칭하기 위한 시스템.
KR1020237025812A 2017-01-27 2018-01-24 원자 층 제어를 사용한 막의 등방성 에칭 KR20230117475A (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201762451383P 2017-01-27 2017-01-27
US62/451,383 2017-01-27
US201862612845P 2018-01-02 2018-01-02
US62/612,845 2018-01-02
US15/876,576 US10224212B2 (en) 2017-01-27 2018-01-22 Isotropic etching of film with atomic layer control
US15/876,576 2018-01-22
KR1020197024522A KR102562226B1 (ko) 2017-01-27 2018-01-24 원자 층 제어를 사용한 막의 등방성 에칭
PCT/US2018/015046 WO2018140493A1 (en) 2017-01-27 2018-01-24 Isotropic etching of film with atomic layer control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197024522A Division KR102562226B1 (ko) 2017-01-27 2018-01-24 원자 층 제어를 사용한 막의 등방성 에칭

Publications (1)

Publication Number Publication Date
KR20230117475A true KR20230117475A (ko) 2023-08-08

Family

ID=62979718

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237025812A KR20230117475A (ko) 2017-01-27 2018-01-24 원자 층 제어를 사용한 막의 등방성 에칭
KR1020197024522A KR102562226B1 (ko) 2017-01-27 2018-01-24 원자 층 제어를 사용한 막의 등방성 에칭

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197024522A KR102562226B1 (ko) 2017-01-27 2018-01-24 원자 층 제어를 사용한 막의 등방성 에칭

Country Status (5)

Country Link
US (1) US10224212B2 (ko)
KR (2) KR20230117475A (ko)
CN (2) CN118610083A (ko)
TW (2) TWI806362B (ko)
WO (1) WO2018140493A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
KR102132229B1 (ko) * 2018-09-13 2020-07-13 성균관대학교 산학협력단 원자층 식각장치
CN112789710A (zh) * 2018-10-03 2021-05-11 朗姆研究公司 纳米线的选择性蚀刻
JP7541983B2 (ja) 2019-01-15 2024-08-29 ラム リサーチ コーポレーション 金属原子層のエッチング堆積装置および金属フリー配位子による処理
KR20230000480A (ko) * 2021-06-23 2023-01-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN116897412A (zh) 2022-02-01 2023-10-17 株式会社日立高新技术 蚀刻方法
CN114335256B (zh) * 2022-03-10 2022-05-20 北京通美晶体技术股份有限公司 一种干法清洗锗晶片的方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5419805A (en) * 1992-03-18 1995-05-30 Northern Telecom Limited Selective etching of refractory metal nitrides
US5662768A (en) 1995-09-21 1997-09-02 Lsi Logic Corporation High surface area trenches for an integrated ciruit device
US5869405A (en) 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060019502A1 (en) * 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
US7517812B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5823160B2 (ja) * 2011-05-11 2015-11-25 東京エレクトロン株式会社 堆積物除去方法
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US9735009B2 (en) * 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9431268B2 (en) * 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation

Also Published As

Publication number Publication date
TW202217963A (zh) 2022-05-01
CN110235227A (zh) 2019-09-13
TWI756348B (zh) 2022-03-01
KR20190104067A (ko) 2019-09-05
KR102562226B1 (ko) 2023-07-31
CN118610083A (zh) 2024-09-06
TW201842572A (zh) 2018-12-01
WO2018140493A1 (en) 2018-08-02
TWI806362B (zh) 2023-06-21
US10224212B2 (en) 2019-03-05
US20180218915A1 (en) 2018-08-02

Similar Documents

Publication Publication Date Title
KR102562226B1 (ko) 원자 층 제어를 사용한 막의 등방성 에칭
TWI445081B (zh) 用於含矽薄膜的平滑SiConi蝕刻法
JP5925802B2 (ja) 2段階での均一なドライエッチング
US9093390B2 (en) Conformal oxide dry etch
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
KR20200123482A (ko) 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
KR102297695B1 (ko) 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화
US20170170018A1 (en) Conformal doping using dopant gas on hydrogen plasma treated surface
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
KR20230006004A (ko) 하드 마스크 선택도 (selectivity) 개선을 위한 불활성 가스 주입
TW201820386A (zh) 鍺與矽化鍺奈米線裝置之形成方法
TWI758409B (zh) 對於鍺之電漿輔助摻雜
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
WO2023196846A1 (en) Hydrogen reduction in amorphous carbon films
WO2020096722A1 (en) Nitride films with improved etch selectivity for 3d nand integration

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E601 Decision to refuse application