TWI756348B - 以原子層控制進行之膜的等向性蝕刻 - Google Patents

以原子層控制進行之膜的等向性蝕刻 Download PDF

Info

Publication number
TWI756348B
TWI756348B TW107102799A TW107102799A TWI756348B TW I756348 B TWI756348 B TW I756348B TW 107102799 A TW107102799 A TW 107102799A TW 107102799 A TW107102799 A TW 107102799A TW I756348 B TWI756348 B TW I756348B
Authority
TW
Taiwan
Prior art keywords
substrate
film
atomic layer
layer control
isotropically etching
Prior art date
Application number
TW107102799A
Other languages
English (en)
Other versions
TW201842572A (zh
Inventor
允聖 金
權赫俊
彭東羽
張賀
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201842572A publication Critical patent/TW201842572A/zh
Application granted granted Critical
Publication of TWI756348B publication Critical patent/TWI756348B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Magnetic Heads (AREA)

Abstract

一種利用原子層控制在基板上等向性地蝕刻膜的方法,包含 a) 提供一基板,該基板包含選自於由矽(Si)、鍺(Ge)、及矽鍺(SiGe)所組成之群組的一膜。該方法包含 b) 藉由下述步驟在一處理腔室中於該膜上沉積一犧牲層:對該基板之較低部分進行冷卻;在該處理腔室中產生或供應一含氧化劑電漿;及於在該處理腔室中產生或供應該含氧化劑電漿的同時,藉由使用快速熱能加熱而提高該基板的一表面溫度達一預定時段。該方法包含 c) 吹淨該處理腔室。該方法包含 d) 藉由在該處理腔室中供應一蝕刻氣體混合物並激發電漿而蝕刻該犧牲層及該材料。

Description

以原子層控制進行之膜的等向性蝕刻
本申請案主張於2018年1月2日提申之美國臨時申請案第62/612,845號、及於2017年1月27日提申之美國臨時申請案第62/451,383號之優先權。以上所述之申請案的所有揭露內容係藉由參照而納入本案中。
本揭露內容係關於基板處理系統,且更具體而言係關於利用原子層控制來執行膜的具選擇性之等向性蝕刻的系統及方法。
這裡所提供之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、以及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統可用以蝕刻基板(例如,半導體晶圓)上的膜。基板處理系統一般包含處理腔室、氣體分配裝置、及基板支撐件。在處理期間,基板係配置在基板支撐件上。可將不同的氣體混合物導入處理腔室中,且可使用射頻(RF)電漿以使化學反應活化。
為了製造具有例如小於7 nm之小特徵尺寸的元件,以奈米級控制進行之材料的等向性移除為必要的。濕式化學蝕刻會導致因圖案崩塌所造成的結構損壞。乾式化學蝕刻亦會導致結構損壞。原子層蝕刻(ALE)則因離子方向性而提供了受限的等向性移除。這些處理全部都提高了欲蝕刻之底層材料的表面粗糙度。
一種利用原子層控制在基板上等向性地蝕刻膜的方法,包含 a) 提供一基板,該基板包含選自於由矽(Si)、鍺(Ge)、及矽鍺(SiGe)所組成之群組的一膜。該方法包含 b) 藉由下述步驟在一處理腔室中於該膜上沉積一犧牲層:對該基板之較低部分進行冷卻;在該處理腔室中產生或供應一含氧化劑電漿;及於在該處理腔室中產生或供應該含氧化劑電漿的同時,藉由使用快速熱能加熱而提高該基板的一表面溫度達一預定時段。該方法包含 c) 對該處理腔室進行吹淨。該方法包含 d) 藉由在該處理腔室中供應一蝕刻氣體混合物並激發電漿而蝕刻該犧牲層及該膜。
在其他特徵中,該方法包含:對該基板之較低部分進行冷卻之步驟包含於 b)步驟期間將一基板支撐件的一預定溫度保持在-80℃至20℃之範圍內。該快速熱能加熱將該基板的該表面溫度提高至在從200℃至1000℃之範圍內的一預定溫度。該預定時段係在從大於零至小於或等於20毫秒之範圍內。該蝕刻氣體混合物包含選自於由氟(F)、氯(Cl)、及溴(Br)所組成之群組的一氣體物種。
在其他特徵中,該方法包含將該處理腔室內之壓力控制至在從小於20毫托至10托之範圍內的一預定壓力。該含氧化劑電漿包含選自於由分子氧(O2 )、分子氫(H2 )、分子氮(N2 )、及氦(He)所組成之群組的至少一氣體物種。
在其他特徵中,該方法包含將該處理腔室中之壓力控制至小於1微托。該含氧化劑電漿包含選自於由矽烷、鍺烷、磷烷、及乙硼烷所組成之群組的一前驅物氣體。
在其他特徵中,該含氧化劑電漿係藉由供應一含氧化劑氣體混合物並供應RF功率至配置在該處理腔室周圍的一感應線圈而於該處理腔室中產生。該RF功率係以在從2至14 MHz之範圍內的一預定頻率而位於從大於0 W至小於或等於1000 W之範圍內。
在其他特徵中,該方法包含於 d) 步驟期間以從大於0 eV至1000 eV之範圍供應RF偏壓功率至該基板支撐件。該方法包含重複 b) 步驟、c) 步驟、及 d) 步驟一或更多次。
一種利用原子層控制在基板上等向性蝕刻膜的方法,包含 a) 在一處理腔室中提供一基板,該基板包含鍺(Ge)的一暴露層。該方法包含 b) 在該處理腔室中產生或供應包含一氫氣物種之電漿達一第一預定時段。該方法包含 c) 在該第一預定時段之後,使該基板暴露於包含一氧氣物種的一氣體混合物達一第二預定時段以在該暴露層上產生一氧化物層。該氧化物層包含一氧化鍺(GeO)、及二氧化鍺(GeO2 )。該氧化物層包含較二氧化鍺(GeO2 )更多的一氧化鍺(GeO)。該方法包含 d) 在一第三預定時段期間內對該基板的一表面執行快速熱能加熱以使該氧化物層中的一氧化鍺(GeO)脫附而藉此蝕刻鍺(Ge)的該暴露層。
在其它特徵中,該基板更包含矽(Si)及二氧化矽(SiO2 )其中至少一者的一暴露層,且其中該蝕刻鍺(Ge)的該暴露層相對於鍺(Ge)的該暴露層係具選擇性的。該方法包含重複 b) 步驟、c) 步驟、及 d) 步驟一或更多次。
在其他特徵中,b) 步驟中之電漿包含選自於由分子氫(H2 )、乙硼烷(B2 H6 )、烴(Cx Hy ) (其中x及y為整數且y/x > 2)、氨(NH3)、及包含氮與氫之氣體(Nx Hy ) (其中x及y為整數且y/x > 2)所組成之群組的一氫氣物種。
在其他特徵中,b) 步驟中之電漿更包含選自於由分子氫(H2 )、分子氮(N2 )、及氬(Ar)所組成之群組的一惰性氣體。該第一預定時段及該第二預定時段係在從1秒至120秒之範圍內。
在其他特徵中,該方法包含在 b) 步驟之後且 c) 步驟之前、及在 c)步驟之後且 d) 步驟之前對該處理腔室進行吹淨。c) 步驟中之該氧氣物種係選自於由分子氧(O2 )、臭氧(O3 )、水(H2 O)、及氧化亞氮(N2 O)所組成之群組。
在其他特徵中,該方法包含在c)步驟期間激發電漿。d) 步驟中之該快速熱能加熱包含藉由使用閃光燈及雷射其中至少一者而加熱該基板的該表面。該第三預定時段係大於零且小於或等於1秒。d) 步驟中之該快速熱能加熱於該第三預定時段期間內將該基板的該表面加熱至在從400℃至800℃之範圍內的一溫度。該氧化物層包含大於或等於90%的一氧化鍺、及小於或等於10%的二氧化鍺。該方法包含於 b) 步驟之前將鍺的該暴露層上的原生二氧化鍺(GeO2 )層轉化為一氧化鍺(GeO)。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
根據本揭露內容之系統及方法以使用各種方法的原子層控制而執行快速等向性蝕刻。在第一方法中,藉由使用電漿而對基板的目標層進行氧化,並使該目標層於電漿氧化期間暴露於脈衝熱源。隨後執行蝕刻以移除氧化物及目標層的約一單層(monolayer)。這些步驟係加以重複一或更多次。
更具體而言,本文中所述之系統及方法藉由使用含氧化劑之電漿而在目標層上生長一薄犧牲層。在一些範例中,目標層包含矽(Si)、鍺(Ge)、矽鍺(Sia Geb ) (其中a及b為整數) (一般為SiGe)、或其他材料。在一些範例中,該薄犧牲層包含目標層之氧化物。舉例而言,當目標層包含Si時,該薄犧牲層包含二氧化矽(SiO2 )。
在暴露於氧化電漿期間,一脈衝熱能熱源提供快速的熱能加熱達一預定時段。由於持續時間短,因此加熱係局部化在基板的頂部表面上。在一些範例中,脈衝熱能熱源包含了閃光加熱燈、雷射或微波來源其中至少一者。基板的其餘(或較低)部分係受到基板支撐件冷卻以保持較低的溫度。在頂部表面的快速熱能加熱、與基板的冷卻使得處理得以在不超過熱預算之情況下執行。此外,脈衝熱能熱源的快速加熱係在短時間內進行,以避免熱應力及對應的損傷。
隨後,執行基於電漿的蝕刻處理,以藉由基於電漿之蝕刻處理而移除該薄犧牲層、及目標層的一高度地受控制之部分。在一些範例中,該兩階段式處理在每一循環期間移除了目標層的一單層。在一些範例中,該基於電漿的蝕刻處理使用一氣體混合物,該氣體混合物包含了選自於由氟(F)、氯(Cl)、及/或溴(Br)所組成之群組的蝕刻氣體物種。在一些範例中,該氣體混合物更包含一或更多其他氣體(例如惰性氣體)。根據需要而重複該兩階段式處理,以等向性地回蝕目標層。相較於習知方法,前述處理執行具有降低之表面粗糙度的等向性蝕刻。
在第二方法中,以原子層控制進行之Ge的等向性蝕刻包含使基板在暴露於包含氧物種的氣體(在有或沒有電漿之情況下)之前暴露於包含氫物種的電漿達一預定時段。電漿預處理步驟及氧化步驟在Ge上產生一單層,該單層主要包含GeO(及一些GeO2 )。相較於在沒有氫電漿處理之情況下執行氧化步驟, 該預處理步驟提高了單層中之GeO的量(並減少了GeO2 )。在沒有電漿預處理步驟之情況下,GeO對(GeO與GeO2 )之比率係小於0.4。當在本文中所述的電漿預處理之後進行氧化時,GeO對(GeO與GeO2 )之比率增加至大於0.9。較高比率的GeO(其較GeO2 更為具揮發性)係更容易移除的。
在使基板暴露於包含氧物種的氣體之後,對基板的表面執行快速熱能加熱以使GeO的單層脫附。Si係位於SiO2 鈍化層的下方,該SiO2 鈍化層因SiO2 的較高沸點而不會被快速熱源所移除。
由於氧化係為在低溫下執行且僅限於約一單層,GeO的生長為自限性的。因此,可以原子厚度之水準移除Ge。在一些範例中,每一循環移除約0.6 nm的Ge。由於只有基板的頂部表面在非常短的時間區間內被加熱,因此熱預算可為非常低的且可以避免擴散。
在一些範例中,本文描述之系統及方法係用以選擇性地蝕刻3D電晶體的環繞式閘極(GAA,Gate All Around)結構的層。藉由適當地選擇處理條件, 可相對於一或更多其他曝露材料而執行目標材料的選擇性蝕刻 。
現在參照圖1-3,該等系統及方法可用以蝕刻環繞式閘極(GAA)電晶體10,然而該等系統及方法亦可用於其他基板 。在圖1-2中,GAA電晶體10包含源極區域14、及汲極區域20。閘極區域24係在源極區域14與汲極區域20之間環繞通道區域30而形成。通道區域30可使用矽(Si)、鍺(Ge)、或SiGe奈米線而形成。在一些範例中,本文中所述之系統及方法係用以蝕刻該等奈米線。該等電晶體其中一些可為PMOSFET 40,且該等電晶體其中一些可為NMOSFET 42。在圖3中,通道區域30係被氧化物層32及閘極區域24所包圍。
現在參考圖4,顯示了基板處理系統50。雖然基板處理系統包含了感應耦合電漿(ICP)來源,但亦可使用其他電漿來源。基板處理系統50包含處理腔室58、及用以支撐基板66的基板支撐件62。在一些範例中,基板支撐件62包含靜電卡盤或真空卡盤。在一些範例中,基板支撐件62係加以溫度控制。舉例而言,基板支撐件62可包含可設置於一或更多區域中的複數流體通道68及加熱器72。基板支撐件62可更包含電極76。
可將一或更多感測器80(例如,溫度及/或壓力感測器)配置於處理腔室58中以分別感測溫度及/或壓力。閥82及泵浦84可用以控制處理腔室58內的壓力,及/或用以將反應物從處理腔室58排空。在一些範例中,處理腔室58內的壓力係保持於在20 mT與10 T之間的一預定範圍內。
在氧化電漿存在的預定時段期間內,熱源86對基板66之頂部表面提供局部化的熱脈衝。可將熱源86配置在處理腔室58之外而鄰近於窗部88(例如,介電窗)。在一些範例中,熱源86包含閃光燈、雷射、 及/或微波燈。
溫度控制系統90可用以控制基板支撐件及基板66之溫度。溫度控制系統90可透過連接至流體通道68的泵浦94而控制來自流體來源92的冷卻流體的供應。在一些範例中,流體來源92係保持在低於或等於20℃之溫度,然而亦可使用其他溫度。在一些範例中,流體來源92係保持在低於或等於0℃之溫度,然而亦可使用其他溫度。在一些範例中,流體來源92係保持在低於或等於-30℃之溫度,然而亦可使用其他溫度。在一些範例中,流體來源92係保持在低於或等於-60℃之溫度,然而亦可使用其他溫度。
溫度控制系統90亦可控制加熱器72的操作。溫度控制系統90可包含一或更多溫度感測器96,以感測基板支撐件62之一或更多位置或區域的溫度。
氣體輸送系統100包含一或更多氣體來源104、一或更多閥106、一或更多質量流量控制器108、及混合歧管110。氣體輸送系統 100分別在處理之氧化部分及蝕刻部分期間將氧化電漿氣體混合物、及蝕刻電漿氣體混合物供應至處理腔室58。在一些範例中,氧化電漿氣體混合物包含前驅物氣體、分子氫(H2 )、分子氧(O2 )、分子氮(N2 )、及/或氦(He)。在一些範例中,處理腔室58內的壓力係保持於在20毫托與10托之間的一範圍內。
在其他範例中,前驅物氣體包含矽烷(SiH4 )、鍺烷(GeH4 )、磷烷(PH3 )、及/或乙硼烷(B2 H6 ),然而亦可使用其他前驅物氣體。在一些範例中,處理腔室58內的壓力可針對這些氣體而保持在小於或等於10-6 托。
RF產生器120-1包含RF來源122及匹配網路124,其輸出RF功率至圍繞處理腔室58之外壁的線圈126。RF產生器120-1在激發電漿的處理腔室中產生磁場。另一RF產生器120-2可用以在蝕刻期間供應RF偏壓至基板支撐件62中的電極76。控制器130與一或更多感測器80、閥82及泵浦84、溫度控制系統90、熱源86、RF產生器120-1及/或120-2、及氣體輸送系統100進行通信以控制製程。
現在參照圖5,可以遠距電漿來源140 (例如,微波電漿來源或其他遠距電漿來源)取代ICP來源。
現在參照圖6,顯示了根據本揭露內容之蝕刻基板上的膜之方法200。在210,將基板配置於處理腔室中。在212,將基板的溫度控制至在一預定溫度範圍內的一預定溫度。在一些範例中,該預定溫度範圍為從-80℃至20℃。
在214,將前驅物及電漿氣體供應至處理腔室,並於處理腔室中激發氧化電漿。在一些範例中,當使用ICP時,RF功率係以2至14 MHz在從0至3000 W之範圍內加以供應,然而亦可使用其他功率位準及頻率。或者,可藉由遠距電漿來源(例如,微波電漿來源)將氧化電漿供應至處理腔室。
在218,使基板暴露於熱源以在氧化電漿存在之情況下將基板的頂部表面加熱達一預定時段。在一些範例中,將基板之頂部表面加熱至一預定溫度範圍內的溫度。在一些範例中,該預定溫度範圍為從200℃至1000℃。在一些範例中,該預定時段係在從0至20 ms之範圍內。在222,將電漿熄滅或使至腔室的氧化電漿之供應停止。在一些範例中,將氧化電漿維持達在1至5秒之間的時段。
在224,可選性地將RF偏壓供應至基板支撐件。在一些範例中,RF偏壓功率係在從0至500瓦特之範圍內,且RF偏壓係以從0至1000 eV之範圍加以供應。在226,將電漿蝕刻氣體混合物供應至處理腔室並於處理腔室中激發蝕刻電漿,或從遠距電漿來源將蝕刻電漿供應至處理腔室達一預定蝕刻時段。在230,將蝕刻電漿熄滅或對處理腔室停止蝕刻電漿之供應。在一些範例中,將蝕刻電漿維持達在1至5秒之間的時段。若在234判定需要額外的循環,則處理返回至214。
現在參照圖7,顯示了等向性地蝕刻鍺(Ge)的方法,該方法係在原子層精準度、及對包含矽(Si)物種之其他暴露的膜具高選擇性之情況下進行。在一些處理中,吾人希望移除Ge而不會移除包含矽(Si)物種(例如,Si或SiO2 )、或像Si這樣的另一材料之膜。舉例而言,當蝕刻Ge奈米線時,可相對於Si或SiO2 執行Ge的選擇性蝕刻。
用以選擇性地蝕刻Ge的習知方法包含了乾式蝕刻及濕式蝕刻。藉由使用電漿進行的乾式蝕刻傾向於導致表面粗糙,而這為吾人不想要的。濕式蝕刻處理則傾向於導致圖案損壞(例如,圖案崩塌)。在其他習知的處理中,Ge係加以氧化(以加熱之方式,或以臭氧或含氧電漿) 。暴露的Si亦被氧化。可相對於SiO2 而選擇性地使GeO2 熱脫附。然而,此移除處理需要非常高的溫度(通常為450℃至850℃),而這通常不符合熱預算之要求。
根據本揭露內容,利用原子層控制在基板上等向性地蝕刻Ge膜的方法包含了在基板暴露於包含氧物種的氣體(在有或沒有電漿之情況下)之前將基板暴露於包含氫物種的電漿達一預定時段。該預處理及氧化步驟在Ge上產生了主要包含GeO(及一些GeO2 )的一單層。相較於在沒有氫電漿處理之情況下執行氧化步驟, 該預處理步驟提高了GeO對(GeO與GeO2 )之比率。在沒有該預處理步驟之慶況下,GeO對(GeO與GeO2 )之比率係小於0.4。當在本文中所述之預處理後進行氧化時,GeO對(GeO與GeO2 )之比率提高至大於0.9。較高比率的GeO(其較GeO2 更具揮發性)係更容易移除的。
在使基板暴露於包含氧物種的氣體之後,執行基板表面的快速熱能加熱以使GeO之單層脫附。Si係位於SiO2 鈍化層下方且因SiO2 的較高沸點而沒有被移除。
在本文中所述之方法中,由於在低溫下執行的氧化受限於約一單層,所以GeO之生長為自限性的。因此,可以原子厚度之水準移除Ge。在一些範例中, 每一循環移除了約0.6 nm的Ge。由於只有基板的頂部表面在非常短的時間區間內被加熱,因此熱預算可為非常低的且可以避免擴散。
現在參照圖7,顯示了相對於包含矽(Si)物種的膜而選擇性地蝕刻鍺(Ge)之方法300。在310,將包含暴露的Ge(及可選性地暴露的Si或SiO2 )的基板配置於處理腔室中。在312,將基板的溫度、及處理腔室內的壓力控制至預定值(若需要則連同控制其他處理參數)。
在314,將包含氫物種的氣體混合物供應至處理腔室並在處理腔室中激發電漿以處理基板的暴露表面。在318,在一預定時段之後,使包含氫物種的電漿熄滅並停止電漿氣體之流量。在324,以惰性氣體對處理腔室進行吹淨(purge)達一預定時段。在一些範例中, 該惰性氣體係選自於由氬(Ar)、分子氮(N2 )、或氦(He)所組成之群組。
在328,使基板暴露於包含氧物種的氧化氣體達一預定時段。在一些範例中,在該氧化步驟期間於腔室中激發電漿。在其他範例中,在該氧化步驟期間不使用電漿。由於該預處理步驟,氧化氣體形成了包含高GeO對(GeO與GeO2 )比率的單層。在一些範例中,該比率係大於或等於90%。
在334,對處理腔室進行吹淨達一預定時段。在336,使基板的頂部表面暴露於快速熱能加熱,以提高基板表面之溫度達一預定時段。在一些範例中,於快速熱能加熱期間對處理腔室泵充惰性氣體。
在340,該方法判定額外的循環是否為欲執行的。若340為真,則該方法返回至314,否則該方法結束。
在一些範例中,包含氫物種的電漿氣體係選自於由分子氫(H2 )、乙硼烷(B2 H6 )、烴(Cx Hy ) (其中x及y為整數,且y/x > 2)、及/或氨(NH3 )或其它包含氮與氫的氣體(Nx Hy ) (其中x及y為整數且y/x > 2)所組成的群組。在一些範例中,可使用還原性有機化合物。在一些範例中,電漿氣體至處理腔室的流率係在從5至1000標準立方公分(sccm)之範圍內。
在一些範例中,包含氫物種之氣體係與惰性氣體(例如,He、Ar、及/或N2 )相混合。在一些範例中,氫物種包含了大於0%且小於或等於 99%之電漿氣體混合物。在一些範例中,基板係暴露於電漿氣體達在從1秒至120秒之範圍內的一預定時段。在一些範例中,RF功率在氫電漿處理期間係在從5 W至3000 W之範圍內。在一些範例中,腔室壓力於氫電漿處理期間係控制在從1 mT至20 T之壓力範圍內。在一些範例中, 基板溫度於氫電漿處理期間係保持為小於或等於50℃。
在一些範例中,變壓耦合電漿(TCP)係加以使用。在其他範例中,感應耦合電漿(ICP)係加以使用。在一些範例中,當電漿於預處理期間加以激發時,腔室壓力係保持為大於或等於180 mT。在一些範例中,具有氫物種之電漿係供應達在從10秒至60秒之範圍內的一時段。在一些範例中,包含Ar及H2 之電漿氣體混合物係以在從90至500 sccm之範圍內的流率加以供應。
在一些範例中,吹淨步驟包含以在5至1000標準立方公分(sccm)之範圍內的一流率供應惰性氣體至腔室。在一些範例中,惰性氣體係選自於由Ar、N2 、或He所組成之群組。在一些範例中,處理腔室係加以吹淨達在從1秒至120秒之範圍內的一預定時段。在一些範例中,腔室壓力於吹淨期間係控制在從1 mT至20 T之範圍內。在一些範例中,基板溫度於吹淨期間係保持為小於或等於50℃。
在一些範例中,氧化步驟包含供應包含氧物種的氣體混合物。包含氧物種的氣體之範例包含了分子氧(O2 )、臭氧(O3 )、水(H2 O)、及/或氧化亞氮(N2 O)。在一些範例中,在氧化步驟期間激發電漿。在一些範例中,在氧化步驟期間不激發電漿。
在一些範例中,氧化氣體的流量係在從5至1000標準立方公分(sccm)之範圍內。在一些範例中,基板係暴露於氧化氣體達在從1秒至120秒之範圍內的一預定時段。在一些範例中,RF功率係以在從5 W至3000 W之範圍供應。在一些範例中,腔室壓力於氧化步驟期間係控制在從1 mT至20 T之範圍內。在一些範例中,基板溫度於氧化步驟期間係保持為小於或等於50℃。
在一些範例中,腔室壓力於氧化步驟期間係保持為大於或等於500 mT,然而亦可使用其他壓力。在氧化步驟中可使用較高的壓力來縮短氧化時段。在一些範例中,氧化步驟係於在從10秒至60秒之範圍內的一時段內進行。在一些範例中,O2 係以在從150至500 sccm之範圍內的一流率加以供應。
在一些範例中,快速熱能加熱包含藉由使用閃光燈或雷射其中至少一者來加熱基板的表面。在一些範例中,基板的表面係加熱至在從200℃至800 ℃之範圍內的一溫度達一預定時段。在一些範例中,當使用閃光燈時,曝光時段係在從1至1000毫秒(ms)之範圍內。在一些範例中,當使用雷射時,曝光時段係在從1飛秒至1000毫秒之範圍內。在一些範例中,腔室壓力於快速熱能加熱期間係控制在從1 mT至20 T之範圍內。在一些範例中,基板溫度於快速熱能加熱期間係保持為小於或等於50℃。
在一些範例中,基板之表面溫度係暫時地增加為大於或等於在從400℃至800℃之溫度範圍內的一預定溫度(舉例而言,例如400℃、450℃、或500℃)。在一些範例中,每一個快速熱能加熱步驟包含一單一脈衝或一組的脈衝。
根據本揭露內容的方法能夠使原生的氧化物(GeO2 )轉化成GeO。在移除GeO2 之後,富氫的Ge表面係選擇性地轉化成GeO,而GeO係藉由使用快速熱能加熱而加以移除。在本文中所述之方法期間,GeO係於暴露的Ge表面上生長。由於在低溫下Si不會保持生長SiO2 ,所以Si會被以SiO2 之薄層鈍化。由於SiO2 之沸點大於2000℃,因此僅有Ge被移除。由於熱處理係藉由使用惰性氣體而非氧化氣體來進行,所以在快速熱能加熱期間不會發生氧化 。
針對原生的氧化物,GeO2 可藉由包含氫物種之電漿而還原為GeO。在第一次循環移除GeO2 之後,富H的Ge表面防止了至GeO2 的過度氧化且產生了GeO。富氫的Ge表面亦可於氧化期間內獲得Ge(OH)2 。Ge(OH)2 在熱處理下分解為GeO及H2 O。因此,儘管反應路線略有不同 ,但處理仍為有效的。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間及功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」及「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一及第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B及C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或多個處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或多個積體電路,其接合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理汽相沉積(PVD)腔室或模組、化學汽相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
10‧‧‧環繞式閘極(GAA)電晶體14‧‧‧源極區域20‧‧‧汲極區域24‧‧‧閘極區域30‧‧‧通道區域32‧‧‧氧化物層40‧‧‧PMOSFET42‧‧‧NMOSFET50‧‧‧基板處理系統58‧‧‧處理腔室62‧‧‧基板支撐件66‧‧‧基板68‧‧‧流體通道72‧‧‧加熱器76‧‧‧電極80‧‧‧感測器82‧‧‧閥84‧‧‧泵浦86‧‧‧熱源88‧‧‧窗部90‧‧‧溫度控制系統92‧‧‧流體來源94‧‧‧泵浦96‧‧‧溫度感測器100‧‧‧氣體輸送系統104‧‧‧氣體來源106‧‧‧閥108‧‧‧質量流量控制器110‧‧‧混合歧管120-1‧‧‧RF產生器120-2‧‧‧RF產生器122‧‧‧RF來源124‧‧‧匹配網路126‧‧‧線圈130‧‧‧控制器140‧‧‧遠距電漿來源200‧‧‧方法210‧‧‧操作212‧‧‧操作214‧‧‧操作218‧‧‧操作222‧‧‧操作224‧‧‧操作226‧‧‧操作230‧‧‧操作234‧‧‧操作300‧‧‧方法310‧‧‧操作312‧‧‧操作314‧‧‧操作318‧‧‧操作324‧‧‧操作328‧‧‧操作334‧‧‧操作336‧‧‧操作340‧‧‧操作
本揭示內容從實施方式及隨附圖式可更完全了解,其中:
根據本揭露內容,圖1-3繪示了可加以蝕刻之環繞式閘極電晶體(gate all round transistor)的範例;
根據本揭露內容,圖4為用以執行等向性蝕刻的基板處理系統之範例的功能方塊圖;
根據本揭露內容,圖5為用以執行等向性蝕刻的基板處理系統之另一範例的功能方塊圖;
根據本揭露內容,圖6為繪示了用以執行等向性蝕刻的方法之範例的流程圖;及
根據本揭露內容,圖7為繪示了用以執行鍺之選擇性蝕刻的方法之範例的流程圖。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
200‧‧‧方法
210‧‧‧操作
212‧‧‧操作
214‧‧‧操作
218‧‧‧操作
222‧‧‧操作
224‧‧‧操作
226‧‧‧操作
230‧‧‧操作
234‧‧‧操作

Claims (25)

  1. 一種利用原子層控制在基板上等向性地蝕刻膜的方法,包含: a) 提供一基板,該基板包含選自於由矽(Si)、鍺(Ge)、及矽鍺(SiGe)所組成之群組的一膜; b) 藉由下述步驟在一處理腔室中於該膜上沉積一犧牲層: 對該基板之較低部分進行冷卻; 在該處理腔室中產生或供應一含氧化劑電漿;及 於在該處理腔室中產生或供應該含氧化劑電漿的同時,藉由使用快速熱能加熱而提高該基板的一表面溫度達一預定時段; c) 對該處理腔室進行吹淨;及 d) 藉由在該處理腔室中供應一蝕刻氣體混合物並激發電漿而蝕刻該犧牲層及該膜。
  2. 如申請專利範圍第1項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該對該基板之較低部分進行冷卻之步驟包含於b)步驟期間將一基板支撐件的一預定溫度保持在-80℃至20℃之範圍內。
  3. 如申請專利範圍第1項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該快速熱能加熱將該基板的該表面溫度提高至在從200℃至1000℃之範圍內的一預定溫度。
  4. 如申請專利範圍第3項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該預定時段係在從大於零至小於或等於20毫秒之範圍內。
  5. 如申請專利範圍第1項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該蝕刻氣體混合物包含選自於由氟(F)、氯(Cl)、及溴(Br)所組成之群組的一氣體物種。
  6. 如申請專利範圍第1項之利用原子層控制在基板上等向性地蝕刻膜的方法,更包含: 將該處理腔室內之壓力控制至在從小於20毫托至10托之範圍內的一預定壓力, 其中該含氧化劑電漿包含選自於由分子氧(O2 )、分子氫(H2 )、分子氮(N2 )、及氦(He)所組成之群組的至少一氣體物種 。
  7. 如申請專利範圍第1項之利用原子層控制在基板上等向性地蝕刻膜的方法,更包含: 將該處理腔室中之壓力控制至小於1微托, 其中該含氧化劑電漿包含選自於由矽烷、鍺烷、磷烷、及乙硼烷所組成之群組的一前驅物氣體。
  8. 如申請專利範圍第1項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該含氧化劑電漿係藉由供應一含氧化劑氣體混合物並供應RF功率至配置在該處理腔室周圍的一感應線圈而於該處理腔室中產生。
  9. 如申請專利範圍第8項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該RF功率係以在從2至14 MHz之範圍內的一預定頻率而位於從大於0 W至小於或等於3000 W之範圍內。
  10. 如申請專利範圍第2項之利用原子層控制在基板上等向性地蝕刻膜的方法,更包含於 d) 步驟期間以從大於0 eV至1000 eV之範圍供應RF偏壓功率至該基板支撐件。
  11. 如申請專利範圍第1項之利用原子層控制在基板上等向性地蝕刻膜的方法,更包含重複 b) 步驟、c) 步驟、及 d) 步驟一或更多次。
  12. 一種利用原子層控制在基板上等向性地蝕刻膜的方法,包含: a) 在一處理腔室中提供一基板,該基板包含鍺(Ge)的一暴露層; b) 在該處理腔室中產生或供應包含一氫氣物種之電漿達一第一預定時段; c) 在該第一預定時段之後,使該基板暴露於包含一氧氣物種的一氣體混合物達一第二預定時段以在該暴露層上產生一氧化物層, 其中該氧化物層包含一氧化鍺(GeO)、及二氧化鍺(GeO2 ),且 其中該氧化物層包含較二氧化鍺(GeO2 )更多的一氧化鍺(GeO);及 d) 在一第三預定時段期間內對該基板的一表面執行快速熱能加熱以使該氧化物層中的一氧化鍺(GeO)脫附而藉此蝕刻鍺(Ge)的該暴露層。
  13. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該基板更包含矽(Si)及二氧化矽(SiO2 )其中至少一者的一暴露層,且其中該蝕刻鍺(Ge)的該暴露層相對於鍺(Ge)的該暴露層係具選擇性的。
  14. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,更包含重複 b) 步驟、c) 步驟、及 d) 步驟一或更多次。
  15. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中b)步驟中之電漿包含選自於由分子氫(H2 )、乙硼烷(B2 H6 )、烴(Cx Hy ) (其中x及y為整數且y/x > 2)、氨(NH3 )、及包含氮與氫之氣體(Nx Hy ) (其中x及y為整數且y/x > 2)所組成之群組的一氫氣物種。
  16. 如申請專利範圍第15項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中b)步驟中之電漿更包含選自於由分子氫(H2 )、分子氮(N2 )、及氬(Ar)所組成之群組的一惰性氣體 。
  17. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該第一預定時段及該第二預定時段係在從1秒至120秒之範圍內。
  18. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,更包含在 b) 步驟之後且 c) 步驟之前、及在 c) 步驟之後且 d) 步驟之前對該處理腔室進行吹淨。
  19. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中 c) 步驟中之該氧氣物種係選自於由分子氧(O2 )、臭氧(O3 )、水(H2 O)、及氧化亞氮(N2 O)所組成之群組。
  20. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,更包含在 c) 步驟期間激發電漿。
  21. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中 d) 步驟中之該快速熱能加熱包含藉由使用閃光燈及雷射其中至少一者而加熱該基板的該表面。
  22. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該第三預定時段係大於零且小於或等於1秒。
  23. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中 d) 步驟中之該快速熱能加熱於該第三預定時段期間內將該基板的該表面加熱至在從400℃至800℃之範圍內的一溫度。
  24. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,其中該氧化物層包含大於或等於90%的一氧化鍺、及小於或等於10%的二氧化鍺。
  25. 如申請專利範圍第12項之利用原子層控制在基板上等向性地蝕刻膜的方法,更包含於 b) 步驟之前將鍺的該暴露層上的原生二氧化鍺(GeO2 )層轉化為一氧化鍺(GeO)。
TW107102799A 2017-01-27 2018-01-26 以原子層控制進行之膜的等向性蝕刻 TWI756348B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762451383P 2017-01-27 2017-01-27
US62/451,383 2017-01-27
US201862612845P 2018-01-02 2018-01-02
US62/612,845 2018-01-02
US15/876,576 2018-01-22
US15/876,576 US10224212B2 (en) 2017-01-27 2018-01-22 Isotropic etching of film with atomic layer control

Publications (2)

Publication Number Publication Date
TW201842572A TW201842572A (zh) 2018-12-01
TWI756348B true TWI756348B (zh) 2022-03-01

Family

ID=62979718

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111101812A TWI806362B (zh) 2017-01-27 2018-01-26 以原子層控制進行之膜的等向性蝕刻
TW107102799A TWI756348B (zh) 2017-01-27 2018-01-26 以原子層控制進行之膜的等向性蝕刻

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111101812A TWI806362B (zh) 2017-01-27 2018-01-26 以原子層控制進行之膜的等向性蝕刻

Country Status (5)

Country Link
US (1) US10224212B2 (zh)
KR (2) KR20230117475A (zh)
CN (1) CN110235227A (zh)
TW (2) TWI806362B (zh)
WO (1) WO2018140493A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
KR102132229B1 (ko) * 2018-09-13 2020-07-13 성균관대학교 산학협력단 원자층 식각장치
WO2020072277A1 (en) * 2018-10-03 2020-04-09 Lam Research Corporation Selectively etching for nanowires
JP2022516772A (ja) * 2019-01-15 2022-03-02 ラム リサーチ コーポレーション 金属原子層のエッチング堆積装置および金属フリー配位子による処理
KR20230000480A (ko) * 2021-06-23 2023-01-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN116897412A (zh) 2022-02-01 2023-10-17 株式会社日立高新技术 蚀刻方法
CN114335256B (zh) * 2022-03-10 2022-05-20 北京通美晶体技术股份有限公司 一种干法清洗锗晶片的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869405A (en) * 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5419805A (en) * 1992-03-18 1995-05-30 Northern Telecom Limited Selective etching of refractory metal nitrides
US5662768A (en) 1995-09-21 1997-09-02 Lsi Logic Corporation High surface area trenches for an integrated ciruit device
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5823160B2 (ja) * 2011-05-11 2015-11-25 東京エレクトロン株式会社 堆積物除去方法
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US9735009B2 (en) * 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9431268B2 (en) * 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869405A (en) * 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation

Also Published As

Publication number Publication date
WO2018140493A1 (en) 2018-08-02
KR102562226B1 (ko) 2023-07-31
US10224212B2 (en) 2019-03-05
TW201842572A (zh) 2018-12-01
US20180218915A1 (en) 2018-08-02
KR20190104067A (ko) 2019-09-05
TW202217963A (zh) 2022-05-01
KR20230117475A (ko) 2023-08-08
TWI806362B (zh) 2023-06-21
CN110235227A (zh) 2019-09-13

Similar Documents

Publication Publication Date Title
TWI756348B (zh) 以原子層控制進行之膜的等向性蝕刻
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
JP5925802B2 (ja) 2段階での均一なドライエッチング
JP6009520B2 (ja) シリコン含有膜の平滑SiConiエッチング
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
US9171734B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
TWI791492B (zh) 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置
TWI636503B (zh) 氧化矽膜的選擇性蝕刻方法
TWI759516B (zh) 變壓器耦合的電漿蝕刻室中的整合式原子層鈍化及原位原子層鈍化蝕刻方法
JP7447093B2 (ja) 準安定活性ラジカル種を使用する原子層処置プロセス
WO2020236303A1 (en) In-situ atomic layer deposition process
TWI750364B (zh) 形成鈦矽化物區域之方法
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
WO2020096720A1 (en) Process chamber component cleaning method
US20230274939A1 (en) Atomic layer etching of a semiconductor, a metal, or a metal oxide with selectivity to a dielectric
KR101098975B1 (ko) 기판 처리 장치
TWI758409B (zh) 對於鍺之電漿輔助摻雜
KR102688353B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP7199497B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2023043845A (ja) エッチング処理方法およびエッチング処理装置
TW201606116A (zh) 具低蝕刻率之氧化薄膜之沉積方法及半導體裝置