JP2022516772A - 金属原子層のエッチング堆積装置および金属フリー配位子による処理 - Google Patents
金属原子層のエッチング堆積装置および金属フリー配位子による処理 Download PDFInfo
- Publication number
- JP2022516772A JP2022516772A JP2021539908A JP2021539908A JP2022516772A JP 2022516772 A JP2022516772 A JP 2022516772A JP 2021539908 A JP2021539908 A JP 2021539908A JP 2021539908 A JP2021539908 A JP 2021539908A JP 2022516772 A JP2022516772 A JP 2022516772A
- Authority
- JP
- Japan
- Prior art keywords
- metal
- ale
- substrate
- ligand
- during
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 265
- 239000002184 metal Substances 0.000 title claims abstract description 265
- 239000003446 ligand Substances 0.000 title claims abstract description 101
- 238000005530 etching Methods 0.000 title claims abstract description 62
- 230000008021 deposition Effects 0.000 title description 8
- 239000000758 substrate Substances 0.000 claims abstract description 389
- 238000000034 method Methods 0.000 claims abstract description 224
- 230000008569 process Effects 0.000 claims abstract description 158
- 238000012545 processing Methods 0.000 claims abstract description 132
- 238000001179 sorption measurement Methods 0.000 claims abstract description 48
- 150000004696 coordination complex Chemical class 0.000 claims abstract description 35
- 238000000137 annealing Methods 0.000 claims abstract description 33
- 239000002243 precursor Substances 0.000 claims abstract description 25
- 239000007789 gas Substances 0.000 claims description 94
- 239000000460 chlorine Substances 0.000 claims description 48
- 230000004048 modification Effects 0.000 claims description 38
- 238000012986 modification Methods 0.000 claims description 38
- 229910044991 metal oxide Inorganic materials 0.000 claims description 35
- 150000004706 metal oxides Chemical class 0.000 claims description 35
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 32
- 150000004767 nitrides Chemical class 0.000 claims description 30
- YRKCREAYFQTBPV-UHFFFAOYSA-N acetylacetone Chemical compound CC(=O)CC(C)=O YRKCREAYFQTBPV-UHFFFAOYSA-N 0.000 claims description 28
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 21
- 229910052801 chlorine Inorganic materials 0.000 claims description 21
- 229910052760 oxygen Inorganic materials 0.000 claims description 19
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 18
- 239000001301 oxygen Substances 0.000 claims description 18
- QAMFBRUWYYMMGJ-UHFFFAOYSA-N hexafluoroacetylacetone Chemical compound FC(F)(F)C(=O)CC(=O)C(F)(F)F QAMFBRUWYYMMGJ-UHFFFAOYSA-N 0.000 claims description 16
- 238000009835 boiling Methods 0.000 claims description 14
- 229910052739 hydrogen Inorganic materials 0.000 claims description 14
- 239000001257 hydrogen Substances 0.000 claims description 13
- 239000013626 chemical specie Substances 0.000 claims description 10
- 238000002407 reforming Methods 0.000 claims description 9
- 229910001507 metal halide Inorganic materials 0.000 claims description 6
- 150000005309 metal halides Chemical class 0.000 claims description 6
- 230000003213 activating effect Effects 0.000 claims 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- 238000010586 diagram Methods 0.000 abstract description 10
- 239000010410 layer Substances 0.000 description 100
- 210000002381 plasma Anatomy 0.000 description 100
- 230000003287 optical effect Effects 0.000 description 40
- 238000007493 shaping process Methods 0.000 description 38
- 238000010438 heat treatment Methods 0.000 description 33
- 239000000463 material Substances 0.000 description 30
- 241001270131 Agaricus moelleri Species 0.000 description 24
- -1 Zyrium (Zr) Chemical compound 0.000 description 23
- 241000894007 species Species 0.000 description 23
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 18
- 239000000126 substance Substances 0.000 description 18
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 16
- 238000004151 rapid thermal annealing Methods 0.000 description 16
- 238000000231 atomic layer deposition Methods 0.000 description 15
- 238000005452 bending Methods 0.000 description 15
- 230000003647 oxidation Effects 0.000 description 15
- 238000007254 oxidation reaction Methods 0.000 description 15
- 238000010926 purge Methods 0.000 description 15
- 239000007788 liquid Substances 0.000 description 14
- 238000009826 distribution Methods 0.000 description 13
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 12
- 239000013078 crystal Substances 0.000 description 12
- 239000007800 oxidant agent Substances 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 12
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 11
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 11
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 11
- 238000006243 chemical reaction Methods 0.000 description 11
- 150000002739 metals Chemical class 0.000 description 11
- 230000008859 change Effects 0.000 description 10
- 238000001816 cooling Methods 0.000 description 10
- 239000010949 copper Substances 0.000 description 10
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- 229910021529 ammonia Inorganic materials 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 8
- 238000000151 deposition Methods 0.000 description 7
- 229910052735 hafnium Inorganic materials 0.000 description 7
- 150000002431 hydrogen Chemical class 0.000 description 7
- 239000002344 surface layer Substances 0.000 description 7
- 239000010936 titanium Substances 0.000 description 7
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 6
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- 125000004429 atom Chemical group 0.000 description 6
- 229910052802 copper Inorganic materials 0.000 description 6
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 6
- 230000004941 influx Effects 0.000 description 6
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 6
- 239000002356 single layer Substances 0.000 description 6
- IJOOHPMOJXWVHK-UHFFFAOYSA-N chlorotrimethylsilane Chemical compound C[Si](C)(C)Cl IJOOHPMOJXWVHK-UHFFFAOYSA-N 0.000 description 5
- 239000004020 conductor Substances 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 238000005224 laser annealing Methods 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 239000000376 reactant Substances 0.000 description 5
- 230000001360 synchronised effect Effects 0.000 description 5
- 229910052715 tantalum Inorganic materials 0.000 description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 5
- 208000001930 Autoimmune limbic encephalitis Diseases 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 4
- 235000015107 ale Nutrition 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 238000003877 atomic layer epitaxy Methods 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- 239000011575 calcium Substances 0.000 description 4
- 239000011651 chromium Substances 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 238000003795 desorption Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- 229910052727 yttrium Inorganic materials 0.000 description 4
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 description 3
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 3
- DKGAVHZHDRPRBM-UHFFFAOYSA-N Tert-Butanol Chemical compound CC(C)(C)O DKGAVHZHDRPRBM-UHFFFAOYSA-N 0.000 description 3
- 229910010413 TiO 2 Inorganic materials 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 229910017052 cobalt Inorganic materials 0.000 description 3
- 239000010941 cobalt Substances 0.000 description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 3
- 239000002131 composite material Substances 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 230000005596 ionic collisions Effects 0.000 description 3
- 150000002736 metal compounds Chemical class 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 210000001747 pupil Anatomy 0.000 description 3
- 150000003839 salts Chemical class 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 238000004513 sizing Methods 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 2
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 2
- 229910052691 Erbium Inorganic materials 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- DTQVDTLACAAQTR-UHFFFAOYSA-N Trifluoroacetic acid Chemical compound OC(=O)C(F)(F)F DTQVDTLACAAQTR-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 238000000429 assembly Methods 0.000 description 2
- 230000000712 assembly Effects 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 229910052791 calcium Inorganic materials 0.000 description 2
- 229910052804 chromium Inorganic materials 0.000 description 2
- 238000006298 dechlorination reaction Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- QKIUAMUSENSFQQ-UHFFFAOYSA-N dimethylazanide Chemical compound C[N-]C QKIUAMUSENSFQQ-UHFFFAOYSA-N 0.000 description 2
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 2
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 2
- 150000004820 halides Chemical class 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- KAHVZNKZQFSBFW-UHFFFAOYSA-N n-methyl-n-trimethylsilylmethanamine Chemical compound CN(C)[Si](C)(C)C KAHVZNKZQFSBFW-UHFFFAOYSA-N 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 239000011669 selenium Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 229910052712 strontium Inorganic materials 0.000 description 2
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 2
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 2
- BVPKYBMUQDZTJH-UHFFFAOYSA-N 1,1,1-trifluoro-5,5-dimethylhexane-2,4-dione Chemical compound CC(C)(C)C(=O)CC(=O)C(F)(F)F BVPKYBMUQDZTJH-UHFFFAOYSA-N 0.000 description 1
- SHXHPUAKLCCLDV-UHFFFAOYSA-N 1,1,1-trifluoropentane-2,4-dione Chemical compound CC(=O)CC(=O)C(F)(F)F SHXHPUAKLCCLDV-UHFFFAOYSA-N 0.000 description 1
- YRAJNWYBUCUFBD-UHFFFAOYSA-N 2,2,6,6-tetramethylheptane-3,5-dione Chemical compound CC(C)(C)C(=O)CC(=O)C(C)(C)C YRAJNWYBUCUFBD-UHFFFAOYSA-N 0.000 description 1
- OWLPCALGCHDBCN-UHFFFAOYSA-N 4,4,4-trifluoro-1-(furan-2-yl)butane-1,3-dione Chemical compound FC(F)(F)C(=O)CC(=O)C1=CC=CO1 OWLPCALGCHDBCN-UHFFFAOYSA-N 0.000 description 1
- JTIPWONXTZMDOK-UHFFFAOYSA-N 4,4-difluoro-1-phenylbutane-1,3-dione Chemical compound FC(F)C(=O)CC(=O)C1=CC=CC=C1 JTIPWONXTZMDOK-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- KWYHDKDOAIKMQN-UHFFFAOYSA-N N,N,N',N'-tetramethylethylenediamine Chemical compound CN(C)CCN(C)C KWYHDKDOAIKMQN-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229920004880 RTP PEK Polymers 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 230000002457 bidirectional effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000005660 chlorination reaction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- NZZIMKJIVMHWJC-UHFFFAOYSA-N dibenzoylmethane Chemical compound C=1C=CC=CC=1C(=O)CC(=O)C1=CC=CC=C1 NZZIMKJIVMHWJC-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002366 halogen compounds Chemical class 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910001510 metal chloride Inorganic materials 0.000 description 1
- 239000002905 metal composite material Substances 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000010349 pulsation Effects 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 229910052711 selenium Inorganic materials 0.000 description 1
- 238000007086 side reaction Methods 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- FAGLEPBREOXSAC-UHFFFAOYSA-N tert-butyl isocyanide Chemical compound CC(C)(C)[N+]#[C-] FAGLEPBREOXSAC-UHFFFAOYSA-N 0.000 description 1
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 1
- WMXCDAVJEZZYLT-UHFFFAOYSA-N tert-butylthiol Chemical compound CC(C)(C)S WMXCDAVJEZZYLT-UHFFFAOYSA-N 0.000 description 1
- TXBBUSUXYMIVOS-UHFFFAOYSA-N thenoyltrifluoroacetone Chemical compound FC(F)(F)C(=O)CC(=O)C1=CC=CS1 TXBBUSUXYMIVOS-UHFFFAOYSA-N 0.000 description 1
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 1
- 229910001887 tin oxide Inorganic materials 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
- 239000011787 zinc oxide Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F4/00—Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
- H01J37/3464—Operating strategies
- H01J37/3467—Pulsed operation, e.g. HIPIMS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32138—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76844—Bottomless liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Electromagnetism (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- ing And Chemical Polishing (AREA)
Abstract
Description
本開示は、2019年4月12日出願の米国仮特許出願第62/832,932号、および2019年1月15日出願の米国仮特許出願第62/792,519号の利益を主張する。上記出願の全ての開示は、参照により本明細書に援用される。
Claims (34)
- 基板の表面をエッチングするために金属原子層エッチング(ALE)プロセスを実施するためのALEシステムであって、
処理チャンバと、
前記処理チャンバ内に配置され、前記基板を支持するように構成された基板支持体と、
第1の熱源と、
配位子および有機種の少なくともいずれかを前記処理チャンバに供給するように構成された供給システムと、
等方性金属ALEプロセスを実施するよう前記供給システムおよび前記第1の熱源を制御するように構成されたコントローラであって、前記等方性金属ALEは、
前記等方性金属ALEプロセスの繰り返し中に、原子吸着およびパルス熱アニールを実施する工程と、
前記原子吸着中に、前記基板の前記表面を前記配位子および前記有機種の前記少なくともいずれかに暴露する工程であって、前記配位子および前記有機種の前記少なくともいずれかは、金属前駆体を含まず、選択的に吸着して前記基板の前記表面に金属錯体を形成する、工程と、
前記パルス熱アニール中に、前記基板から前記金属錯体を除去するために前記第1の熱源のオン/オフを複数回パルス化する工程と、を含む、コントローラと、
を備える、ALEシステム。 - 請求項1に記載のALEシステムであって、
前記表面は、金属、金属酸化物、および金属窒化物の少なくとも1つを含む、ALEシステム。 - 請求項1に記載のALEシステムであって、
前記等方性金属ALEプロセス中に、前記基板の前記表面は金属前駆体に暴露されない、ALEシステム。 - 請求項1に記載のALEシステムであって、
前記等方性金属ALEプロセスは、前記原子吸着を実施する前に、第2の熱源によって前記基板を予熱する工程を含む、ALEシステム。 - 請求項4に記載のALEシステムであって、
前記等方性金属ALEプロセスは、前記基板を大気温度以上且つ前記金属錯体の沸点温度未満の温度に予熱する工程を含む、ALEシステム。 - 請求項1に記載のALEシステムであって、
前記等方性金属ALEプロセスは、前記原子吸着を実施する前に前記表面を改質する工程を含む、ALEシステム。 - 請求項6に記載のALEシステムであって、
前記表面を改質する工程は、プラズマを発生させることなく、前記基板の前記表面と反応させるためにガスを供給する工程を含む、ALEシステム。 - 請求項6に記載のALEシステムであって、
前記表面を改質する工程は、前記基板の前記表面と反応させるためにガスを供給する工程と、プラズマを発生させる工程とを含む、ALEシステム。 - 請求項6に記載のALEシステムであって、
前記表面は前記金属を含み、
前記表面を改質する工程は、前記金属を金属酸化物または金属ハロゲン化物に変換する工程を含む、ALEシステム。 - 請求項1に記載のALEシステムであって、
前記原子吸着中に、前記基板の前記表面は前記配位子に暴露され、
前記配位子は、無反応性配位子である、ALEシステム。 - 請求項10に記載のALEシステムであって、
前記配位子は、ヘキサフルオロアセチルアセトン(Hhfac)およびアセチルアセトン(Hacac)からなる群より選択される、ALEシステム。 - 請求項10に記載のALEシステムであって、
前記配位子は、塩素分子(Cl2)、エタノール(EtOH)、および有機蒸気からなる群より選択される、ALEシステム。 - 請求項1に記載のALEシステムであって、
前記等方性金属ALEプロセスは、第1の改質動作および第2の改質動作を含み、
前記原子吸着は、前記第2の改質動作に相当し、
前記コントローラは、前記等方性金属ALEプロセスの所定数の繰り返しを実施するように構成され、
前記所定数の繰り返しの各々は、(i)前記等方性金属ALEプロセスの以前の繰り返し中に供給された化学種とは異なる化学種を前記第1の改質動作中に供給する工程と、(ii)前記等方性金属ALEプロセスの以前の繰り返し中に供給された化学種とは異なる化学種を前記第2の改質動作中に供給する工程と、の少なくともいずれかを含む、ALEシステム。 - 基板の表面をエッチングするための金属原子層エッチング(ALE)法であって、
処理チャンバ内の基板支持体の上に前記基板を配置する工程と、
配位子および有機種の少なくともいずれかを前記処理チャンバに供給するように構成された供給システムと、
等方性金属ALEプロセスを実施する工程であって、
前記等方性金属ALEプロセスの繰り返し中に、原子吸着およびパルス熱アニールを実施する工程と、
前記原子吸着中に、前記基板の前記表面を前記配位子および前記有機種の前記少なくともいずれかに暴露する工程であって、前記配位子および前記有機種の前記少なくともいずれかは、金属前駆体を含まず、前記基板の前記表面に選択的に吸着して金属錯体を形成する、工程と、
前記パルス熱アニール中に、前記基板から前記金属錯体を除去するために熱源のオン/オフを複数回パルス化する工程と、を含む工程と、
を含む、金属ALE法。 - 請求項14に記載の金属ALE法であって、
前記表面は、金属、金属酸化物、および金属窒化物の少なくとも1つを含む、金属ALE法。 - 請求項14に記載の金属ALE法であって、
前記等方性金属ALEプロセス中に、前記基板の前記表面は金属前駆体に暴露されない、金属ALE法。 - 請求項14に記載の金属ALE法であって、
前記等方性金属ALEプロセスは、前記原子吸着を実施する前に、前記基板を大気温度以上且つ前記金属錯体の沸点温度未満の温度に予熱する工程を含む、金属ALE法。 - 請求項14に記載の金属ALE法であって、
前記等方性金属ALEプロセスは、前記原子吸着を実施する前に前記表面を改質する工程を含む、金属ALE法。 - 請求項18に記載の金属ALE法であって、
前記表面を改質する工程は、プラズマを発生させることなく、前記基板の前記表面と反応させるためにガスを供給する工程を含む、金属ALE法。 - 請求項18に記載の金属ALE法であって、
前記表面を改質する工程は、前記基板の前記表面と反応させるためにガスを供給する工程と、プラズマを発生させる工程とを含む、金属ALE法。 - 請求項18に記載の金属ALE法であって、
前記表面は前記金属を含み、
前記表面を改質する工程は、前記金属を金属酸化物または金属ハロゲン化物に変換する工程を含む、金属ALE法。 - 請求項14に記載の金属ALE法であって、
前記原子吸着中に、前記基板の前記表面は前記配位子に暴露され、
前記配位子は、無反応性配位子である、金属ALE法。 - 請求項22に記載の金属ALE法であって、
前記配位子は、ヘキサフルオロアセチルアセトン(Hhfac)およびアセチルアセトン(Hacac)からなる群より選択される、金属ALE法。 - 請求項22に記載の金属ALE法であって、
前記配位子は、塩素分子(Cl2)、エタノール(EtOH)、および有機蒸気からなる群より選択される、金属ALE法。 - 請求項14に記載の金属ALE法であって、
前記等方性金属ALEプロセスは、第1の改質動作および第2の改質動作を含み、
前記原子吸着は、前記第2の改質動作に相当し、
前記等方性金属ALEプロセスの所定数の繰り返しが実施され、
前記所定数の繰り返しの各々は、(i)前記等方性金属ALEプロセスの以前の繰り返し中に供給された化学種とは異なる化学種を前記第1の改質動作中に供給する工程と、(ii)前記等方性金属ALEプロセスの以前の繰り返し中に供給された化学種とは異なる化学種を前記第2の改質動作中に供給する工程と、の少なくともいずれかを含む、金属ALE法。 - 基板の表面をエッチングするために金属原子層エッチング(ALE)プロセスを実施するためのALEシステムであって、
処理チャンバと、
前記処理チャンバ内に配置され、前記基板を支持するように構成された基板支持体と、
前記基板支持体および前記処理チャンバの少なくともいずれかを加熱するように構成された熱源と、
配位子および有機種の少なくともいずれかを前記処理チャンバに供給するように構成された供給システムと、
等方性金属ALEプロセスを実施するよう前記供給システムおよび前記熱源を制御するように構成されたコントローラであって、前記等方性金属ALEは、
前記等方性金属ALEプロセスの繰り返し中に、前記表面を改質し、原子吸着および熱アニールを実施する工程と、
前記表面を改質する工程中に、前記基板の前記表面と反応させるためにガスを供給する工程と、
前記原子吸着中に、前記基板の前記表面を前記配位子および前記有機種の前記少なくともいずれかに暴露する工程であって、前記配位子および前記有機種の前記少なくともいずれかは、金属前駆体を含まず、選択的に吸着して前記基板の前記表面に金属錯体を形成する、工程と、
前記熱アニール中に、前記基板から前記金属錯体を除去するために前記熱源を作動させる工程と、を含む、コントローラと、
を備える、ALEシステム。 - 請求項26に記載のALEシステムであって、
前記ガスは酸素(O2)を含む、ALEシステム。 - 請求項26に記載のALEシステムであって、
前記配位子は塩素(Cl2)を含む、ALEシステム。 - 請求項26に記載のALEシステムであって、
前記熱アニールは、
前記熱源をパルス化しない工程と、
所定長さ以上の長さを有する拡張パルスで前記熱源をパルス化する工程と、
を含む、ALEシステム。 - 基板の表面をエッチングするために金属原子層エッチング(ALE)プロセスを実施するためのALEシステムであって、
処理チャンバと、
前記処理チャンバ内に配置され、前記基板を支持するように構成された基板支持体と、
前記基板支持体および前記処理チャンバの少なくともいずれかを加熱するように構成された熱源と、
配位子および有機種の少なくともいずれかを前記処理チャンバに供給するように構成された供給システムと、
選択的金属ALEプロセスを実施するよう前記供給システムおよび前記熱源を制御するように構成されたコントローラであって、前記選択的金属ALEは、
前記選択的金属ALEプロセスの繰り返し中に、前記表面を改質し、原子吸着およびパルス熱アニールを実施する工程と、
前記表面を改質する工程中に、前記基板の前記表面の選択部分と反応させるためにガスを供給する工程であって、前記表面を改質する工程は、
前記表面の前記選択部分にバイアスを印加する工程と、
所定圧力未満の圧力で前記ガスを供給する工程と、
前記処理チャンバ内の圧力を前記所定圧力未満に維持する工程と、のうちの少なくとも1つを含み、
前記原子吸着中に、前記基板の前記表面を前記配位子および前記有機種の前記少なくともいずれかに暴露する工程であって、前記配位子および前記有機種の前記少なくともいずれかは、金属前駆体を含まず、選択的に吸着して前記基板の前記表面に金属錯体を形成する、工程と、
前記熱アニール中に、前記基板から前記金属錯体を除去するために前記熱源のオン/オフを複数回パルス化する工程と、を含む、コントローラと、
を備える、ALEシステム。 - 請求項30に記載のALEシステムであって、
前記ガスは、酸素(O2)または水素(H2)を含む、ALEシステム。 - 請求項30に記載のALEシステムであって、
前記配位子は塩素(Cl2)を含む、ALEシステム。 - 請求項30に記載のALEシステムであって、
前記表面の前記部分は、
前記基板の中間層の穴内部の上層の底部分と、
前記中間層の上に配置された前記上層の上部分と、
を含む、ALEシステム。 - 請求項33に記載のALEシステムであって、
前記表面の前記部分は、前記穴の側壁に配置された前記上層の側壁部分を含まない、ALEシステム。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962792519P | 2019-01-15 | 2019-01-15 | |
US62/792,519 | 2019-01-15 | ||
US201962832932P | 2019-04-12 | 2019-04-12 | |
US62/832,932 | 2019-04-12 | ||
PCT/US2020/012518 WO2020150043A1 (en) | 2019-01-15 | 2020-01-07 | Metal atomic layer etch and deposition apparatuses and processes with metal-free ligands |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2022516772A true JP2022516772A (ja) | 2022-03-02 |
JPWO2020150043A5 JPWO2020150043A5 (ja) | 2024-04-30 |
JP7541983B2 JP7541983B2 (ja) | 2024-08-29 |
Family
ID=71613695
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2021539908A Active JP7541983B2 (ja) | 2019-01-15 | 2020-01-07 | 金属原子層のエッチング堆積装置および金属フリー配位子による処理 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20220084838A1 (ja) |
JP (1) | JP7541983B2 (ja) |
KR (1) | KR20210105439A (ja) |
CN (1) | CN113316839A (ja) |
TW (1) | TWI845595B (ja) |
WO (1) | WO2020150043A1 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2023073947A (ja) * | 2021-11-16 | 2023-05-26 | セメス株式会社 | 基板処理装置および方法 |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20230000017A (ko) * | 2021-06-23 | 2023-01-02 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
US20230015080A1 (en) * | 2021-07-15 | 2023-01-19 | Applied Materials, Inc. | Metal oxide directional removal |
KR20240034833A (ko) * | 2021-07-22 | 2024-03-14 | 엔테그리스, 아이엔씨. | 웨이퍼 용기 미세환경 내의 오염을 감소시키기 위한 흡착제 및 방법 |
CN118648092A (zh) * | 2022-02-03 | 2024-09-13 | 默克专利股份有限公司 | 使用共反应物作为卤化剂的金属的原子层蚀刻 |
US20240167148A1 (en) * | 2022-11-18 | 2024-05-23 | Applied Materials, Inc. | Methods of removing metal oxide using cleaning plasma |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8633115B2 (en) * | 2011-11-30 | 2014-01-21 | Applied Materials, Inc. | Methods for atomic layer etching |
US9972504B2 (en) * | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
WO2017099718A1 (en) * | 2015-12-08 | 2017-06-15 | Intel Corporation | Atomic layer etching of transition metals by halogen surface oxidation |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US11565936B2 (en) * | 2016-05-25 | 2023-01-31 | The Regents Of The University Of Colorado | Atomic layer etching on microdevices and nanodevices |
US20170345665A1 (en) * | 2016-05-26 | 2017-11-30 | Tokyo Electron Limited | Atomic layer etching systems and methods |
JP6817752B2 (ja) | 2016-09-09 | 2021-01-20 | 株式会社日立ハイテク | エッチング方法およびエッチング装置 |
KR102410571B1 (ko) * | 2016-12-09 | 2022-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 열적 원자층 식각 공정 |
US10692724B2 (en) * | 2016-12-23 | 2020-06-23 | Lam Research Corporation | Atomic layer etching methods and apparatus |
US10224212B2 (en) | 2017-01-27 | 2019-03-05 | Lam Research Corporation | Isotropic etching of film with atomic layer control |
-
2020
- 2020-01-07 KR KR1020217025723A patent/KR20210105439A/ko not_active Application Discontinuation
- 2020-01-07 US US17/419,841 patent/US20220084838A1/en active Pending
- 2020-01-07 CN CN202080009348.0A patent/CN113316839A/zh active Pending
- 2020-01-07 WO PCT/US2020/012518 patent/WO2020150043A1/en active Application Filing
- 2020-01-07 JP JP2021539908A patent/JP7541983B2/ja active Active
- 2020-01-13 TW TW109101027A patent/TWI845595B/zh active
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2023073947A (ja) * | 2021-11-16 | 2023-05-26 | セメス株式会社 | 基板処理装置および方法 |
JP7368545B2 (ja) | 2021-11-16 | 2023-10-24 | セメス株式会社 | 基板処理装置および方法 |
Also Published As
Publication number | Publication date |
---|---|
CN113316839A (zh) | 2021-08-27 |
US20220084838A1 (en) | 2022-03-17 |
JP7541983B2 (ja) | 2024-08-29 |
TWI845595B (zh) | 2024-06-21 |
KR20210105439A (ko) | 2021-08-26 |
WO2020150043A1 (en) | 2020-07-23 |
TW202041716A (zh) | 2020-11-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7541983B2 (ja) | 金属原子層のエッチング堆積装置および金属フリー配位子による処理 | |
US11984330B2 (en) | Atomic layer etch and deposition processing systems including a lens circuit with a tele-centric lens, an optical beam folding assembly, or a polygon scanner | |
JP6948797B2 (ja) | 不揮発性金属をパターニングするためのチャンバ | |
JP7478146B2 (ja) | ハロゲン系化合物を用いて選択的にエッチングするための原子層エッチングシステム | |
TW201626503A (zh) | 具有成核抑制的特徵部填充 | |
US20230047031A1 (en) | Method for fabricating chamber parts | |
TW201442115A (zh) | 利用曝光之選擇性沉積 | |
JP2021535604A (ja) | ウェットエッチングのための光子的に調整されたエッチング液の反応性 | |
JPWO2020150043A5 (ja) | ||
US11362275B2 (en) | Annealing processes for memory devices | |
TWI853888B (zh) | 用於製造腔室部件的方法 | |
US20230066676A1 (en) | Core removal | |
TW202043544A (zh) | 使用受照射蝕刻溶液之材料粗糙度縮減用的處理系統及平台 | |
WO2023038870A1 (en) | Techniques and apparatuses for processing chalcogenides | |
WO2024196643A1 (en) | Integration of dry development and etch processes for euv patterning in a single process chamber |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20221206 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20221206 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20231218 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20240123 |
|
A524 | Written submission of copy of amendment under article 19 pct |
Free format text: JAPANESE INTERMEDIATE CODE: A524 Effective date: 20240418 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20240723 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20240819 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7541983 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |