CN102687249A - 用于含硅薄膜的平滑SiConi蚀刻法 - Google Patents

用于含硅薄膜的平滑SiConi蚀刻法 Download PDF

Info

Publication number
CN102687249A
CN102687249A CN2010800597756A CN201080059775A CN102687249A CN 102687249 A CN102687249 A CN 102687249A CN 2010800597756 A CN2010800597756 A CN 2010800597756A CN 201080059775 A CN201080059775 A CN 201080059775A CN 102687249 A CN102687249 A CN 102687249A
Authority
CN
China
Prior art keywords
plasma
precursor
substrate
fluorine
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800597756A
Other languages
English (en)
Other versions
CN102687249B (zh
Inventor
J·唐
N·英格尔
D·杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102687249A publication Critical patent/CN102687249A/zh
Application granted granted Critical
Publication of CN102687249B publication Critical patent/CN102687249B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明描述一种蚀刻含硅材料的方法,该方法包含相较于先前技术具有较大或较小的氢氟流速比的SiConiTM蚀刻。已发现以此方法改变流速比可降低蚀刻后表面的粗糙度,以及降低稠密图案化区域与稀疏图案化区域的蚀刻速率差异。其他降低蚀刻后表面粗糙度的手段包含脉冲化前体的流动和/或等离子体功率、维持相对高的基板温度与在多个步骤中执行SiConiTM。上述每个方法可单独或合并使用,用于通过限制固态残留物晶粒大小来降低蚀刻表面的粗糙度。

Description

用于含硅薄膜的平滑SiConi蚀刻法
发明领域
本发明关于与用于薄膜及涂层的沉积、图案化及处理中的设备、工艺及材料有关的制造技术解决方法,代表性示例包括(但不限于)与半导体材料与器件、介电材料与器件、硅基(silicon-based)晶圆与平板显示器(例如TFTs)有关的应用。
发明背景
集成电路可通过在基板表面上制造复杂图案化材料层的工艺而得以形成。在基板上制作图案化材料需要一些用于移除暴露的材料的受控方法。化学蚀刻用于各种目的,包含将光阻中的图案转移至下方层中、薄化多个层、或是薄化已存在于基板上的特征结构(feature)的侧向尺寸。常常需要有一种蚀刻某一材料快于其他材料的蚀刻工艺,以例如协助进行图案转移工艺。这样的蚀刻工艺可说对于第一材料是具有选择性的。由于材料、电路与工艺的多样性,因此蚀刻工艺已发展出可对多种材料具有选择性。
SiConiTM蚀刻是一种远端等离子体辅助的干式蚀刻工艺,该工艺包含让基板同时暴露于氢气、三氟化氮与氨气等离子体副产物下。氢与氟物种的远端等离子体激发允许无等离子体破坏的基板处理。SiConiTM蚀刻对于氧化硅层大部分为共形的且具有选择性的,但是不论硅是非晶硅、结晶硅或多晶硅,都不会轻易地蚀刻硅。选择性对于诸如浅沟槽隔离(shallow trench isolation,STI)与层间介电层(inter-layer dielectric,ILD)凹槽形成之类的应用提供了优点。
在基板材料被移除时,SiConiTM工艺所产生的固态副产物会生长在基板表面上。固态副产物随后会在提高基板温度时通过升华而被移除。然而,当技术缩小至32nm及以下的沟槽宽度时,在与最小沟槽尺寸比较下,这些固态副产物的尺寸变成不可忽略。固态副产物的可观尺寸可能会对ILD凹槽表面粗糙度以及沟槽到沟槽间氧化硅界面的高度变异性构成挑战。
在SiConiTM蚀刻工艺中需要可降低表面粗糙度及高度变异性的方法。
发明内容
本发明描述一种蚀刻含硅材料的方法,该方法包含相较于先前技术具有较大或较小的氢氟流速比的SiConiTM蚀刻。已发现以此方式改变流速可降低蚀刻后表面的粗糙度,以及降低稠密图案化区域与稀疏图案化区域的蚀刻速率差异。其他降低蚀刻后表面粗糙度的手段包含脉冲化前体的流动和/或等离子体功率、维持相对高的基板温度与在多个步骤中执行SiConiTM。上述每个方法可单独或合并使用,用于通过限制固态残留物晶粒大小来降低蚀刻表面的粗糙度。
依据一实施例,一种在基板处理室的基板处理区中蚀刻基板表面上的含硅层的方法,该方法遗留下相对平滑的蚀刻后表面,该方法包含:将含氟前体与含氢前体流入第一远端等离子体区,并同时在第一等离子体区中形成等离子体以产生等离子体流出物,而第一远端等离子体区流体地耦合至基板处理区。含氟前体的流速与含氢前体的流速所导致的氢-氟原子流速比是小于1:1或大于5:1。该方法更包含:通过使等离子体流出物流入基板处理区来蚀刻含硅层,并同时形成固态副产物于该基板表面上;以及,通过使基板的温度升高至高于该固态副产物的升华温度来升华固态副产物,并遗留下相对平滑的蚀刻后表面。
依据另一实施例,一种在基板处理室的基板处理区中蚀刻基板表面上的含硅层的方法,该方法降低稠密图案化区域与稀疏图案化区域的蚀刻速率差异,该方法包含:将含氟前体与含氢前体流入第一远端等离子体区,并同时在第一等离子体区中形成等离子体以产生等离子体流出物,而第一远端等离子体区流体地耦合至基板处理区。含氟前体的流速与含氢前体的流速所导致的氢-氟原子流速比是小于1:1或大于5:1。此方法更包含:通过使等离子体流出物流入基板处理区来蚀刻位于稠密图案化区域与稀疏图案化区域的含硅层,并同时形成固态副产物于基板表面上;以及通过使基板温度升高至高于固态副产物的升华温度来升华固态副产物。
依据又一实施例,一种在基板处理室的基板处理区中蚀刻基板表面上的含硅层的方法,该方法包含:将含氟前体与含氢前体流入第一远端等离子体区,并同时在第一等离子体区形成等离子体以产生等离子体流出物,而第一远端等离子体区流体地耦合至基板处理区。至少一种前体的流动包含流脉冲。此方法更包含:通过使等离子体流出物流入基板处理区来蚀刻含硅层,并同时形成固态副产物于该基板表面上;以及,通过使基板温度升高至高于固态副产物的升华温度来升华固态副产物。
依据又一实施例,一种在基板处理室的基板处理区中蚀刻基板表面上的含硅层的方法,该方法包含:将含氟前体与含氢前体流入第一远端等离子体区,并同时在第一等离子体区中形成一系列等离子体脉冲以产生等离子体流出物,而第一远端等离子体区流体地耦合至该基板处理区;通过使等离子体流出物流入基板处理区来蚀刻含硅层,并同时形成固态副产物于该基板表面上;以及,通过使基板温度升高至高于固态副产物的升华温度来升华固态副产物。
在说明书中,下文会部分提出其他实施例及特征,对于本领域的技术人员来说,在查阅说明书之后,部分内容将是十分明显的,或是实施已揭露的实施例之后,而可习得。通过说明书描述的手段、结合与方法,可实现与达到所揭露实施例的特征与优点。
附图说明
通过参照本说明书的其余部分与附图,可实现对所揭露实施例的基本性质与优点的进一步了解。
图1是依照所揭露实施例的含硅材料蚀刻工艺的流程图。
图2是指示依照所揭露实施例的SiConiTM蚀刻工艺的氢氟流速比与表面粗糙度及蚀刻速率的关系的曲线图。
图3A-3B表示在标准SiConiTM与所揭露实施例的平滑SiConiTM之后的图案化表面。
图4是依照所揭露实施例的含硅材料蚀刻工艺的流程图。
图5A-5B表示在标准SiConiTM与所揭露实施例的平滑SiConiTM之后的图案化表面。
图6是依照所揭露实施例的用于执行蚀刻工艺的处理室的剖面视图。
图7是依照所揭露实施例的用于执行蚀刻工艺的处理系统。
在附图中,相似的部件和/或特征可具有相同的元件符号。再者,相同类型的各种部件的区别可以通过在元件符号后方加注虚线及第二符号来区别相似的部件。若在说明书中仅使用第一元件符号,则说明可适用于具有相同第一元件符号的相似部件的任一者,而不论第二元件符号。
具体实施方式
本发明描述一种蚀刻含硅材料的方法,该方法包含相较于先前技术具有较大或较小的氢氟流速比(flow ratio)的SiConiTM蚀刻工艺。已发现以此方式改变流速比可降低蚀刻后(post-etch)的表面粗糙度,以及降低稠密图案化区域与稀疏图案化区域的蚀刻速率差异。其他降低蚀刻后的表面粗糙度的手段包含:对前体的流动和/或等离子体功率进行脉冲化、维持相对高的基板温度、与在多个步骤中执行SiConiTM。上述每个方法可单独或合并使用,用于通过限制固态残留物晶粒大小来降低蚀刻表面的粗糙度。
SiConiTM蚀刻工艺已经使用氨(ammonia,NH3)作为氢的来源,并使用三氟化氮(nitrogen trifluoride,NF3)作为氟的来源,两者一起流经远端等离子体系统(remote plasma system,RPS)且进入反应区。过去,SiConiTM蚀刻工艺已被最佳化来有效地使用供应的氟与氢。使氨的流速为三氟化氮的两倍(更一般译为氢氟原子流速比为约2:1),此已被用于产生高蚀刻速率。已发现改变流速中的一者或两者使氢(at.%)流速与氟(at.%)流速之比大于或小于2:1,可降低所蚀刻的含硅表面的粗糙度。在不同实施例中,氢氟原子流速比可为小于1:1、小于1:2、小于1:4、大于5:1、大于10:1与大于20:1。
在不让权利要求范围受限于假设工艺机制的情况下,将流速比改变为“氢缺乏状态(hydrogen-starved regime)”或“氟缺乏状态(fluorine-starved regime)”,此被认为可以提高可生长的固态残留物成核位置的密度。较大量的成核位置可导致蚀刻步骤完成时固态残留物的平均晶粒尺寸变小。较小的晶粒尺寸也可减少蚀刻工艺的屏蔽效应(screening)与其他晶粒尺寸效应,从而导致跨越含硅层的蚀刻速率在空间上的变化减少。
为使更佳了解本发明,现请参考图1,图1是根据所揭露实施例的氟缺乏SiConiTM蚀刻工艺的流程图。工艺是从传送基板至处理室(步骤110)开始。氧化硅层位于基板表面上。氨与三氟化氮的流动开始进入与处理区分开的等离子体区(步骤115与120)。分开的等离子体区在此可称为远端等离子体区,并且可能为与处理室不同的模块或是位于处理室中的隔室。控制氨与三氟化氮的流动,使氢氟原子流速比维持在约10:1(步骤122)。来自远端等离子体的远端等离子体流出物或产物流入处理区,并允许远端等离子体流出物或产物与基板表面反应(步骤125)。蚀刻氧化硅层(步骤130),接着停止气体流(步骤135)。加热基板以升华蚀刻工艺所遗留下的固态副产物(步骤140),再从处理区中移出基板(步骤145)。
图2显示在各种氢氟原子流速比下的SiConiTM蚀刻期间的蚀刻速率与经过升华后的表面粗糙度。氢氟流速比接近2:1时,表面粗糙度具有最大值,并且在氢缺乏状态(峰的左方)及氟缺乏状态(峰的右方)时表面粗糙度降低。蚀刻速率对流速比的依赖较小,这使流速比可做为控制表面粗糙度的有用参数。当氢氟流速比较图中所示者更为增加时,氟浓度下降以致于蚀刻速率骤降。当处于低氢氟流速比时,由于还持续供给氟,故蚀刻仍可继续进行。表面粗糙度测量使用在轻敲模式(tapping mode)下操作的原子力显微术(atomic force microscopy,AFM)进行。AFM测量在尺寸1μm×1μm的方型图案上进行,而图2所示的表面粗糙度测量根据所有测量点的rms(均方根)计算来确定。
图3显示在标准SiConiTM(图3A)与依照所揭露实施例的平滑SiConiTM(smooth SiConiTM)(图3B)之后的粗糙度。在图3A中,可清楚见到沿着氧化硅填充沟槽的纹路(表面粗糙度)。为了形成图3B所示的图案化的表面,实施平滑SiConiTM,其中氨流速为350sccm,三氟化氮流速为35sccm。此代表图2右侧的氟缺乏状态。在图3B中难以分辨出沿着氧化硅填充沟槽的纹路。图中缺乏纹路反映出缺乏表面粗糙度,这是因为在升华之前,蚀刻时所产生固态残留物的晶粒尺寸较小所致。图3A与3B表示在固态残留物升华后的表面。在图3A与3B的各图中,图案化基板温度在蚀刻操作时为30℃,在升华固态残留物时则升至100℃。
利用相同的原理机制,脉冲化所施加的等离子体功率或是脉冲化前体中的一者或两者的流动,也可导致较平滑的蚀刻后表面。在不让权利要求范围受限于任何特殊操作理论下,发明人认为这样的脉冲化也能产生较高密度的固态副产物成核位置。图4显示脉冲化的等离子体工艺。工艺的开始步骤类似于图1的工艺,为传送基板至处理室(步骤410)。氧化硅层位于基板表面上。氨与三氟化氮的流动开始进入与处理区分开的等离子体区(步骤415与420)。此时,等离子体功率以约0.1Hz-约1.0Hz的重复频率被脉冲化(步骤422)。远端等离子体流出物流入处理区,并在此处与基板表面反应(步骤425)。蚀刻氧化硅层(步骤430),接着停止气体与远端等离子体流出物流入处理区(步骤435)。加热基板以升华蚀刻工艺所遗留下的固态副产物(步骤440),再从处理区中移出基板(步骤445)。
SiConiTM蚀刻可在多个步骤中进行,这也能用以降低蚀刻后的表面的粗糙度。移除薄膜总厚度的工艺可在两个分离的步骤中进行,每个步骤包含可移除30-80%薄膜总厚度的简短SiConiTM工艺。每个步骤包含一次蚀刻与一次退火以升华固态残留物。在重复的SiConiTM工艺期间,在蚀刻时可将基板维持在相对高的基板温度,以使提高较少的温度就可以升华固态残留物。减少升华时所需的热差异可缩短退火步骤、缩短整体工艺及允许相对高的净处理量。移除薄膜总厚度的工艺也可在两个以上的步骤中进行。举例来说,可使用三个、四个或五个步骤来移除薄膜总厚度,每个步骤分别移除薄膜总厚度的20%-40%、15%-35%或10%-20%。在不同实施例中,退火步骤时间可少于约30秒、少于约20秒、少于约10秒或少于约5秒。重复的SiConiTM工艺可以结合任一在此提及的其他技术,以得进一步平滑蚀刻后的表面。在不同实施例中,每一步骤可移除的厚度为小于或约
Figure BDA00001821592100061
小于或约
Figure BDA00001821592100062
小于或约小于或约小于或约
Figure BDA00001821592100065
或小于或约
Figure BDA00001821592100066
图5A-5B显示在标准SiConiTM工艺(图5A)与依照所揭露实施例在多个步骤中进行的平滑SiConiTM工艺(图5B)之后的图案化表面。在图5A中可清楚见到在氧化硅填充沟槽中的氧化硅界面的高度变异性。这种类型的变异特别发生在较窄沟槽上,机制类似于造成第3A图所示之粗糙度的机制。为了形成图5B所示的图案化表面,在多个步骤中执行平滑SiConiTM工艺,每个步骤的材料移除量少于单一步骤(造成图5A中所示的变异性)的材料移除量。在图5B中,难以分辨出氧化硅界面的高度变异性。因为在升华之前,蚀刻时所产生固态残留物的晶粒尺寸较小,所以在图中缺乏高度变异性。固态残留物晶粒的尺寸已被减少至基本上小于沟槽宽度。图5A-5B两者显示在固态残留物升华以及一些附加处理(包含沉积)之后的表面。在图5A与5B中,图案化的基板的温度在蚀刻操作过程中为30℃,在升华固态残留物时则升至100℃。
在此所展现的技术已经显示可降低表面粗糙度及沟槽中的氧化硅的高度变异性。这些问题的主要原因之一是蚀刻剂与介电薄膜反应所形成的晶体(即固态副产物)。与高级节点(advanced node)的沟槽尺寸相当的晶体尺寸,结合不同晶体定向、成核位置分布及浓度,会造成上述问题。在此显示的技术通过连续进行多次蚀刻反应来解决这些问题,从而限制晶体成长而有较佳的局部均一性控制。连续应用SiConiTM蚀刻,以及在氢缺乏状态或氟缺乏状态下操作,会导致形成不同的蚀刻剂,并造成形成不同大小及多孔性的晶体。通过改变上述SiConiTM蚀刻,可形成较稠密且局部更均一的晶体层,从而能够在多个沟槽之间以及单一沟槽凹口内实现较均一的蚀刻速率。
上述方法也还有其他用途。在具有一些较稠密图案化区域与一些较稀疏图案化区域的图案化基板上进行传统SiConiTM时,两个区域之间可能表现出不同的蚀刻速率。在此讨论的平滑SiConiTM工艺可使蚀刻速率差异降低,且增进SiConiTM蚀刻对于具有开放及稠密氧化硅区域的图案化晶圆的实用性。因为类似于先前示例中的使蚀刻后表面平滑化的机制,所以可呈现此效应。由于成核位置之间的平均间隔可能变得远小于较小的含硅区块(patch)的横向尺寸,所以较小的固态残留物晶粒的较高分布密度可使较小的介电层区块(存在于稠密图案化区域)显露出较类似于开放区域。此最终结果使稠密图案化区域与稀疏图案化区域的蚀刻速率差异减少。
平滑SiConiTM蚀刻的附加工艺参数将在描述的例示处理系统的过程中揭露。
例示处理系统
图6为显示可实施本发明实施例的例示处理室600的部分剖面视图。一般来说,含氢前体与含氟前体可经过一个或多个孔洞651引入至远端等离子体区661-663,并由等离子体电源646激发。
在一实施例中,处理室600包含腔室主体612、盖组件602及支撑组件610。盖组件602设置于腔室主体612的上方端,而至少有一部分的支撑组件610设置于腔室主体612内。处理室600与相关联的硬体较佳由一种或多种与工艺相容的材料所制成(例如铝、不锈钢等)。
腔室主体612包含形成于该腔室主体的侧壁中的狭缝阀开口660,用以进入到处理室600的内部。狭缝阀开口660可选择性地开启及关闭,以允许晶圆操作机器手(未示出)进入腔室主体612内部。在一实施例中,晶圆可经由狭缝阀开口660来传送进出处理室600至邻近的传送室和/或加载锁定室,或群集式工具内的其他室。可包含处理室600的一种例示群集式工具如图7所示。
在一个或多个实施例中,腔室主体612包含腔室主体通道613,供传热流体流经腔室主体612。此传热流体可为加热流体或是冷却剂,而可在处理及基板传送过程中,用以控制腔室主体612的温度。加热腔室主体612可帮助避免不必要的气体或副产物凝结于腔室壁上。例示性的传热流体包含水、乙二醇或前述传热流体的混合物。例示性的传热流体亦可包含氮气。支撑组件610可具有支撑组件通道604,供传热流体流经支撑组件610,进而影响基板温度。
腔室主体612更可包含围绕支撑组件610的衬垫633。衬垫633较佳为可以移除的,以进行维修及清洁。衬垫633可为金属(例如铝)或是陶瓷材料制成。然而,衬垫633可为任何与工艺相容的材料。衬垫633可以是喷砂处理的(beadblasted),以增加沉积在该衬垫上的任何材料的附着性,进而避免材料剥落而污染处理室600。在一个或多个实施例中,衬垫633包含一个或多个孔洞635与形成在衬垫中的抽气通道629,该抽气通道629与真空系统流体连通。孔洞635提供气体进入抽气通道629的流动路径,让处理室600内的气体得以排出。
真空系统可包含真空泵625与节流阀627,以调节通过处理室600的气体流动。真空泵625耦接至设置于腔室主体612上的真空端口631,因而与衬垫633内形成的抽气通道629流体连通。术语“气体”与“多种气体”是可替换的,除非特别注解,否则指的是一种或多种的反应物、催化剂、载体、冲洗物、清洁物及反应物、催化剂、载体、冲洗物、清洁物的结合物,以及任何其他引入腔室主体612的流体。术语“前体”用以指的是参与反应以自表面上移除或沉积材料的任何工艺气体。
孔洞635允许抽气通道629与腔室主体612内的处理区640流体连通。处理区640由盖组件602的下表面与支撑组件610的上表面所界定,并由衬垫633所包围。孔洞635可为均一尺寸,并且围绕着衬垫633而均匀间隔设置。然而,可以使用任何数量、位置、尺寸或形状的孔洞,且每一个设计参数可取决于跨越基板承接表面的期望气体流动模式而改变,以下将会更详细地讨论。另外,孔洞635的尺寸、数量及位置被配置以达到离开处理室600的均一气体流动。进一步地,孔洞的尺寸及位置可被配置以提供快速或高容量的抽吸,以促进气体快速从处理室600中排出。举例来说,靠近真空端口631处的孔洞635的数量及尺寸小于远离真空端口631的孔洞635的尺寸。
气体供应板(未标示)典型地用于通过一个或多个孔洞651来提供工艺气体至处理室600。所使用的特定气体取决于处理室600内欲进行的工艺(或多个工艺)。例示气体可包含但不限于为一种或多种前体、还原剂、催化剂、载体、冲洗物、清洁物或前体、还原剂、催化剂、载体、冲洗物、清洁物的任何混合物或组合物。典型地,导引至处理室600的一种或多种气体经过顶板650中的孔洞651而流入等离子体容积(plasma volume)661中。或者或结合,处理气体也可直接经由孔洞652来进入处理区640。孔洞652绕过远端等离子体激发区,并且利于包含不需等离子体激发的气体的工艺,或是无受益于气体的额外激发的工艺。电子操作阀和/或流量控制构件(未示出)可用来控制自气体供应器进入处理室600的气体流动。取决于工艺,可传送任何数量的气体至处理室600,且任何数量的气体可在处理室600内混合或在传送至处理室600之前混合。
盖组件602可进一步包含电极645,以在盖组件602内产生反应性物种的等离子体。在一实施例中,由顶板650支撑的电极645通过插入以氧化铝或任何其他与工艺相容的绝缘材料制成的电性隔离环647而与顶板650电性隔离。在一个或多个实施例中,电极645耦接至电源646,而盖组件602的其余部分为接地。因此,在电极645与环状安装凸缘622之间,由容积661、662和/或663组成的远端等离子体区中可产生一种或多种的工艺气体的等离子体。在多个实施例中,环状安装凸缘622包含或支撑气体传送板620。举例来说,在电极645与阻挡组件630的一个或二个阻挡板之间可起始与维持等离子体。或者,在没有阻挡组件630时,在电极645与气体传送板620之间可撞击并容纳等离子体。在任一实施例中,等离子体会被良好地局限或包含在盖组件602内。因此,由于活性等离子体(active plasma)没有直接接触位于腔室主体612内的基板,所以此等离子体为“远端等离子体”。因此,由于等离子体与基板表面为分开的,所以可避免基板被等离子体破坏。
多种的电源646能够用来激活含氢前体(例如氨)与含氮前体(三氟化氮)。举例来说,可以使用以射频(RF)、直流电(DC)或微波(MW)为基础的放电技术。也可使用基于热能的技术、气体解离技术、高强度光源(如UV光)或暴露在x光源中来进行激活。或者,可使用远端激活源(如远端等离子体发生器)以产生接着要传送进入处理室600的反应性物种的等离子体。例示的远端等离子体发生器可购自例如MKS仪器公司(MKS Instruments,Inc)与先进能源工业公司(Advanced Energy Industries,Inc)的贩售商。在例示处理系统中,RF电源与电极645连接。较高功率的微波电源646在反应性氧也将利用电源646来制造的情况下是有利的。
工艺腔室主体612与基板的温度可通过使传热介质分别流经腔室主体通道613与支撑组件通道604来控制。形成于支撑组件610内的支撑组件通道604可促进热能传送。腔室主体612与支撑组件610可独立冷却或加热。举例来说,加热流体可流经一者,而冷却流体可流经另一者。
还有其他方法可用于控制基板温度。可通过用电阻加热器加热支撑组件610(或支撑组件的一部分,例如底座)来加热基板,或通过一些其他方法来加热。在另一配置中,气体传送板620可保持在高于基板的温度,而基板可被升高以提高基板温度。在此情况下,基板是被辐射加热,或通过使用气体将热能自气体传送板620传导至基板。基板可通过提高支撑组件610或使用升降销来升高。
在不同实施例中,在此描述的蚀刻工艺期间,腔室主体612可保持在50℃–80℃之间、55℃–75℃之间或60℃–70℃之间的大致温度范围内。在不同实施例中,在暴露于等离子体流出物和/或氧化剂时,基板可保持在低于约100℃、低于约65℃、约15℃–50℃之间或约22℃–40℃之间。基板在蚀刻过程中也可保持在升高温度下,以进一步减小固态副产物的晶粒尺寸。在SiConiTM蚀刻期间,在不同实施例中,基板可保持在约40℃-90℃之间、约50℃-85℃之间或约60℃-80℃之间。
等离子体流出物包含多种分子、分子片段与离子化物种。现在的SiConiTM蚀刻的使人感兴趣的理论机制可能完全正确或可能不是完全正确,但此述之包含NH4F与NH4F.HF的等离子体流出物被认为会轻易地与低温基板反应。等离子体流出物可与氧化硅表面反应,以形成(NH4)2SiF6、氨与水产物。此述的处理条件下的氨与水为蒸气,并可通过真空泵625自处理区640中移除。在基板表面上留下薄而不连续的(NH4)2SiF6固态副产物层。
在暴露于等离子体流出物及固态副产物的相关联的堆积之后,可加热基板来移除副产物。在多个实施例中,可通过在气体传送板620内或附近并入加热元件670来加热气体传送板620。可通过减少基板与加热的气体传送板间的距离来加热基板。在不同实施例中,气体传送板620可被加热至约100℃–150℃之间、约110℃–140℃之间或约120℃–130℃之间。在不同实施例中,通过减少基板与被加热气体传送板间的距离,基板可被加热至大于约75℃、大于约90℃、大于约100℃或在约115℃–150℃之间。自气体传送板620传至基板的辐射热能,应足够使基板上的固态(NH4)2SiF6解离或升华为可挥发的SiF4、NH3与HF产物,这些产物可被抽吸离开处理区640。
在不同实施例中,在缺乏氢的蚀刻工艺过程中,三氟化氮(或其他含氟前体)可流至远端等离子体容积661,流速可在约25sccm–约200sccm之间、约50sccm–约150sccm之间或约75sccm–约125sccm之间。在不同实施例中,氨(或一般而言含氢前体)可流至远端等离子体容积661,流速可低于或约200sccm、低于或约150sccm、低于或约100sccm、低于或约75sccm、低于或约50sccm、或低于或约25sccm。
在不同实施例中,在缺乏氟的蚀刻工艺过程中,氨(或一般而言含氢前体)可流至远端等离子体容积661,流速可在约50sccm–约300sccm之间、约75sccm–约250sccm之间、约100sccm–约200sccm之间、或约120sccm–约170sccm之间。在不同实施例中,三氟化氮(或一般而言含氟前体)可流至远端等离子体容积661,流速可低于或约100sccm、低于或约75sccm、低于或约50sccm、低于或约25sccm、或低于或约15sccm。
进入远端等离子体区的含氢与含氟前体的结合流速估计可为总气体混合物体积的0.05%-约20%,剩余部分则为载气。在一实施例中,冲洗气体或载气在那些反应性气体之前首先开始进入远端等离子体区,用以稳定远端等离子体区内的压力。
通过相对于盖组件602的其余部分而对电极645施加等离子体功率,以在容积661、662和/或663内产生等离子体流出物。等离子体功率可为不同频率或多个频率的组合。在例示处理系统中,等离子体通过传送至电极645的RF功率来提供。在不同实施例中,RF功率可为约1W-约1000W之间、约5W-约600W之间、约10W-约300W之间或约20W-约100W之间。在不同实施例中,在例示处理系统中所施加的RF频率可少于约200kHz、少于约150kHz,少于约120kHz或在约50KHz–约90kHz之间。
在臭氧、氧气、载气和/或等离子体流出物流入处理区640的期间,处理区640可保持在不同的压力下。在不同实施例中,此压力可维持在约500mTorr–约30Torr之间,约1Torr–约10Torr之间、或约3Torr–约6Torr之间。也可在处理区640内使用较低的压力。在不同实施例中,此压力可维持在低于或约500mTorr、低于或约250mTorr、低于或约100mTorr、低于或约50mTorr、或低于或约20mTorr。
在一个或多个实施例中,处理室600可整合到各种多处理平台,包含可从应用材料公司(Applied Materials,Inc,位于加州圣克拉拉市)购得的ProducerTMGT、CenturaTM AP与EnduraTM平台。这样的处理平台能够在不破坏真空的状态下执行数个处理操作。
图7为例示性多处理室处理系统700的俯视示意图。系统700可包含一个或多个加载锁定室702、704,用以传送基板进出系统700。因系统700处于真空的下,加载锁定室702、704可典型地将导引进入系统700的基板进行“抽真空(pump down)”。第一机器手710可在加载锁定室702、704与第一组的一个或多个基板处理室712、714、716、718(图示为四个)之间传送基板。每一个处理室712、714、716、718可配置以执行数种基板处理操作,包含在此描述的干式蚀刻工艺,除此之外,还有循环层沉积(cyclical layer deposition,CLD)、原子层沉积(atomic layer deposition,ALD)、化学气相沉积(chemical vapordeposition,CVD)、物理气相沉积(physical vapor deposition,PVD)、蚀刻、预清洁(pre-clean)、除气(degas)、定向(orientation)与其他基板工艺。
第一机器手710也可传送基板至一个或多个传送室722、724,并将基板由该一个或多个传送室722、724传送出。传送室722、724可用于保持超高真空状态,并同时允许基板在系统700内传送。第二机器手730可在传送室722、724与第二组的一个或多个处理室732、734、736、738之间传送基板。基板处理室732、734、736、738类似于基板处理室712、714、716、718,可配置以执行多种基板处理操作,包含在此描述的干式蚀刻工艺,除此之外,例如有循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁,除气与定向。如果对于要在系统700中执行的特定工艺来说不是必需的,则可从系统移除任一基板处理室712、714、716、718、732、734、736、738。
臭氧发生器751可设置于无尘室外,由供应管线自臭氧发生器751携带工艺气体至用于进行此处所述氧化-SiConiTM工艺的处理室734中。远端等离子体系统(RPS)753可设置于SiConiTM处理室734的远端或与SiConiTM处理室734整合在一起(如图所示)。或者,远端等离子体系统753与处理室734是分开的,但是非常靠近,或甚至物理性地附接至处理室734。在远端等离子体系统753内激发的反应性氧可更直接地(例如经由孔洞752)引入处理区740,而其他来自气体处理系统755的工艺气体则由孔洞651引入,并由位于工艺容积661、662和/或663内的远端等离子体激发。
系统控制器757可用来控制马达、阀、流量控制器、电源与其他执行在此叙述的工艺配方所需的功能。系统控制器757可依靠光学传感器的反馈来判定与调整可移动的机械组件的位置。机械组件可包含机器手、节流阀与基座(susceptor),并通过系统控制器757所控制的马达来移动。
在例示实施例中,系统控制器757包含硬盘驱动器(存储器)、USB端口、软盘驱动器及处理器。系统控制器757包含模拟与数字输入/输出板,接口板与步进马达控制器板。由系统控制器757来控制包含处理室700的多腔室处理系统700的各个部位。系统控制器执行系统控制软件,这些软件以计算机程序的形式储存在计算机可读介质中,例如硬盘、软盘或闪存拇指驱动器。也可使用其他种类的存储器。计算机程序包含指令集,以控制时序、气体混合物、腔室压力、腔室温度、RF功率水平、基座位置及特定工艺的其他参数。
使用经由控制器执行的计算机程序产品,可在基板上进行蚀刻、沉积或以其他方式处理薄膜的工艺,或是清洁腔室的工艺。计算机程序代码可用任何传统计算机可读的编程语言来撰写,举例来说,68000汇编语言、C、C++、帕斯卡程序语言(Pascal)、福传程序语言(Fortran)或其他程序语言。适合的程序代码可使用传统的文本编辑器输入至单一文件或多个文件中,再储存或收录在计算机可用介质中,例如计算机的存储器系统。假如输入的代码文本是高级语言,则代码会先被编译,所得编译代码会与预编译的微软视窗
Figure BDA00001821592100141
程序库例程(Microsoftlibrary routines)的目标代码链接。为了执行链接的、编译的目标代码,系统使用者调用目标代码,使得计算机系统将代码载入存储器中。然后CPU会读取及执行此代码,来进行程序中所标识的任务。
在使用者与控制器之间的界面可透过触敏监视器,也可包含鼠标及键盘。在一实施例中,可使用两个监视器,一个安装在无尘室墙上,给操作员用,另一个安装在墙的后方,给服务技术人员用。此两个监视器可同时显示相同的信息,在这种情况下在某一时间只有一个被配置成接收输入。操作员以手指或鼠标点选显示屏幕上的指定区域来选择特定的屏幕或功能。被触控的区域会改变该区域的高亮颜色或显示新的菜单或屏幕,用以确认操作员的选择。
在此使用的“基板”可以是具有或不具有在该基板上形成的层的支撑基板。支撑基板可为绝缘体或具有多种掺杂浓度及分布的半导体,举例来说,支撑基板可以是用于制造集成电路的半导体基板类型。处于“激发态”的气体描述的是一种气体,该气体中至少有部分气体分子在振动激发态、解离态和/或离子化态。气体可为两种或多种气体的组合物。使用的术语“沟槽”自始至终不涉及被蚀刻的几何形状具有很大的水平深宽比(aspect ratio)。由表面上方观看,可见到沟槽为圆形、椭圆形、多边形、矩形或各种其他形状。“脉冲化”等离子体包含使等离子体功率在非零值与相对低值之间交替,其中相对低值使固态残留物的生长极少。“脉冲化”等离子体也包含使前体中的一者或两者的流动在非零值与相对低值之间交替;相对低的流速会实质降低在脉冲间蚀刻工艺的进行。等离子体功率的交替与流速的交替可分开或合并使用。
已经揭露一些实施例,在不脱离本发明揭露实施例精神的情况下,本领域的技术人员将会理解可使用各种更动、替换结构及等效物。另外,未叙述一些已知工艺及元件,是为了避免不必要地模糊本发明。因此,以上叙述非用于限制本发明的范围。
当提供数值范围时,可以了解介于所提供数值范围的上限与下限之间的各个居中数值(intervening value)到下限单位的十分之一(除非上下文有清楚的指示),也是被特定揭露的。在所述范围内的任何指定数值与居中数值之间,以及在那个指定范围内的任何其他指定数值或居中数值之间的每个较小范围均被包含。这些较小范围的上限与下限在范围中可独立地被包含或排除,且包含任一界线、不包含任一界线、或包含两者界线的较小范围内的每个范围也包含在发明内,指定范围内受到任何特定排除界线除外。指定范围包含一或两个界线的情况下,排除任一或两个界线的那些范围也包含在内。
除非上下文有清楚指示,否则,在此使用及权利要求内的单数形式的“一”与“该”包含复数个指定对象。因此,举例来说,如“一个工艺”,则包含了多个这样的工艺,如“该介电材料”,则包含一个或多个介电材料及本领域的技术人员所知的等效物。
同时,在说明书中与下列权利要求中使用的“包含”与“包括”一词,用以特意指定特定特征、整体、成分或步骤的存在,但不排除存在或附加的一个或多个其他特征、整体、成分、步骤、行为或族群。

Claims (15)

1.一种在基板处理室的基板处理区中蚀刻在基板的表面上的含硅层的方法,其中所述方法遗留下相对平滑的蚀刻后(post-etch)表面,所述方法包含:
将含氟前体与含氢前体流入第一远端等离子体区,并同时在所述第一等离子体区中形成等离子体以产生等离子体流出物(plasma effluents),所述第一远端等离子体区流体地耦合至所述基板处理区,其中所述含氟前体的流速与所述含氢前体的流速所导致的氢-氟原子流速比(flow ratio)为小于1:1或大于5:1;
通过使所述等离子体流出物流入所述基板处理区来蚀刻所述含硅层,并同时在所述基板的所述表面上形成固态副产物;以及
通过使所述基板的温度升高至高于所述固态副产物的升华温度来升华所述固态副产物,而遗留下所述相对平滑的蚀刻后表面。
2.如权利要求1所述的方法,其中所述含氟前体包含至少一种前体,所述至少一种前体选自于由三氟化氮、氟化氢、双原子氟、单原子氟及氟代碳氢化合物所组成的群组。
3.如权利要求1所述的方法,其中所述含氢前体包含至少一种前体,所述至少一种前体选自于由原子氢、分子氢、氨、碳氢化合物及不完全卤代碳氢化合物(incompletely halogen-substituted hydrocarbon)所组成的群组。
4.如权利要求1所述的方法,其中所述含硅层在蚀刻操作的过程中维持在约50℃或以上。
5.如权利要求1所述的方法,其中蚀刻所述含硅层与升华所述固态副产物的操作构成一个步骤,并且使用整数个步骤来减少每个循环过程中所移除的材料量。
6.如权利要求5所述的方法,其中在三个步骤中移除所述含硅层的总厚度,并且每个步骤移除所述总厚度的20%-40%。
7.如权利要求5所述的方法,其中每个步骤从所述含硅层移除约
Figure FDA00001821592000021
或以下。
8.如权利要求1所述的方法,其中所述含氟前体的流速与所述含氢前体的流速所导致的氢-氟原子流速比为小于1:2。
9.如权利要求1所述的方法,其中所述含氟前体的流速与所述含氢前体的流速所导致的氢-氟原子流速比为大于10:1。
10.如权利要求1所述的方法,其中所述含硅层包含氧化硅。
11.如权利要求1所述的方法,其中所述方法降低稠密图案化区域与稀疏图案化区域的蚀刻速率差异。
12.一种在基板处理室的基板处理区中蚀刻基板的表面上的含硅层的方法,所述方法包含:
将含氟前体与含氢前体流入第一远端等离子体区,并同时在所述第一等离子体区中形成一系列的等离子体脉冲以产生等离子体流出物,所述第一远端等离子体区流体地耦合至所述基板处理区;
通过使所述等离子体流出物流入所述基板处理区来蚀刻所述含硅层,并同时形成固态副产物于所述基板的所述表面上;以及
通过使所述基板的温度升高至高于所述固态副产物的升华温度来升华所述固态副产物。
13.如权利要求12所述的方法,其中所述一系列的等离子体脉冲是通过脉冲化施加至所述第一等离子体区的等离子体功率所产生的,并且所述含氢前体的流动与所述含氟前体的流动在所述蚀刻操作期间为恒定。
14.如权利要求12所述的方法,其中所述一系列的等离子体脉冲是通过脉冲化所述含氟前体的流动与所述含氢前体的流动中的至少一者所产生的。
15.如权利要求12所述的方法,其中所述一系列的等离子体脉冲的重复频率为约0.1Hz-约1.0Hz。
CN201080059775.6A 2009-12-23 2010-11-22 用于含硅薄膜的平滑SiConi蚀刻法 Active CN102687249B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/646,030 US8501629B2 (en) 2009-12-23 2009-12-23 Smooth SiConi etch for silicon-containing films
US12/646,030 2009-12-23
PCT/US2010/057676 WO2011087580A1 (en) 2009-12-23 2010-11-22 Smooth siconi etch for silicon-containing films

Publications (2)

Publication Number Publication Date
CN102687249A true CN102687249A (zh) 2012-09-19
CN102687249B CN102687249B (zh) 2016-01-20

Family

ID=44151711

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080059775.6A Active CN102687249B (zh) 2009-12-23 2010-11-22 用于含硅薄膜的平滑SiConi蚀刻法

Country Status (7)

Country Link
US (1) US8501629B2 (zh)
JP (2) JP5931741B2 (zh)
KR (1) KR101425629B1 (zh)
CN (1) CN102687249B (zh)
SG (1) SG181669A1 (zh)
TW (1) TWI445081B (zh)
WO (1) WO2011087580A1 (zh)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104377107A (zh) * 2014-09-24 2015-02-25 上海华力微电子有限公司 一种用于SiCoNi蚀刻工艺的蚀刻装置
CN104979209A (zh) * 2014-04-09 2015-10-14 中芯国际集成电路制造(上海)有限公司 一种FinFET器件的制造方法
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
CN107924816A (zh) * 2015-06-26 2018-04-17 东京毅力科创株式会社 具有含硅减反射涂层或硅氧氮化物相对于不同膜或掩模的可控蚀刻选择性的气相蚀刻
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN115881621A (zh) * 2023-01-10 2023-03-31 广州粤芯半导体技术有限公司 浅沟槽隔离结构及其制备方法、半导体结构和芯片
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5274649B2 (ja) * 2009-03-26 2013-08-28 株式会社アルバック 真空処理方法及び真空処理装置
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102938378B (zh) * 2011-08-16 2015-06-17 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9023723B2 (en) 2012-05-31 2015-05-05 Applied Materials, Inc. Method of fabricating a gate-all-around word line for a vertical channel DRAM
US8895432B2 (en) 2012-05-31 2014-11-25 Applied Materials, Inc. Method of fabricating a self-aligned buried bit line for a vertical channel DRAM
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
CN102931069A (zh) * 2012-11-28 2013-02-13 上海华力微电子有限公司 栅极的制作方法
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9385123B2 (en) 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9589979B2 (en) 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9548239B2 (en) * 2015-02-02 2017-01-17 United Microelectronics Corp. Method for fabricating contact plug in an interlayer dielectric layer
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
WO2016210301A1 (en) * 2015-06-26 2016-12-29 Tokyo Electron Limited Gas phase etching system and method
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9870921B2 (en) 2015-09-22 2018-01-16 Applied Materials, Inc. Cleaning method
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
KR20180085807A (ko) 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 세정 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9673216B1 (en) 2016-07-18 2017-06-06 Sandisk Technologies Llc Method of forming memory cell film
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10504717B2 (en) 2016-09-16 2019-12-10 Applied Materials, Inc. Integrated system and method for source/drain engineering
US9876088B1 (en) 2016-09-19 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10381479B2 (en) 2017-07-28 2019-08-13 International Business Machines Corporation Interface charge reduction for SiGe surface
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10544519B2 (en) 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
DE102017120963A1 (de) 2017-09-11 2019-03-14 Aixtron Se Verfahren zum Ätzen einer Silizium enthaltenden Oberfläche
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
FR3090013B1 (fr) 2018-12-17 2020-12-25 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de fabrication d’une structure monocristalline
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021150419A1 (en) * 2020-01-24 2021-07-29 Tokyo Electron Limited High-throughput dry etching of silicon oxide and silicon nitride materials by in-situ autocatalyst formation
US11489043B2 (en) 2020-04-27 2022-11-01 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11398496B2 (en) 2020-04-27 2022-07-26 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11562909B2 (en) 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
US11646372B2 (en) 2020-09-19 2023-05-09 International Business Machines Corporation Vertical transistor floating body one transistor DRAM memory cell

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101153396A (zh) * 2006-09-30 2008-04-02 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法和装置
WO2009085958A2 (en) * 2007-12-21 2009-07-09 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20090221137A1 (en) * 2008-02-29 2009-09-03 Elpida Memory, Inc. Semiconductor device and manufacturing method therefor
WO2009117565A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2942138B2 (ja) * 1994-03-22 1999-08-30 三菱電機株式会社 プラズマ処理装置及びプラズマ処理方法
US5789300A (en) * 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
JP3627451B2 (ja) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6903511B2 (en) * 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7196342B2 (en) * 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US8252696B2 (en) * 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101153396A (zh) * 2006-09-30 2008-04-02 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法和装置
WO2009085958A2 (en) * 2007-12-21 2009-07-09 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20090221137A1 (en) * 2008-02-29 2009-09-03 Elpida Memory, Inc. Semiconductor device and manufacturing method therefor
WO2009117565A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
TWI612173B (zh) * 2012-11-08 2018-01-21 諾發系統有限公司 用以在敏感基板上沉積薄膜的方法
CN104979209A (zh) * 2014-04-09 2015-10-14 中芯国际集成电路制造(上海)有限公司 一种FinFET器件的制造方法
CN104377107A (zh) * 2014-09-24 2015-02-25 上海华力微电子有限公司 一种用于SiCoNi蚀刻工艺的蚀刻装置
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11538691B2 (en) 2015-06-26 2022-12-27 Tokyo Electron Limited Gas phase etch with controllable etch selectivity of Si-containing arc or silicon oxynitride to different films or masks
US10971372B2 (en) 2015-06-26 2021-04-06 Tokyo Electron Limited Gas phase etch with controllable etch selectivity of Si-containing arc or silicon oxynitride to different films or masks
CN107924816B (zh) * 2015-06-26 2021-08-31 东京毅力科创株式会社 具有含硅减反射涂层或硅氧氮化物相对于不同膜或掩模的可控蚀刻选择性的气相蚀刻
CN107924816A (zh) * 2015-06-26 2018-04-17 东京毅力科创株式会社 具有含硅减反射涂层或硅氧氮化物相对于不同膜或掩模的可控蚀刻选择性的气相蚀刻
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition
CN115881621A (zh) * 2023-01-10 2023-03-31 广州粤芯半导体技术有限公司 浅沟槽隔离结构及其制备方法、半导体结构和芯片

Also Published As

Publication number Publication date
CN102687249B (zh) 2016-01-20
JP6009520B2 (ja) 2016-10-19
JP2015053501A (ja) 2015-03-19
TW201133609A (en) 2011-10-01
KR101425629B1 (ko) 2014-07-31
US8501629B2 (en) 2013-08-06
WO2011087580A1 (en) 2011-07-21
KR20120102139A (ko) 2012-09-17
JP2013516069A (ja) 2013-05-09
JP5931741B2 (ja) 2016-06-08
US20110151674A1 (en) 2011-06-23
TWI445081B (zh) 2014-07-11
SG181669A1 (en) 2012-07-30

Similar Documents

Publication Publication Date Title
CN102687249B (zh) 用于含硅薄膜的平滑SiConi蚀刻法
CN103210478B (zh) 两阶段的均匀干式蚀刻
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
US9093390B2 (en) Conformal oxide dry etch
US8435902B2 (en) Invertable pattern loading with dry etch
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
JP5844390B2 (ja) 遠隔励起式のフッ素および水蒸気エッチング
CN103843117B (zh) 通过介稳氢终止的硅的选择性蚀刻
TWI442471B (zh) 形成至少一介電層之方法與系統
KR101884262B1 (ko) 실리콘 막들에 대한 선택적인 식각
CN101030530A (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
JP2013048127A (ja) アッシュ後の側壁の回復

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant