TWI442471B - 形成至少一介電層之方法與系統 - Google Patents

形成至少一介電層之方法與系統 Download PDF

Info

Publication number
TWI442471B
TWI442471B TW097140532A TW97140532A TWI442471B TW I442471 B TWI442471 B TW I442471B TW 097140532 A TW097140532 A TW 097140532A TW 97140532 A TW97140532 A TW 97140532A TW I442471 B TWI442471 B TW I442471B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
rate
substrate
feature
Prior art date
Application number
TW097140532A
Other languages
English (en)
Other versions
TW200931523A (en
Inventor
Li-Qun Xia
Mihaela Balseanu
Victor Nguyen
Derek R Witty
Saad Hichem M
Haichun Yang
Xinliang Lu
Chien-Teh Kao
Mei Chang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200931523A publication Critical patent/TW200931523A/zh
Application granted granted Critical
Publication of TWI442471B publication Critical patent/TWI442471B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

形成至少一介電層之方法與系統
本發明係關於形成至少一介電層的方法和系統。
自從幾十年前半導體元件的出現,半導體元件的幾何形狀在尺寸上顯著減小。現代半導體製造裝置一般生產特徵尺寸為250nm、180nm和65nm的元件,而即將開發和實施的新裝置使元件甚至具有更小的幾何形狀。然而,小尺寸意味著元件單元必須靠近一起工作,這會增加包括串音和寄生電容的電干擾機會。
為了減小電干擾的程度,採用介電絕緣材料填充元件單元、金屬線和其他元件特徵間之間隙、溝槽和其他空隙。選擇在元件特徵間之空隙中容易形成並且具有低介電常數(即,k值)的介電材料。具有較低k值的介電材料有利於最小化串音和RC時間延遲同時減小元件的總體功耗。傳統介電材料包括氧化矽,當用傳統CVD技術沉積時,氧化矽的平均k值在4.0到4.2之間。
在形成半導體元件期間,採用氮化矽介電膜作為各種應用中的阻擋層或蝕刻終止層。氮化矽介電膜具有與諸如低k介電材料的氧化矽不同的蝕刻速率。氮化矽介電膜可以對諸如其下方的電晶體柵極等結構提供期望的保護。
然而,橫跨具有密集且絕緣之元件的晶圓而形成的氮化矽介電膜可能具有不均勻的厚度,這是不想要的。而且,形成在呈階梯式高度分佈的底部、側壁和頂部的氮化矽介電膜的厚度也會不利地影響隨後的低-k介電材料的間隙填充效果。當半導體元件的形狀按比例縮小時這種情況變得更糟。
根據示例性實施例,一種形成結構的方法包括橫跨基材表面形成至少一特徵。在該至少一特徵上方形成含氮介電層。以第一速率去除該至少一特徵的至少一側壁上之含氮層的第一部分,並以第二速率去除鄰近該至少一特徵之底部區域的基材上之含氮層的第二部分。該第一速率大於該第二速率。在該含氮介電層上方形成介電層。
根據另一示例性實施例,一種形成電晶體的方法包括在基材上方形成至少一電晶體柵極。在該至少一電晶體柵極的側壁上形成至少一介電間隔部。在基材中形成鄰近所述電晶體柵極的至少一接觸區域。在該至少一電晶體柵極上方形成含氮介電層。以第一速率去除該至少一電晶體柵極的至少一側壁上之含氮層的第一部分,並以第二速率去除鄰近該至少一電晶體柵極之底部區域的基材上之含氮層的第二部分,其中該第一速率大於該第二速率。在該含氮介電層上方形成介電層。
根據一替代實施例,一種形成結構的方法包括橫跨基材表面形成至少一特徵;在該至少一特徵上方形成第一介電層。在該第一介電層上方形成第二介電層。以第一速率去除該至少一特徵的至少一側壁上之第二介電層的第一部分,並以第二速率去除鄰近該至少一特徵之底部區域的基材上之第二介電層的第二部分,該第一速率大於該第二速率。在蝕刻後的第二介電層上方形成第三介電層。
根據其他示例性實施例,一種設備包括室。基座設置在該室中用來支撐基材,其中橫跨該基材形成至少一特徵,在該至少一特徵上方形成有含氮層。噴頭設置在該室中並在該基座的上方。電漿產生器與該室耦連,其中該電漿產生器被構造為產生包括氟離子和氫離子的電漿。該電漿被提供到該室中,用於以第一速率去除該至少一特徵的至少一側壁上之含氮層的第一部分,以第二速率去除鄰近該至少一特徵之底部區域的基材上之含氮層的第二部分,該第一速率大於該第二速率。
關於其他實施例和特徵,一部分將在下面的說明書中闡明,一部分對本領域技術人員來說通過研究本說明書將變得更加明顯,或者通過本發明的實踐獲知。通過說明書所描述的裝置、組合和方法可以實現本發明的特徵和優點。
本發明描述了在至少一特徵(feature)(例如,橫跨基材表面形成的電晶體柵極)上方形成至少一層介電層的系統和方法。介電層經蝕刻處理。蝕刻處理可以期望減小間隙和/或溝槽的深寬比(例如,大約5:1或更高的深寬比)。然後可以在蝕刻的介電層上方形成介電層,以使得可以用介電材料實質無縫地填充這種深寬比的間隙和/或溝槽。
本發明的方法包括橫跨基材表面形成至少一特徵。在至少一特徵上方形成介電層。以第一速率去除特徵拐角周圍之介電層的第一部分,並以第二速率去除鄰近至少一特徵之底部區域的基材上之介電層的第二部分。在蝕刻後的介電層上方形成介電層。
示例性處理
第1A-1D圖是示出在兩個電晶體柵極之間填充示例性介電材料之示例性處理的示意截面圖。
參見第1A圖,橫跨基材100的表面形成至少一特徵101。特徵101可以是,例如,電晶體、電晶體柵極、溝槽、開口、間隙、導電線或其他具有深寬比的特徵。電晶體101可以形成在基材100的上方。基材可以是例如矽基材、Ⅲ-Ⅴ族化合物基材、矽/鍺(SiGe)基材、磊基材(epi-substrate)、絕緣體上矽(SOI)基材、顯示器基板例如液晶顯示器(LCD)、電漿顯示器、電致發光(EL)燈顯示器或發光二極體(LED)基材。在一些實施例中,基材100可以是半導體晶圓(諸如,200mm、300mm、400mm等矽晶圓)。
每個電晶體101可以包括形成在基材100上方的柵極介電層105。電晶體柵極110形成在柵極介電層105的上方。接觸區域120(例如,源/汲極區域)係形成在基材100中並且鄰近電晶體柵極110。柵極介電層105可以由諸如,氧化矽、氮化矽、氮氧化矽、高k介電材料(諸如,氧化鋁(Al2 O3 )、氧化鉿(HfO2 )、氮氧化鉿(HfON)、矽酸鉿(HfSiO4 )、氧化鋯(ZrO2 )、氮氧化鋯(ZrON)、矽酸鋯(ZrSiO4 )、氧化釔(Y2 O3 )、氧化鑭(La2 O3 )、氧化鈰(CeO2 )、氧化鈦(TiO2 )、氧化鉭(Ta2 O5 ))、其他介電材料或上述之各種組合製成。可以通過諸如,化學氣相沉積(CVD)處理、物理氣相沉積(PVD)處理、其他適於形成柵極介電材料層的半導體處理或上述各種組合來形成柵極介電層105。
電晶體柵極110可以由諸如,多晶矽;非晶矽;金屬材料,諸如Ru、Ti、Ta、W、Hf、Cu、Al;金屬氮化物;金屬氧化物,諸如RuO2 或IrO2 ;金屬氮化物,諸如MoN、WN、TiN、TaN、TaAlN;柵極矽化物,諸如CoSi2 或NiSi;適於用作電晶體柵極的其他金屬材料,或上述之各種組合。在一些實施例中,可以通過CVD處理、PVD處理、電化學電鍍處理、無電鍍覆處理或上述之各種組合形成電晶體柵極110。
接觸區域120係形成在基材100內。接觸區域120可以具有n型摻雜劑(例如,磷和砷)或p型摻雜劑(例如,硼)。可以通過至少一佈植處理形成接觸區域120。在一些實施例中,接觸區域120可以包括至少一輕摻雜汲極(LDD)。
再次參見第1A圖,至少一層介電層(諸如,氧化物層113、氮化物層115和氧化物層117)形成在電晶體柵極110的側壁上。可以將氧化物層113、氮化物層115和氧化物層117構造為保護電晶體柵極110和/或用作向基材中佈植離子以形成接觸區域120的遮罩。在一些實施例中,可以通過例如CVD處理形成氧化物層113、氮化物層115和氧化物層117。值得注意的是,多層間隔部只是一個示例性實施例。在其他的實施例中可以採用單介電層間隔部。
在一些實施例中,電晶體柵極110的寬度大約35納米(nm)而高度大約100nm。電晶體柵極110之間的空隙可以是大約180nm。氧化物層113、氮化物層115和氧化物層117的底部寬度可以是大約35nm。值得注意的是,可以採用其他尺寸的電晶體101來實現所欲之電晶體。本發明的範圍不限定於此。
在第1B圖中,至少一層介電層(例如,介電層125)可以形成在電晶體101的上方。介電層125可以是,例如氮化矽(SiN)層、氮氧化矽(SiON)層、氮碳化矽(SiCN)層、氧化矽層、碳氧化矽層、碳化矽層、氮硼化矽層、氮化硼層、其他介電層或上述之各種組合。
在一些形成含氮介電層的實施例中,可以由含矽前驅物(諸如,矽烷(SiH4 )、二氯矽烷(SiH2 Cl2 )、三氯矽烷(SiHCl3 )、和四氯化矽(SiCl4 ))和含氮前驅物(諸如,氮氣(N2 )和氨氣(NH3 ))形成介電層125。在其他的實施例中,可以由含矽前驅物(諸如,烷氧基二矽烷、烷氧基-烷基二矽烷、烷氧基-乙醯氧基二矽烷、和聚矽烷)以及含氮前驅物(諸如,氮氣和氨氣)形成介電層125。例如烷氧基二矽烷可以包括Si2 (EtO)6 乙氧基二矽烷、Si2 (MeO)6 甲氧基二矽烷和Si6 (MeO)12 甲氧基環已基矽烷,其中Et表示乙基(C2 H6 )以及Me表示甲基(CH3 )。在一些實施例中,烷氧基-烷基二矽烷可包括Si2 (EtO)4 (Me)2 四乙氧基二甲基二矽烷、Si2 (EtO)4 (Et)2 四乙氧基二乙基二矽烷、Si2 (EtO)2 (Me)4 二乙氧基-四甲基二矽烷、Si2 (MeO)4 (Me)2 四甲氧基-二甲基二矽烷、以及Si4 O2 (Me)8 甲基環己基矽氧、Si6 (MeO)6 (Me)6 甲氧基-甲基環己基矽烷、Si4 O2 (H2 )4 氫環己基矽氧。在一些實施例中,烷氧基-乙醯氧基二矽烷可包括Si2 (AcO)6 乙醯氧基二矽烷、Si2 (Me)4 (AcO)2 四甲基-二乙醯氧基二矽烷和Si2 (Me)2 (AcO)4 二甲基-四乙醯氧基二矽烷,其中Ac表示乙醯基。在一些實施例中,聚矽烷可以包括環戊基矽烷或其他成分。
再次參見第1B圖,可以發現介電層125在電晶體101的拐角126周圍具有修剪(pinch-off)輪廓和/或負分佈(negative profile)。如果形成厚的介電層125,介電層125的修剪輪廓和/或負分佈可能在電晶體101之間產生空隙或縫隙。在一些實施例中,電晶體柵極110上的介電層125的厚度「a」大於基材100上鄰近電晶體101底部區域之介電層125的厚度「b」。在另一個實施例中,介電層125的厚度「b」大於電晶體101側壁上之介電層125的厚度「c」。
參照第1C圖,蝕刻處理130可以用第一蝕刻速率去除電晶體101之拐角126周圍的介電層125的第一部分,並以第二蝕刻速率去除鄰近電晶體101底部區域127之介電層125的第二部分,其中第一蝕刻速率大於第二蝕刻速率。
在去除介電層125(例如,氮化矽(SiN)層)之多個部分的某些實施例中,蝕刻處理130可以用含氟前驅物(諸如,三氟化氮(NF3 )、四氟化矽(SiF4 )、四氟化碳(CF4 )、氟化甲烷(CH3 F)、二氟化甲烷(CH2 F2 )、三氟化甲烷(CHF3 )、八氟化丙烷(C3 F3 )、六氟化乙烷(C2 F6 )、其他含氟前驅物或上述之各種組合)以及含氫前驅物(諸如,氫氣(H2 )、氨氣(NH3 )、肼(N2 H4 )、疊氮酸(HN3 )、其他含氫前驅物或上述之各種組合)。在一些實施例中,蝕刻處理130的氣體流速可在大約每分鐘10標準立方公分(sccm)和大約每分鐘5標準升(slm)之間;處理壓力在大約100毫托和大約200托之間;射頻(RF)功率在大約5瓦和大約3,000瓦之間而RF在大約100kHz和大約64MHz之間。在其他的實施例中,RF可以在大約400kHz和大約13.67MHz之間。
在一些實施例中,將NF3 、H2 和He提供給外部電漿產生器以產生電漿,如第2A圖中步驟210所述。NF3 的流速可約50sccm;H2 的流速可約300sccm;He的流速可約100sccm。處理壓力是約3托而RF功率是約40瓦。在一些實施例中,可以在被配置為執行蝕刻處理130的蝕刻室中產生電漿。可以按照下述反應式產生電漿:
NF3 +H2 →NHx Fy (或NHx Fy. HF)+HF+F
然後可以將電漿導入到用於蝕刻氮化矽層之多個部分的蝕刻室中。遠端產生的電漿可以與氮化矽相互作用以產生副產物(例如,(NF4 )2 SiF6 ),如第2A圖中步驟220所述。在一些實施例中,基材100放置在溫度在大約-100℃和大約1,000℃之間的基座上方。在其他實施例中,基座的溫度可為約30℃。期望基座的溫度可以促進電漿與氮化矽的相互作用。在一些實施例中,電漿與氮化矽的相互作用可以稱為蝕刻步驟。蝕刻步驟可以按照如下反應式所述:
NHx Fy. HF+SiN→(NF4 )2 SiF6 +N2 +NH3
然後如第2A圖中步驟230所述,副產物(NF4 )2 SiF6 經受熱處理以分解和/或昇華副產物。在一些實施例中,可以通過將副產物接近噴頭來實現熱處理,其中可操作該噴頭以提供大約-50℃到大約1,000℃之間的處理溫度。在一實施例中,處理溫度是約180℃。在其他的實施例中,可以通過例如烤箱、爐子、快速熱退火(RTA)設備、或其他熱設備來執行熱處理。副產物的分解和/或昇華可以如下反應式所述:
(NF4 )2 SiF6 →SiF4 +NH3 +HF
再次參見第1C圖,可以實質消除介電層125的修剪輪廓和/或負分佈。在一些形成厚度約1,000之介電層125的實施例中,蝕刻處理130可以將厚度b減少約14%,並將厚度c減少約50%。在形成厚度約600之氮介電層125的其他實施例中,蝕刻處理130可以減少約11%的厚度b和約40%的厚度c。由於蝕刻處理130可以用比鄰近電晶體101底部區域127的介電層125要快的蝕刻速率來去除電晶體101之拐角126周圍的介電層125,蝕刻後的介電層125a間之間隙(第1C圖所示)的深寬比小於介電層125間之間隙(第1B圖所示)的深寬比。
在一些實施例中,蝕刻處理130可以用比去除厚度b快的速率去除厚度a。厚度a的蝕刻速率與厚度b的蝕刻速率的比率可以是約2:1或更高。在其他的實施例中,該比率可以是10:1或更高。在一些實施例中,蝕刻處理130可以用實質等於或快於厚度b的去除速率來去除此厚度。此厚度的蝕刻速率與厚度b的蝕刻速率的比率可以是大約1:1更高。在其他的實施例中,該比例是大約2:1或更高。
在第1D圖中,在蝕刻後的介電層125a上方形成介電層135。可以由例如氧化物、氮化物、氮氧化物、低k介電材料、超低k介電材料、其他介電材料或上述之各種組合製成介電層135。可以通過例如CVD處理、旋轉塗覆處理、其他適於形成介電層的方法或上述之各種組合形成介電層135。由於實質上消除了介電層125的修剪輪廓和負分佈(如第1B圖所示),期望介電層135可以填充在蝕刻後的介電層125a之間的間隙中。
再次參見第1D圖,電晶體101可以是p型金屬氧化物半導體場效電晶體(MOSFET)。蝕刻後的介電層125a(例如,含氮層)是水平擠壓電晶體柵極110的壓縮層。蝕刻後的介電層125a可以引起電晶體柵極110下面基材200中的電晶體101溝道區域中的壓縮應變。壓縮應變可以預期地提高溝道中的電洞遷移率。
還發現厚度b'可以影響PMOSFET的電洞遷移率。厚度b'的增加可以預期地提高PMOSFET的電洞遷移率。由於蝕刻處理130可能不會充分地蝕刻鄰近電晶體101底部127的介電層125,蝕刻後的介電層125的殘餘厚度b'可以預期地改善PMOSFET的電洞遷移率。在一些實施例中,蝕刻後的介電層125a的厚度b'可約600或更高。因此,厚度b'可以預期地提高PMOSFET的電洞遷移率並同時減小電晶體101之間的深寬比。
第1E圖是形成在示例性電晶體上方之示例性介電結構的示意截面圖。在第1E圖中,介電層140和介電層145依續地形成在電晶體101的上方。在一些實施例中,介電層140和145是不同的介電層。在其他的實施例中,介電層140和145可以相似於上述第1B圖中的介電層125。在其他的實施例中,介電層140和145是SiC層/SiN層、SiCN層/SiN層、SiCN層/SiN層、BN層/SiN層或上述之各種組合。
在一些實施例中,上述參照第1C圖描述的蝕刻處理130可以用比去除與電晶體101底部區域127鄰近之介電層145的第二部分要快的速率去除電晶體柵極110之上表面上方的介電層145的第一部分。在其他的實施例中,蝕刻處理130還可以去除電晶體柵極110的上表面上的介電層140的一部分,而基本不去除與電晶體101底部區域127鄰近的介電層。
值得注意的是介電層的數量不限於上述的示例性實施例所描述的。多於兩層的介電層可以形成在電晶體101的上方,然後將這些介電層進行蝕刻處理130以實現蝕刻後結構的期望深寬比。還應當注意蝕刻處理130可以包括用於去除介電層140和/或介電層145之多個部分的單一步驟或多個步驟。
第2B圖是示出蝕刻氮碳化矽(SiCN)層之多個部分的示例性處理的流程圖。在一些實施例中,介電層125是氮碳化矽層。已經發現,由於碳的存在,NF3 /H2 /He前驅物可能不能預期地去除SiCN層。在一些實施例中,SiCN層可以在例如第2B圖中步驟240所述的沉積室中被氧化。SiCN層可以被例如氧、臭氧、其他含氧氣體或上述之各種組合氧化。氧化之後,SiCN中的碳可以預期地被去除,SiCN層可以實質上被氧化為氮氧化矽(SiON)層。
再次參見2B,步驟250可以產生含氟電漿。在一些實施例中,步驟250可以與上述第2A圖中步驟210相似。在其他實施例中,步驟250可以用NF3 /NH3 前驅物產生含氟電漿。在其他的實施例中,步驟250可以使用NF3 /H2 /He前驅物和NF3 /NH3 前驅物產生含氟電漿。
再次參見第2B圖,步驟260使含氟電漿與SiON層的多個部分相互作用以形成副產物;步驟270熱處理副產物來分解或昇華副產物。在一些實施例中,步驟260和270分別與上述第2A圖中的步驟220和230相似。
步驟270之後,在蝕刻後的介電層上方可形成介電層。介電層和形成介電層的方法可以與上述結合第1D圖所描述的相似。
示例性膜沉積系統
可以沉積介電層的沉積系統可以包括高密度電漿化學氣相沉積(HDP-CVD)系統、電漿增強化學氣相沉積(PECVD)系統、次大氣壓化學氣相沉積(SACVD)系統、和熱化學氣相沉積系統、及其它類型的系統。可以實現本發明實施例的CVD系統的具體實例包括CENTURA ULTIMATM HDP-CVD室/系統,和PRODUCERTM PECVD室/系統例如從加利福尼亞州的聖克拉拉的Applied Materials公司可得到的PRODUCERTM CeleraTM PECVD。
可以使用本發明示例方法的基材處理系統的實例包括共同轉讓的Lubomirsky等、2006年5月30日提交的,題為“PROCESS CHAMBER FOR DIELECTRIC GAPFILL”的美國臨時專利申請No.60/803,499中所示和所述的,其全部內容通過參考引入其中。其他的示例性系統可以包括美國專利No.6,387,207和6,830,624所示和所述,其全部內容也通過參考引入其中。
現在參見第3A圖,CVD系統10的垂直截面示出其具有包括室壁15a和室蓋組件15b的真空或處理室15。CVD系統10包括用於向基材(未示出)分散處理氣體的氣體分配歧管11,基材放置在處理室15中心的加熱基座12上。氣體分配歧管11可以由導電材料形成,以作為形成電容電漿的電極。在處理期間,基材(例如,半導體晶圓)係放置在基座12的平坦(或輕微凸起)表面12a上。可以可控地在低負載/無負載位置(第3A圖所示)和上方的處理位置(第3A圖中虛線14所示)之間移動基座12,所述上方的處理位置非常接近歧管11。中心板(未示出)包括提供晶圓位置資訊的感測器。
通過常規的平坦的、圓形氣體分配面板13a的穿孔13b將沉積和載體氣體導入到室15中。更具體地,使沉積處理氣體通過入口歧管11、通過常規的沖孔的阻隔板42,然後通過氣體分配面板13a中的穿孔13b流入到室中。
在到達歧管11之前,沉積和載體氣體從氣體源7通過氣體供應線路8進入到混合系統9中,在混合系統9中沉積和載體氣體混合,然後送至歧管11。一般而言,每種處理氣體的供應線路包括(i)可以用於自動或手動關閉流入室中的處理氣體流的幾個安全關斷閥(未示出),和(ii)測量通過供應線路之氣體流量的流量控制器(未示出)。當在處理中使用有毒氣體時,幾個安全關斷閥設置在常規結構的每條氣體供應線路上。
CVD系統10中進行的沉積處理可以是熱處理或電漿增強處理。在電漿增強處理中,RF電源44在氣體分配面板13a和基座12之間供應電力,以在面板13a和基座12之間的柱狀區域內激發理氣體混合物來形成電漿。(該區域將稱為「反應區域」)。電漿成分進行反應以在基座12上支撐的半導體晶圓表面上沉積所欲之膜。RF電源44是混頻RF電源,一般供應13.56MHz的高RF頻率(RF1)和360KHz的低RF頻率(RF2)的電力來增強導入到真空室15中之反應物種的分解。在熱處理中,不需使用RF電源44,處理氣體混合物發生熱反應以在支撐在基座12上之半導體晶圓的表面上沉積所欲之膜,其中將處理氣體混合物進行電阻加熱來為反應提供熱能。
在電漿增強沉積處理期間,電漿加熱整個處理室10,這包括圍繞廢氣排出通道23和關斷閥24之室主體的壁15a。當電漿沒有導通時或沒有處於熱沉積處理期間,熱的液體循環通過處理室15的壁15a,以維持室在高溫下。未示出剩餘處理室壁15a中的通道。用於加熱室壁15a的流體包括典型流體類型,即水溶性乙二醇或油溶性傳熱流體。這種加熱(指通過「熱交換」加熱)可以有利地減少或消除不期望之反應產物的凝聚並促進了處理氣體揮發產物的消除和可能污染處理之其他污染物的消除(這些物質本來會凝聚在冷卻真空通道的壁上並在沒有氣體流過期間遷移回到處理室中)。
殘餘的沒有沉積到層中的氣體混合物(包括反應副產物)係通過真空泵(未示出)從室15中排出。具體地,氣體通過圍繞反應區域的環形、槽狀孔排出,並進入環形排氣氣室17。通過室的柱形側壁15a的頂部(包括壁上的上部介電襯套19)與圓形室蓋20的底部間之間隙來限定環形槽16和氣室17。對於在晶圓上方獲得均勻的處理氣體流以在晶圓上沉積均勻的膜,槽孔16和氣室17的360度圓圈對稱性和一致性是非常重要的。
排氣氣室17的橫向擴展部分21下面的氣流,從排氣氣室17,通過檢視埠(未示出),通過向下延伸的氣體通道23,通過真空關斷閥24(其主體與較下方的室壁15a集成在一起),進入通過前級管道(foreline)(未示出)與外部真空泵(未示出)相連的排出口25。
利用內嵌單回路的內置式加熱器元件來電阻加熱基座12的晶圓支撐盤(較佳係鋁、陶瓷或其組合),該加熱器元件被構造為以兩個並行同心圓環的形式繞整圈。加熱器元件的外部趨向鄰近於支撐盤的周緣,同時內部在具有較小半徑的同心圓環的路徑上。通往加熱器元件的佈線經過基座12的基杆(stem)。
一般而言,任何或所有的室襯套、氣體入口歧管面板和各種其他反應器硬體係由例如鋁、陽極氧化鋁或陶瓷的材質所製成。這種CVD裝置的實例如共同轉讓的Zhao等、題為「CVD PROCESSING CHAMBER」的美國專利No.5,558,717所描述的,其全部內容通過參考引入其中。
隨著通過機械刀片(未示出)經室10一側的的插入/去除開口26將晶圓移入/移出室15的主體,升降機械和馬達32(第3A圖)提升和降低加熱器基座組件12及其晶圓舉升銷12b。馬達32在處理位置14和較低的晶圓載入位置之間提升和降低基座12。連接至供應線路8的馬達、閥或流量控制器,氣體傳遞系統,節流閥,RF電源44,以及室和基材加熱系統均由系統控制器通過控制線路36控制,在圖中僅示出了其中的一些。控制器34根據光學感測器的反饋來確定可移動機械組件(例如節流閥和襯托器(susceptor))在控制器34的控制下由適當的馬達移動的位置。
在示例性實施例中,系統控制器包括硬碟驅動器(記憶體38)、軟碟驅動器和處理器37。處理器包括單板機(SBC)、類比和數位輸入/輸出板、介面板和步進馬達控制板。CVD系統10的不同部分符合歐洲通用模組(Versa Modular European,VME)標準,該標準界定了板、插件架和接連器的尺寸和類型。VME標準還可以界定具有16位元數位匯流排和24位元位址匯流排的匯流排結構。
系統控制器34控制CVD機器的所有活動。系統控制器執行系統控制軟體,系統控制軟體是存儲在電腦可讀介質(例如,記憶體38)中的電腦程式。優選地,記憶體38是硬碟驅動器,但是記憶體38還可以是其他類型的記憶體。電腦程式包括規定具體處理的時間、氣體混合物、室壓力、室溫度、RF功率水平、襯托器位置和其他參數的指令組。還可以使用存儲在其他存儲裝置中,例如,軟碟或其他適當的驅動器中的其他電腦程式來操作控制器34。
可以利用通過控制器34執行的電腦程式產品來執行在基材上沉積膜的處理或清潔室15的處理。可以用任何常規電腦可讀程式語言,例如,68000組合語言、C、C++、Pascal、Fortran或其他語言編寫電腦程式代碼。適當的電腦程式代碼利用常規文本編輯器寫成單文件或多文件,並存儲或包含在電腦可用的媒介,例如電腦記憶體系統。如果所輸入的代碼文本是高階語言形式,編譯代碼然後將得到的編譯代碼與預編譯Microsoft的庫存程式的目標代碼鏈結。為了執行鏈結、編譯目標代碼,系統用戶調用目標代碼,使電腦系統將該代碼載入在記憶體中。然後,讀取CPU並執行代碼來執行程式中識別的任務。
如第3B圖所示,用戶和控制器34之間的介面通過CRT監視器50a和光筆50b實現,第3B圖是基材處理系統中系統監視器和CVD系統10的簡化示意圖,基材處理系統可以包括一個或多個室。在優選實施例中,使用兩個監視器50a,一個安裝在清潔室壁上用於操作者,另一個在壁的後面用於服務技術員。監視器50a同時顯示相同的資訊,但是僅能使用一個光筆50b。光筆50b筆尖中的光感測器檢測CRT顯示器發出的光。為了選擇具體的顯示幕或功能,操作者接觸顯示幕的指定區域並按下筆50b上的按鈕。接觸區域改變它的高亮顏色,或顯示新的功能表或屏,以確認光筆和顯示幕之間的通信連接。其他的裝置,例如鍵盤、滑鼠或其他指示或通信裝置,可以替代或附加於光筆50b來使用以允許用戶與控制器34通信。
第3A圖示出安裝在處理室15的蓋組件15b上的遠端電漿產生器60,處理室15包括氣體分配面板13a和氣體分配歧管11。如第3A圖中可以清楚看到的,安裝轉接器64將遠端電漿產生器60安裝在蓋組件15b上。轉接器64一般由金屬材料所製成。混合裝置70與氣體分配歧管11(第3A圖)的上游側相連。混合裝置70包括設置在用於混合氣體之混合塊的槽74內部的混合嵌入物72。陶瓷絕緣體66放置在安裝轉接器64和混合裝置70(第6A圖)之間。陶瓷絕緣體66可以由陶瓷材料例如Al2 O3 (99%純度)、Teflon等製成。當安裝混合裝置70和陶瓷絕緣體66時,混合裝置70和陶瓷絕緣體66可以形成蓋組件15b的一部分。陶瓷絕緣器66使金屬轉接器66與混合裝置70、氣體分配歧管11絕緣,以使蓋組件15b中形成第二電漿的可能性最小化,下面將更加詳細描述。三通閥77控制直接或通過遠端電漿產生器60流向處理室15的處理氣體流。
期望遠端電漿產生器60是緊湊的、獨立的單元,該單元能夠方便地安裝在蓋組件15b上並且容易被改型翻新到現有室上而不需要花費大量金錢和時間去修改。一個適合的單元是Woburn,Mass的Applied Science and Technology公司市售的ASTRON產生器。ASTRON產生器用低場環形電漿來離解處理氣體。在一個實施例中,電漿離解處理氣體(包括含氟氣體(例如NF3 )和載體氣體(例如氬)),以產生用於清潔處理室15中之膜沉積物的自由氟。
示例性蝕刻系統
可以執行蝕刻處理的蝕刻系統可以包括,例如,加利福尼亞州聖克拉拉的Applied Materials公司市售的SiConiTM Preclean室/系統。
第4圖是示例性蝕刻室的示意截面圖。蝕刻室400可以包括室壁430。蝕刻室400可以包括電漿分配設備410(例如,管、管道和/或歧管)以向放置在基座420上的基材100分散處理電漿415,基座420處於處理室的中心。蝕刻室400可以通過電漿分配設備410與電漿產生器405耦接。電漿產生器405用以產生電漿415。可以通過銷440可控制地在較低位置/接近噴頭450的較高位置之間移動基材100。基材100可以具有形成在其上方的特徵101和介電層125(第1B圖中所示)。
在一些實施例中,電漿分配設備410可以將例如分別通過上述第2A圖或2B中步驟210或250產生的電漿415,導入處理室400中。在一些實施例中,蝕刻電漿415的供應線路可以包括(i)能夠用於自動或手動關閉流入室的處理電漿流的安全關斷閥(未示出),和(ii)測量通過供應線路之電漿415流量的流量控制器(未示出)。
再次參見第4圖,室壁430可以具有充分防止蝕刻劑和/或副產物凝聚於其上的溫度。在一些實施例中,可以操作基座420來提供約-100℃到約1,000℃之間的期望溫度來凝結基材100表面(即基材100上方之介電層125)上的蝕刻劑。然後,蝕刻劑可以預期地與形成在基材100上方的介電層125發生作用,以產生上述第2A或2B圖中所述的副產物。產生副產物之後,銷440可以升降基材100使其靠近噴頭450。可以操作噴頭450來提供約-50℃到大約1,000℃之間的處理溫度。在一些實施例中,噴頭450可以分別進行上述第2A圖或2B中的步驟230或270,以分解和/或昇華副產物從而去除介電層125的多個部分。
再次參見第4圖,可以在蝕刻室400中設置至少一泵通道460,以預期地去除副產物和/或分解後氣體。泵通道460可以與例如泵或馬達耦連,以預期地去除副產物。在一些實施例中,泵通道460可以具有至少一孔(未示出),通過孔可以預期地去除副產物。
在一些實施例中,RF電源(未示出)可以耦接電漿產生器405來激發包括含氟前驅物和含氫前驅物的處理氣體以形成電漿415。可以操作RF電源以提供大約5瓦和大約3,000瓦之間的RF功率。RF電源可以提供大約100kHz和大約64MHz之間的RF頻率的功率。
系統控制器(未示出)可以控制蝕刻系統的所有活動。系統控制器執行系統控制軟體,系統控制軟體是存儲在電腦可讀介質(例如,記憶體)中的電腦程式。在一些實施例中,記憶體是硬碟驅動器,但是記憶體還可以是其他類型的記憶體。電腦程式包括規定具體處理的時間、氣體混合物、室壓力、室溫度和其他參數的指令組。還可以使用存儲在其他存儲裝置,例如,軟碟或其他適當的驅動器中的其他電腦程式來操作控制器。
可以由上述通過控制器執行的電腦程式產品來實現用於蝕刻基材上方膜的多個部分的處理。可以用任何常規電腦可讀程式語言,例如,68000組合語言、C、C++、Pascal、Fortran或其他語言編寫電腦程式代碼。適當的電腦程式代碼利用常規文本編輯器寫成單文件或多文件,並存儲或包含在電腦可用的媒介,例如電腦記憶體系統。如果以高階語言寫入代碼文本,編譯代碼然後將得到的編譯代碼與預編譯Microsoft的庫存程式的目標代碼鏈結。為了執行鏈結、編譯目標代碼,系統用戶調用目標代碼,使電腦系統將該代碼載入到記憶體中。然後,CPU讀取並執行代碼來執行程式中識別的任務。
由已經說明的幾個實施例,本領域技術人員可以理解在不脫離本發明的精神的條件下,本發明可以有各種修改、替代結構和等效物。另外,為了避免不必要的複雜化本發明,沒有描述很多熟知的處理和元件。因此,上述說明不應當被視為對本發明的範圍的限制。
在給出數值範圍時,可以理解除非上下文清楚地另有規定,否則還具體公開了在該範圍的上限和下限之間的、一直到下限的十分之一單位的每個居間值。在提及範圍內的任何提及值或居間值與在提及範圍內的任何其他提及值或居間值之間的每個較小範圍也包含在本發明中。這些較小範圍的上限和下限可以獨立地包含在該範圍中,或者也可以被該範圍排除,其上限和/或下限都包含在所述較小範圍內的每個範圍以及其上限和下限都不包含在所述較小範圍內的每個範圍也包含在本發明中,且受到在提及範圍中被具體排除的那些的限制。在提及範圍包括上限和/或下限時,排除了這些上限/下限的任何一個或兩者的範圍也包含在本發明中。
如在說明書或所附申請專利範圍所用的,除非上下文清楚地另行規定,否則名詞都包括其複數形式。因此,例如「一種方法」包括一個或多個這種方法,「一種前驅物」包括本領域技術人員所熟知的一個或多個前驅物和等效物、等等。
而且,當在本說明書或所附申請專利範圍中使用術語「包括」、「包含」等時,意在具體說明提及的特徵、整數、成分或步驟,但它們不排除存在或增加一個或多個其他特徵、整數、成分、步驟、行為或基團。
10...系統
11...氣體分配歧管
12、420...基座
12a...表面
12b...舉升銷
13a...氣體分配面板
14...虛線
15...處理室
15a...壁
15b...蓋組件
16...槽孔
17...氣室
19...介電襯套
20...室蓋
21...橫向擴展部分
23...廢氣排出通道
24...關斷閥
25...排出口
26...開口
32...馬達
50a...監視器
50b...光筆
60...遠端電漿產生器
64...轉接器
66...陶瓷絕緣體
70...混合裝置
72...混合嵌入物
100...基材
101...特徵
105...柵極介電層
110...電晶體柵極
113、117...氧化物層
115...氮化物層
120...接觸區域
125、135、140、145...介電層
125a...蝕刻後的介電層
126...拐角
127...底部區域
130...蝕刻處理
210、220、230、240、250、260、270...步驟
400...蝕刻室
405...電漿產生器
410...電漿分配設備
415...電漿
430...室壁
440...銷
450...噴頭
460...泵通道
參照說明書的其他部分和附圖,可以實現對本發明的本質和優點的進一步理解,其中在整個附圖中用相似的附圖標記表示相似的組成部分。在一些實施例中,次級標記與附圖標記相關。當引用一個不帶有次級標記的附圖標記時,這個附圖標記意指所有這樣的多種相似的組成部分。
第1A-1D圖是示出在兩個電晶體柵極之間填充示例性介電材料的示例性處理的示意截面圖。
第1E圖是形成在示例性電晶體上方之示例性介電結構的示意截面圖。
第2A圖是示出去除含氮介電層的多個部分之示例性處理的流程圖。
第2B圖是示出去除含氮介電層的多個部分的另一個示例性處理的流程圖。
第3A圖是示出示例性薄膜沉積系統的垂直截面圖。
第3B圖是示出薄膜沉積系統的示例性系統監視器/控制器元件的簡化圖。
第4圖是示例性蝕刻系統的示意截面圖。
210、220、230...步驟

Claims (33)

  1. 一種形成一結構的方法,其至少包括以下步驟:橫跨於一基材之一表面形成至少一個特徵;在該至少一個特徵上形成一氮碳化矽(SiCN)層;將該氮碳化矽層實質上氧化成一氮氧化矽(silicon oxynitride)層以移除該氮碳化矽層中的碳;透過一蝕刻處理而以一第一速率(rate)去除該至少一個特徵的至少一個側壁上之該氮氧化矽層的一第一部分,並透過該蝕刻處理而以一第二速率去除與該至少一個特徵之一底部區域鄰近的該基材上之該氮氧化矽層的一第二部分,其中該第一速率係大於該第二速率;及在該氮氧化矽層上形成一介電層。
  2. 如申請專利範圍第1項所述之方法,其中形成該至少一個特徵的步驟包括形成至少一個電晶體柵極。
  3. 如申請專利範圍第2項所述之方法,更包括以下步驟:在該基材中形成鄰近該至少一個電晶體柵極的至少一個接觸區域;及在該至少一個電晶體柵極的至少一個側壁上形成至少一個介電間隔部。
  4. 如申請專利範圍第1項所述之方法,其中去除該氮氧化矽層的該第一部分和該第二部分的步驟更包括以一第三速率去除該至少一個特徵上之該氮氧化矽層的一第三部分,且該第三速率與該第二速率的一比例係約2:1或更高。
  5. 如申請專利範圍第1項所述之方法,其中去除該氮氧化矽層之該等部分的步驟包括:產生一含氟電漿;使該含氟電漿與該氮氧化矽層的該第一部分與該第二部分交互作用以生成一副產物;及熱處理該副產物以去除該氮氧化矽層的該等部分。
  6. 如申請專利範圍第5項所述之方法,其中利用選自一群組之一前驅物產生該含氟電漿,該群組由下列化合物所組成,包含:三氟化氮(NF3 )、四氟化矽(SiF4 )、四氟甲烷(CF4 )、氟化甲烷(CH3 F)、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )、八氟丙烷(C3 F8 )和六氟乙烷(C2 F6 )。
  7. 如申請專利範圍第5項所述之方法,其中使該含氟電漿與該氮氧化矽層的該等部分交互作用的步驟包括在具有一介於約-100℃到約1,000℃之間的溫度之一基座上放置該基材。
  8. 如申請專利範圍第5項所述之方法,其中熱處理該副產物的步驟包括將該副產物昇華。
  9. 如申請專利範圍第5項所述之方法,其中熱處理該副產物的步驟具有一介於約-50℃到約1,000℃之間之處理溫度。
  10. 如申請專利範圍第5項所述之方法,更包括將該基材舉升靠近一噴頭的步驟。
  11. 一種形成一電晶體的方法,包括以下步驟:在一基材上形成至少一個電晶體柵極;在該至少一個電晶體柵極的側壁上形成至少一個介電間隔部;在該基材中形成鄰近該電晶體柵極的至少一個接觸區域;在該至少一個電晶體柵極上形成一氮碳化矽層;將該氮碳化矽層實質上氧化成一氮氧化矽層以移除該氮碳化矽層中的碳;透過一蝕刻處理而以一第一速率去除該至少一個電晶體柵極的至少一個側壁上之氮氧化矽層的一第一部分,並透過該蝕刻處理而以一第二速率去除與該至少一個電晶體柵極之一底部區域鄰近的該基材上之該氮氧化矽層的一第二部分,其中該第一速率係大於該第二速 率;及在該氮氧化矽層上形成一介電層。
  12. 如申請專利範圍第11項所述之方法,其中去除該氮氧化矽層之該第一部分與該第二部分的步驟包括:產生一含氟電漿;使該含氟電漿與該氮氧化矽層的該第一部分與該第二部分交互作用以生成一副產物;及熱處理該副產物以去除該氮氧化矽層的該第一部分與該第二部分。
  13. 如申請專利範圍第12項所述之方法,其中利用選自一群組之一前驅物產生該含氟電漿,該群組由下列化合物所組成,包含:三氟化氮(NF3 )、四氟化矽(SiF4 )、四氟甲烷(CF4 )、氟化甲烷(CH3 F)、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )、八氟丙烷(C3 F8 )和六氟乙烷(C2 F6 )。
  14. 如申請專利範圍第12項所述之方法,其中使該含氟電漿與該氮氧化矽層的該等部分交互作用的步驟包括在具有一介於約-100℃到約1,000℃之間的溫度之一基座上放置該基材。
  15. 如申請專利範圍第12項所述之方法,其中熱處理該副產物的步驟包括將該副產物昇華。
  16. 如申請專利範圍第12項所述之方法,其中熱處理該副產物的步驟具有一介於約-50℃到約1,000℃之間之處理溫度。
  17. 如申請專利範圍第12項所述之方法,更包括將該基材舉升靠近一噴頭的步驟。
  18. 一種形成一結構的方法,包括以下步驟:橫跨於一基材之一表面形成至少一個特徵;在該至少一個特徵上形成一第一介電層;在該第一介電層上形成一第二介電層;透過一蝕刻處理而以一第一速率去除該至少一個特徵的至少一個側壁上之該第二介電層的一第一部分,並透過該蝕刻處理而以一第二速率去除與該至少一個特徵之一底部區域鄰近的該基材上之該第二介電層的一第二部分,其中該第一速率係大於該第二速率;及在蝕刻後的該第二介電層上形成一第三介電層。
  19. 如申請專利範圍第18項所述之方法,其中去除該第二介電層的該第一部分與該第二部分的步驟更包括以一第三速率去除該至少一個特徵上之該第二介電層的一第三部分,且該第三速率與該第二速率的比例係約2:1或更高。
  20. 如申請專利範圍第18項所述之方法,其中去除該第二介電層的該第一部分與該第二部分的步驟更包括去除該至少一個特徵上之該第一介電層的一部分,而實質上不去除與該至少一個特徵之一底部區域鄰近的該第一介電層。
  21. 如申請專利範圍第18項所述之方法,其中該第一介電層包括下列至少一者,包含:一碳化矽層、一氮碳化矽層、一氮硼化矽層、一氮化硼層、一氧化矽層、一碳氧化矽層和一氮氧化矽層,而該第二介電層包括一氮化矽層。
  22. 如申請專利範圍第18項所述之方法,其中去除該第二介電層的該第一部分與該第二部分的步驟包括:產生一含氟電漿;使該含氟電漿與該第二介電層的該第一部分與該第二部分交互作用以生成一副產物;及熱處理該副產物以去除該第二介電層的該第一部分與該第二部分。
  23. 如申請專利範圍第22項所述之方法,其中利用選自一群組之一前驅物產生該含氟電漿,該群組由下列化合物所組成,包含:三氟化氮(NF3 )、四氟化矽(SiF4 )、 四氟甲烷(CF4 )、氟化甲烷(CH3 F)、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )、八氟丙烷(C3 F8 )和六氟乙烷(C2 F6 )。
  24. 如申請專利範圍第22項所述之方法,其中使該含氟電漿與該介電層的該第一部分與該第二部分交互作用的步驟包括在具有一介於約-100℃到約1,000℃之間的溫度之一基座上放置該基材。
  25. 如申請專利範圍第22項所述之方法,其中熱處理該副產物的步驟包括將該副產物昇華。
  26. 如申請專利範圍第22項所述之方法,其中熱處理該副產物的步驟具有一介於約-50℃到約1,000℃之間之處理溫度。
  27. 如申請專利範圍第22項所述之方法,更包括將該基材舉升靠近一噴頭的步驟。
  28. 一種設備,包括:一室;一設置在該室中之基座,該基座用來支撐一基材,該基材具有一含氮層,該含氮層形成於至少一個特徵上,該至少一個特徵橫跨於該基材而形成;一噴頭,該噴頭設置在該室中且在該基座的上方;及 一與該室耦合之電漿產生器,其中該電漿產生器經配置以產生一包括數個氟離子和數個氫離子的電漿,該電漿被提供到該室中,用於以一第一速率去除該至少一個特徵的至少一個側壁上之該含氮層的一第一部分,並以一第二速率去除與該至少一個特徵之一底部區域鄰近的該基材上之該含氮層的一第二部分,該第一速率係大於該第二速率。
  29. 如申請專利範圍第28項所述之設備,更包括一電漿分配設備,被耦合在該電漿產生器與該室之間。
  30. 如申請專利範圍第28項所述之設備,更包括設置在該基材下的至少一個銷,其中該至少一個銷係可操作用來將該基材舉升朝向該噴頭。
  31. 如申請專利範圍第28項所述之設備,更包括該室中的至少一個泵通道。
  32. 如申請專利範圍第28項所述之設備,其中該基座係可操作用來提供一介於約-100℃到約1,000℃之間的溫度。
  33. 如申請專利範圍第28項所述之設備,其中該噴頭係可操作用來提供一介於約-50℃到約1,000℃之間的 溫度。
TW097140532A 2007-10-22 2008-10-22 形成至少一介電層之方法與系統 TWI442471B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/876,649 US7871926B2 (en) 2007-10-22 2007-10-22 Methods and systems for forming at least one dielectric layer

Publications (2)

Publication Number Publication Date
TW200931523A TW200931523A (en) 2009-07-16
TWI442471B true TWI442471B (zh) 2014-06-21

Family

ID=40563900

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097140532A TWI442471B (zh) 2007-10-22 2008-10-22 形成至少一介電層之方法與系統

Country Status (5)

Country Link
US (1) US7871926B2 (zh)
JP (1) JP5305830B2 (zh)
KR (1) KR101027266B1 (zh)
CN (1) CN101425458B (zh)
TW (1) TWI442471B (zh)

Families Citing this family (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8609484B2 (en) * 2009-11-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high-K metal gate device
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101276258B1 (ko) * 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
KR101276262B1 (ko) * 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
CN102522328B (zh) * 2011-12-30 2014-01-29 江苏宏微科技有限公司 Mos器件栅极孔的制作方法
JP5758829B2 (ja) * 2012-03-27 2015-08-05 東京エレクトロン株式会社 ボロン含有シリコン酸炭窒化膜の形成方法およびシリコン酸炭窒化膜の形成方法
CN102683284A (zh) * 2012-05-04 2012-09-19 上海华力微电子有限公司 一种形成双应力层的方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP6250513B2 (ja) * 2014-10-03 2017-12-20 信越化学工業株式会社 塗布型ケイ素含有膜形成用組成物、基板、及びパターン形成方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
TWI587496B (zh) * 2015-02-04 2017-06-11 國立中山大學 電阻式記憶體
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10818792B2 (en) 2018-08-21 2020-10-27 Globalfoundries Inc. Nanosheet field-effect transistors formed with sacrificial spacers
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10937659B2 (en) * 2019-04-09 2021-03-02 Tokyo Electron Limited Method of anisotropically etching adjacent lines with multi-color selectivity

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04239723A (ja) * 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JPH08148470A (ja) * 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
KR970013210A (ko) * 1995-08-08 1997-03-29 김주용 다층 금속 배선 구조의 반도체 소자의 층간 절연막 평탄화 방법
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP2005033023A (ja) * 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP2007201294A (ja) 2006-01-27 2007-08-09 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride

Also Published As

Publication number Publication date
JP2009152550A (ja) 2009-07-09
KR101027266B1 (ko) 2011-04-06
CN101425458B (zh) 2012-10-17
TW200931523A (en) 2009-07-16
CN101425458A (zh) 2009-05-06
JP5305830B2 (ja) 2013-10-02
US20090104764A1 (en) 2009-04-23
US7871926B2 (en) 2011-01-18
KR20090040869A (ko) 2009-04-27

Similar Documents

Publication Publication Date Title
TWI442471B (zh) 形成至少一介電層之方法與系統
TWI389251B (zh) 處理薄膜之方法
TWI434346B (zh) 乾式蝕刻之可倒轉圖案負載
JP5925802B2 (ja) 2段階での均一なドライエッチング
US9754800B2 (en) Selective etch for silicon films
CN102687249B (zh) 用于含硅薄膜的平滑SiConi蚀刻法
US9093390B2 (en) Conformal oxide dry etch
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
US8211808B2 (en) Silicon-selective dry etch for carbon-containing films
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
US20120009796A1 (en) Post-ash sidewall healing
JP2013048127A (ja) アッシュ後の側壁の回復
KR20130022433A (ko) 애쉬-후 측벽 힐링

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees