TWI434346B - 乾式蝕刻之可倒轉圖案負載 - Google Patents

乾式蝕刻之可倒轉圖案負載 Download PDF

Info

Publication number
TWI434346B
TWI434346B TW100108557A TW100108557A TWI434346B TW I434346 B TWI434346 B TW I434346B TW 100108557 A TW100108557 A TW 100108557A TW 100108557 A TW100108557 A TW 100108557A TW I434346 B TWI434346 B TW I434346B
Authority
TW
Taiwan
Prior art keywords
trench
plasma
narrow
wide
tantalum oxide
Prior art date
Application number
TW100108557A
Other languages
English (en)
Other versions
TW201203353A (en
Inventor
Jing Tang
Nitin Ingle
Dongqing Yang
Shankar Venkataraman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201203353A publication Critical patent/TW201203353A/zh
Application granted granted Critical
Publication of TWI434346B publication Critical patent/TWI434346B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Description

乾式蝕刻之可倒轉圖案負載 【交叉參照之相關申請案】
本申請案主張美國臨時專利申請案61/314,641號之優先權,其於2010年3月17日提出申請,發明名稱為「INVERTABLE PATTERN LOADING WITH SICONI ETCH」,在此併入做為參考。
本發明是關於乾式蝕刻之可倒轉圖案負載。
可透過生產錯綜複雜地圖案化的材料層於基材表面上之製程製作積體電路。生產圖案化材料於基材上需要用於移除暴露材料的受控制之方法。化學蝕刻用於各種目的,包括將光阻中的圖案轉移到下伏層中、薄化層或薄化已呈現於基材表面上的特徵結構的側向尺度。經常期望具有一種蝕刻製程蝕刻一種材料較另一者快,而助於例如圖案轉移製程進行。此類蝕刻製程稱為對第一材料具選擇性。材料、電路與製程多樣性的結果,蝕刻製程已朝各種材料之選擇性發展。
SiconiTM 蝕刻是遠端電漿輔助乾式蝕刻製程,其涉及同時暴露基材至H2 、NF3 與NH3 電漿流出物。氫與氟物料的遠端電漿激發容許無電漿損壞的基材處理。SiconiTM 蝕刻對矽氧化物層具大量共形與選擇性,但不易蝕刻矽,無論矽是非晶形、結晶、或多晶形。該選擇性提供諸如淺溝槽隔離(STI)與層間介電質(ILD)凹部形成之類的應用許多優點。
SiconiTM 製程生產固體副產物,其在基材材料移除時在基材表面生長。固體副產物後續在基材溫度升高時透過昇華移除。然而,開放的區域與寬的溝槽大體上以較狹窄溝槽慢的速率蝕刻,這是由於必須消耗較大量矽氧化物之故。圖案相關的差異(諸如這些)是指圖案負載效應(PLE)。
由於這些限制,需要一些方法提供與SiconiTM 蝕刻相關的圖案負載效應(PLE)中較大的彈性。
在此描述一種從窄溝槽與寬溝槽(或開放區域)蝕刻矽氧化物的方法,其使得在寬溝槽中的蝕刻進展得較窄溝槽中的蝕刻更進一步。該方法包括兩個乾式蝕刻循環。第一乾式蝕刻循環涉及留下固體殘餘物在窄溝槽中的低強度或簡略的昇華步驟。剩餘的固體殘餘物在第二乾式蝕刻循環期間抑制窄溝槽中的蝕刻進展,使得在寬溝槽中的蝕刻超越(overtake)窄溝槽中的蝕刻。
本發明的實施例包括在一基材處理腔室的一基材處理區域中從一圖案化基材的一表面上的一窄溝槽與一寬溝槽二者蝕刻矽氧化物的方法。該等方法包括將一含氟前驅物與一含氫前驅物流進與該基材處理區域流通式耦接(fluidly couple)的一遠端電漿區域,同時形成一電漿於該遠端電漿區域中以產生電漿流出物。該等方法進一步包括透過將該等電漿流出物流進該基材處理區域而蝕刻該窄溝槽與該寬溝槽之每一者中的該矽氧化物,以及形成固體副產物於該窄溝槽與該寬溝槽中一殘餘的矽氧化物之表面上。該窄溝槽上的該等固體副產物高於該寬溝槽上的固體副產物。該方法進一步包括執行一部分昇華,其中該寬溝槽的該等固體副產物被移除,同時該窄溝槽的該等固體副產物僅部分被移除,以形成在該窄溝槽中的殘餘固體副產物。該等方法進一步包括將該等電漿流出物再度流進該基材處理區域,以一線性速率從該寬溝槽移除該矽氧化物,由於該殘餘的固體副產物之故,該線性速率大於該窄溝槽的線性速率。該等方法進一步包括執行一完全昇華,其中該等殘餘的固體副產物從該窄溝槽移除。
額外實施例與特徵部分在隨後的說明書中提出,而部分對於此技術領域中熟習技藝者而言在詳閱此說明書後可易於瞭解,或者此技術領域中熟習技藝者可透過操作該等揭露的實施例而瞭解部分額外實施例與特徵。透過在說明書中描述的設備、結合物與方法,可瞭解與獲得所揭露的實施例之特徵與優點。
在此描述一種從窄溝槽與寬溝槽(或開放區域)蝕刻矽氧化物的方法,其使得在寬溝槽中的蝕刻進展得較窄溝槽中的蝕刻更進一步。該方法包括兩個乾式蝕刻循環。第一乾式蝕刻循環涉及留下固體殘餘物在窄溝槽中的低強度或簡略的昇華步驟。剩餘的固體殘餘物在第二乾式蝕刻循環期間抑制窄溝槽中的蝕刻進展,使得在寬溝槽中的蝕刻追上窄溝槽中的蝕刻。
SiconiTM 蝕刻製程是乾式蝕刻製程的一範例,且已使用氨(NH3 )之氫源及三氟化氮(NF3 )之氟源,一起流進遠端電漿系統(RPS)。在其中所生成的電漿流出物流進基材處理區域。當SiConiTM 蝕刻製程應用到圖案化晶圓時,可觀察到圖案負載效應。第1A圖描繪異質圖案化基材,其具有基底基材100與結構材料110。結構材料110界定窄溝槽121與寬溝槽131二者,該二者皆被矽氧化物120-1、130-1填充。結構材料110可為任何相對矽氧化物蝕刻緩慢的材料,且可包括矽氮化物或矽。將第1A圖的圖案化基材暴露到SiConiTM 蝕刻一般造成窄溝槽120中矽氧化物120-1更快速的蝕刻(相較於寬溝槽131中的矽氧化物130-1)。此現象可能發生,因為接近窄溝槽121的電漿流出物以比接近寬溝槽131之電漿流出物慢的速率消耗,這是由於寬溝槽131內待移除較大量的矽氧化物130-1之故。
固體殘餘物125、135形成在窄溝槽121與寬溝槽131之每一者內,且含有來自電漿流出物與矽氧化物120-1、130-1反應之副產物材料。在此可將固體殘餘物125描述為在溝槽「中」,但應瞭解殘餘物可延伸於溝槽壁上方,如淺溝槽121中所示。相對於寬溝槽131中較矮的固體殘餘物135,較大的蝕刻速率結合較窄的壁間隔造成淺溝槽121中更高的固體殘餘物125。完全昇華移除較高的固體殘餘物125與較矮的固體殘餘物135二者,其透過施加相對高的溫度及/或相對長的熱處理而達成。該完全昇華暴露窄溝槽121中的窄矽氧化物120-2與寬溝槽131中的寬矽氧化物130-2。窄矽氧化物120-2的高度可少於寬矽氧化物130-2的高度,這是由於先前所述的蝕刻速率變化性之故。
為了較佳地瞭解與認識本發明,現在請參考第2圖與第3圖,該二圖為根據所揭露的實施例之對圖案化基材施加的可倒轉之圖案負載SiConiTM 蝕刻製程的流程圖以及製程期間圖案化基材的繪圖。製程起始於具有窄溝槽321中窄矽氧化物320-1及寬溝槽331中寬矽氧化物330-1的基材傳送進入處理腔室(操作210,第3A圖)。起始氨與三氟化氮流進與處理區域分開的電漿區域(操作220)。在此分開的電漿區域可指遠端電漿區域且可為與處理腔室截然不同的模組,或者在處理腔室內藉由噴頭與基材處理區域分開的隔間。在遠端電漿區域中生成的電漿流出物行進至基材處理區域中,以與圖案化基材交互作用。該交互作用在窄溝槽321中形成窄固體殘餘物325,而在寬溝槽331中形成寬固體殘餘物(見第3B圖)。固體殘餘物325、335的形成消耗一部分窄矽氧化物320-1與寬矽氧化物330-1,因為固體殘餘物是來自電漿流出物與矽氧化物反應的副產物材料。矽氧化物的留下部分包括窄矽氧化物320-2與寬矽氧化物330-2。請注意窄矽氧化物320-2的高度低於寬矽氧化物330-2的高度,這是由於參考第1圖所解釋的材料消耗差異之故。矽氧化物在與電漿流出物交互作用期間的溫度在不同實施例中可低於60℃、50℃、40℃、或35℃之一者。
將基材加熱以昇華固體副產物(操作230)並且該進展流程顯示於第3C圖至第3E圖各圖。窄固體殘餘物325與寬固體殘餘物335之各者以大約相同的線性速率移除,這是由於昇華製程之本質之故。因為寬固體殘餘物335比窄固體殘餘物325矮,當寬固體殘餘物335已基本上從寬矽氧化物330-2頂部移除時,一部分的窄固體殘餘物325仍殘留。不完全移除窄固體殘餘物325的結果,在此該製程可指部分昇華。可透過縮短昇華的歷時及/或降低昇華溫度而實行部分昇華。部分昇華期間固體殘餘物與矽氧化物的溫度在不同實施例中可升高至超過90℃、100℃、120℃或140℃之一者。昇華的歷時在不同實施例中可低於45秒、30秒、20秒、15秒、或10秒之一者。據稱寬固體殘餘物335基本上移除,以使得一些殘留物質在後續處理期間不會干擾相對於窄矽氧化物320-2而言較大的寬矽氧化物330-2蝕刻速率。
圖案化晶圓再度暴露至電漿流出物,以消耗額外的戲氧化物(操作240)。然而,在此暴露期間,電漿流出物具有較大的到寬矽氧化物330-2的通路(access)以及相較之下較小的到窄矽氧化物320-2的通路,這是由於固體殘餘物325殘留在窄矽氧化物320-2上之故(見第3F圖)。由固體殘餘物325提供的阻礙使得蝕刻得以更快速進行(在垂直方向如所測量般為線性)通過寬矽氧化物330-2。在此蝕刻製程(操作240)之後,寬矽氧化物330- 3的高度低於窄矽氧化物320-2的高度。在此,此關係可指倒轉的圖案負載。請注意在實施例中,儘管使用相同的圖號,相對少量的窄矽氧化物320-2可在此第二蝕刻製程中消耗。再次,暴露至電漿流出物期間的矽氧化物溫度在不同實施例中可低於60℃、50℃、40℃、或35°C之一者。
在操作250,固體殘餘物再度昇華。然而,此昇華期間,基本上所有寬固體殘餘物336與窄固體殘餘物325被移除(以生成顯示於第3G圖中的組態)。操作210-250的淨效應是形成比窄矽氧化物320-2矮的寬矽氧化物330-3。此關係與SiConiTM 蝕刻製程的本質傾向相反,且稱作倒轉的圖案負載效應(PLE)。在完全昇華期間,固體殘餘物與矽氧化物的溫度於不同實施例可升高至超過90℃、100℃、120℃、或140℃之一者。不同實施例中,昇華的歷時可超過45秒、60秒、75秒、90秒或120秒之一者。在一些實施例中,完全昇華比部分昇華長,然而在其他實施例中,基材溫度在完全昇華期間較高。
大體而言,操作220與230可以交替的方式重複,以達成用於寬矽氧化物與窄矽氧化物各者之不同的有效蝕刻速率。不同實施例中,改變電漿流出物的流率及蝕刻製程的歷程亦提供選擇圖案負載效應之倒轉量的彈性。可使用各種前驅物以生成此述的電漿流出物。含氟前驅物可在遠端電漿區域中結合含氫前驅物,以形成用於蝕刻製程的電漿流出物。含氟前驅物可包括三氟化氮、氟化氫、雙原子氟、單原子氟及氟取代之碳氫化合物之一者或多者。含氫前驅物可包括原子氫、分子氫、氨、碳氫化合物及不完全鹵素取代的碳氫化合物之一者或多者。
不同實施例中,窄溝槽的寬度可低於35 nm、30 nm、25 nm、20 nm或15 nm之一者。不同實施例中,寬溝槽的寬度可低於75 nm、100 nm、150 nm、200 nm或500 nm之一者。在此所用的「寬溝槽」一詞包括積體電路形成期間的周邊區域或開放區域,其可甚至高達或甚高於數十或數百微米。
額外的可倒轉圖案負載乾式蝕刻製程參數揭露於描述示範性處理系統的章節中。
示範性處理系統
第4圖是顯示說明性處理腔室400的部分剖面視圖,其中可執行本發明之實施例。大體而言,含氫前驅物與含氟前驅物可透過一個或多個口孔451導進遠端電漿區域461-463並且由電漿功率源446激發。
在一個實施例中,處理腔室400包括腔室主體412、蓋組件402與支撐組件410。蓋組件402配置在腔室主體412的上端,而支撐組件410至少部分配置在腔室主體412內。處理腔室400與相關聯的硬體較佳為由一種或多種製程相容材料(例如鋁、不鏽鋼等)形成。
腔室主體412包括狹縫閥開口460,其形成於腔室主體之側壁中,以提供至處理腔室400內部的存取。狹縫閥開口460選擇性開啟與關閉,以容許透過晶圓搬運機器人(圖中未示)對腔室主體412內部的存取。在一個實施例中,晶圓可通過狹縫閥開口460輸送進出處理腔室400至相鄰的傳送腔室及/或加載鎖定腔室,或群集工具中的另一腔室。可包括處理腔室400的示範性群集工具顯示於第7圖。
在一個或多個實施例中,腔室主體412包括腔室主體通道413,以使熱傳流體流過腔室主體412。熱傳流體可為加熱流體或冷卻劑,並且用於在處理及基材傳送期間控制腔室主體412的溫度。加熱腔室主體412可助於防止非期望的氣體或副產物凝結於腔室壁上。示範性熱傳流體包括水、乙二醇或前述者之混合物。示範性熱傳流體亦可包括氮氣。支撐組件410可具有支撐組件通道404,以使熱傳流體流過支撐組件410,從而影響基材溫度。
腔室主體412可進一步包括襯墊433,其環繞支撐組件410。襯墊433較佳為可移除以供維修及清潔。襯墊433可由諸如鋁之金屬或陶瓷材料製成。然而,襯墊433可為任何製程相容材料。襯墊433可受珠磨以增加任何沉積於其上的材料之附著,因而防止材料剝落造成處理腔室400的污染。在一個或多個實施例中,襯墊433包括形成於其中的一個或多個口孔435以及泵抽通道(pumping channel)429,該泵抽通道與真空系統流體連通。口孔435提供流徑使氣體進入泵抽通道429,其提供氣體在處理腔室400內的出口。
真空系統可包括真空泵425以及節流閥427以調節氣體通過處理腔室400的流動。真空泵425耦接配置在腔室主體412上的真空通口431,因而與形成在襯墊433內的泵抽通道429流體連通。除非以其他方式註明,否則「氣體」與「多種氣體」可互換使用,並且是指一種或多種反應物、催化劑、載氣、沖淨氣體、清潔氣體、前述者之組合及任何其他導進腔室主體412之流體。前驅物一詞用於指任何參與反應以從基材移除或沉積材料的製程氣體。
口孔435容許泵抽通道429與腔室主體412內的處理區域440流體連通。處理區域440由蓋組件402的下表面與支撐組件410的上表面界定,並且由襯墊433環繞。口孔435可尺寸一致,並且均等地繞襯墊433間隔開。然而,可使用任何數目、位置、尺寸或形狀的口孔,該等設計參數的每一者可取決於橫越基材接收表面的氣體之期望流態而變化,如下文中更詳細討論者。此外,口孔435的尺寸、數目與位置設以達成離開處理腔室400的氣體之均勻流動。進一步言之,口孔尺寸與位置可設以提供快速或高容量的泵抽,以助於快速地從腔室400排放氣體。例如,口孔435緊鄰真空通口431的數目與尺寸可小於位在遠離真空通口431之口孔435的尺寸。
氣體供應板(圖中未示)一般用於提供製程氣體通過一個或多個口孔451至處理腔室400。所使用的特殊氣體取決於在腔室400內執行的製程。說明性的氣體可包括(但不限於)一種或多種前驅物、反應物、催化劑、載氣、沖淨氣體、清潔氣體或任何前述者之混合物或組合。一般而言,導至處理腔室400的該一個或多個氣體通過頂板450中的口孔451流進電漿空間461。以替代式或結合形式,可將處理氣體更直接地通過口孔452而導入處理區域440。口孔452繞過遠端電漿激發,並且用於涉及氣體不需要電漿激發的製程或不會由額外激發氣體而受益的製程。電子式操作的閥及/或流動控制機構(圖中未示)可用於控制氣體從氣體供應器進入處理腔室400的流動。視製程而定,任何數目的氣體能夠遞送到處理腔室400,並且可在處理腔室400中混合或在氣體遞送到處理腔室400前混合。
蓋組件402可進一步包括電極445,以在蓋組件402內生成反應性物料的電漿。在一個實施例中,電極445由頂板450支撐並且透過插入電隔離環447而與頂板電隔離,該電隔離環是由氧化鋁或其他絕緣且製程相容材料製成。在一個或多個實施例中,電極445耦接功率源446同時蓋組件402的其餘部分接地。據此,一種或多種製程氣體的電漿可在遠端電漿區域中生成,該遠端電漿區域由電極445與環狀裝設法蘭片422之間的空間461、462及/或463構成。在實施例中,環狀裝設法蘭片包含或支撐氣體遞送板420。例如,可在電極445與阻擋組件430的阻擋板之一者或二者之間啟動電漿並且維持之。或者,在缺乏阻擋組件430的情況下,電漿可在電極445與氣體遞送板420之間引燃並且被包納。在任一實施例中,電漿良好地限制或包納在蓋組件402內。據此,該電漿是「遠端電漿」,因為沒有活性的電漿直接接觸配置在腔室主體402內的基材。於是,可避免電漿對基材的損害,因為電漿與基材表面分隔。
各種功率源446能夠活化含氫前驅物(例如氨)與含氮前驅物(例如三氟化氮)。例如,可使用射頻(RF)、直流電(DC)或微波(MW)類功率放電技術。該活化亦可由熱類技術、氣體裂解技術、高強度光源(例如UV能量)或暴露至x射線源生成。或者,可使用遠端活化源(諸如遠端電漿生成器)以生成隨後遞送到腔室400的反應性物料的電漿。示範性遠端電漿生成器可透自諸如MKS Instruments,Inc.與Advanced Energy Industries,Inc.之販售商購得。在示範性處理系統中,RF功率供應器耦接電極445。更高功率的微波功率源446有利於亦使用功率源446產生反應性氧的實例。
製程腔室主體412與基材的溫度可各由分別將熱傳介質流過腔室主體通道413與支撐組件通道404而控制。支撐組件通道404可形成於支撐組件410內,以助於輸送熱能。腔室主體412與支撐組件410可獨立受冷卻或加熱。例如,加熱流體可流過一者而冷卻流體流過另一者。
其他方法亦可用於控制基材溫度。可透過以電阻式加熱器將支撐組件410(或其一部分,諸如底座)加熱或透過其他手段加熱基材。在另一組態中,氣體遞送板420可維持在高於基材的溫度,而基材可升高以提昇基材溫度。在此情況中,基材以輻射式受熱,或透過使用氣體以從氣體遞送板420傳導熱量至基材。基材可透過抬升支撐組件410或透過利用舉升銷而升高。
在所述蝕刻製程期間,腔室主體412可維持在適當的溫度範圍內,該範圍在不同實施例為50℃至80℃之間,55℃至75℃之間,或60℃至70℃之間。在暴露至電漿流出物及/或氧化劑期間,基材可維持在低於先前所給之溫度之下,在不同實施例中,介於約15℃至約50℃、約22℃至約40℃、或接近30℃。
電漿流出物包括各種分子、分子片段與離子化物料。SiconiTM 蝕刻的當前考量的理論機制可能或可能不完全正確,但電漿流出物被認為包括NH4 F及NH4 F.HF,其易於與此述的低溫基材反應。電漿流出物可與矽氧化物表面反應,以形成(NH4 )2 SiF6 、NH3 及H2 O產物。NH3 及H2 O在此述的處理條件下是氣態,且可從處理區域440透過真空泵425移除。(NH4 )2 SiF6 固體副產物之薄的連續或不連續層留在基材表面上。
暴露至電漿流出物與相關的固體副產物累積之後,可加熱基材以移除副產物。在實施例中,透過將加熱元件470結合至氣體遞送板420內或附近而可將氣體遞送板420加熱。可透過減少基材與加熱的氣體遞送板之間的距離而加熱基材。不同實施例中,可將氣體遞送板420加熱到約100℃至150℃之間,約110℃至140℃之間,或約120℃至130℃之間。透過減少基材與加熱的氣體遞送板之間的間隔,在不同實施例中可將基材加熱到超過約75℃、超過約90℃、超過約100℃或於約115℃至約150℃之間。應使來自氣體遞送板420輻射到基材的熱量足以分解或昇華基材上的固體(NH4 )2 SiF6 成揮發性的SiF4 、NH3 、及HF產物,其可從處理區域440以泵抽離。亦可使用其他將熱量施予基材的方法。可減少時間及/或溫度以將完全昇華步驟改變成隨後將固體殘餘物留於窄溝槽中以用於可倒轉圖案負載乾式蝕刻的部分昇華步驟。
不同實施例中,三氟化氮(或另一含氟前驅物)可以介於約5 sccm至約200 sccm之間、約50 sccm至約150 sccm之間、或約75 sccm至約125 sccm之間的速率流進遠端電漿空間461。氨(或大體上含氫前驅物)在不同實施例中可以介於約50 sccm至約300 sccm之間、約75 sccm至約250 sccm之間、約100 sccm至約200 sccm之間、或約120 sccm至約170 sccm之間的速率流進遠端電漿空間461。
含氫與含氟前驅物進入遠端電漿區域的結合的流率可佔總氣體混合物體積的0.05%至約50%;其餘為載氣。在一個實施例中,在反應性氣體之前首先起始沖淨氣體或載氣進入遠端電漿區域,以穩定遠端電漿區域內的壓力。
電漿流出物的產生是在空間461、462及/或463內,透過相對於蓋組件402的其餘部分施加電漿功率至電極445而發生。電漿功率可為各種頻率或多重頻率之組合。在示範性處理系統中,電漿是由遞送到電極445的RF功率提供。不同實施例中,RF功率可介於約1 W至約1000 W之間、約5 W至約600 W之間、約10 W至約300 W之間、或約20 W至約100 W之間。不同實施例中,在示範性處理系統中施加RF功率可低於約200 kHz、低於約150 kHz、低於約120 kHz、或介於約50 kHz至約90 kHz之間。
於臭氧、氧氣、載氣及/或電漿流出物流進處理區域440期間,處理區域440可維持在各種壓力。不同實施例中,該壓力可維持在約500 mTorr至約30 Torr之間,約1 Torr至約10 Torr之間,或約3 Torr至約6 Torr之間。較低的壓力亦可用在處理區域400內。在不同實施例中,壓力可維持在低於或約500 mTorr、低於或約250 mTorr、低於或約100 mTorr、低於或約50 mTorr、或低於或約20 mTorr。
在一個或多個實施例中,處理腔室400可整合至各種多處理平台中,包括ProducerTM GT、CenturaTM AP及EnduraTM 平台,可購自美國加州Santa Clara的Applied Materials,Inc。此類處理平台能夠執行數種處理操作而不破真空。
排除其他種類的腔室之外,可實施本發明之實施例的沉積腔室可包括介電質蝕刻腔室、高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿輔助化學氣相(PECVD)腔室、次大氣壓化學氣相沉積(SACVD)腔室以及熱化學氣相沉積腔室。
沉積系統的實施例可併入用於生產積體電路晶片的較大型製造系統中。第5圖顯示一個根據所揭露的實施例之沉積、烘烤及固化腔室的此類系統500。在該圖中,一對FOUP(前開式晶圓盒)502供給基材(例如直徑300 mm的晶圓),該等基材是由自動機械臂504接收並且在放進晶圓處理腔室508a-f中的一個腔室之前,放進低壓固持區域506。第二自動機械臂510可用於將基材晶圓從固持區域506傳輸到處理腔室508a-f以及反向傳輸。每一處理腔室508a-f可經裝配以執行數個基材處理操作,除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔去氣、定向及其他基材製程之外還包括乾式蝕刻製程。
處理腔室508a-f可包括用於沉積、退火、固化及/或蝕刻基材晶圓上的可流動介電膜的一個或多個系統部件。在一組態中,兩對處理腔室(例如508c-d及508e-f)可用於沉積介電材料於基材上,而第三對處理腔室(例如508a-b)可用於蝕刻該沉積的介電質。在另一組態中,所有三對腔室(例如508a-f)可經裝設以蝕刻基材上的介電膜。任何一個或多個所述製程可在與製造系統分離的腔室中執行,如不同實施例所示。
系統控制器557用於控制馬達、閥、流量控制器、功率供應器及其他需要執行此述製程配方的功能。氣體處置系統555亦可由系統控制器557控制,以將氣體導至該等處理腔室508a-f的一者或全部。系統控制器557可仰賴來自光學感測器的反饋,以確定及調整氣體處置系統555及/或處理腔室508a-f中的可移動機械組件的位置。機械組件可包括機器人、節流閥與承載器(susceptor),其可在系統控制器557的控制下透過馬達移動。
示範性實施例中,系統控制器557包括硬碟機(記憶體)、USB埠、軟碟機以及處理器。系統控制器557包括類比數位輸入/輸出板、介面板及步進馬達控制板。含有處理腔室400的多腔室處理系統500的各種部件是由系統控制器557所控制。系統控制器執行系統控制軟體,其形式為儲存在電腦可讀媒體(諸如硬碟、軟碟、或快閃記憶體隨身碟)的電腦程式。亦可使用其他類型的記憶體。電腦程式包括數組指令,其指示時間、氣體混合、腔室壓力、腔室溫度、RF功率層級、承載器位置及其他特殊製程參數。
可使用由系統控制器執行的電腦程式實施用於在基材上蝕刻、沉積或以其他方式處理膜的製程或者用於清潔腔室的製程。電腦程式編碼可以習知電腦可讀的程式語言撰寫,例如68000組語、C、C++、Pascal、Fortran或其他者。使用習知的文件編輯器將適合的程式編碼編入單一檔案或多重檔案,並且儲存於電腦可使用媒體(如電腦的記憶體系統)或由該媒體實施。倘若編入的編碼內文是高階語言,則編譯編碼,而所得的編譯編碼隨後與預先編譯的Microsoft Windows函式庫常式之目的碼連結。為了執行該連結、編譯的目的碼,系統使用者援用該目的碼,使電腦系統載入記憶體中的編碼。CPU隨後讀取並且執行該編碼,以操作程式中辨識的任務。
使用者與控制器之間的介面可透過接觸感應顯示器,且亦可包括滑鼠與鍵盤。在一個實施例中,使用兩個顯示器,一個安裝在清潔室壁以供操作者使用,另一個在壁後以供維修技術人員使用。兩個顯示器可同時顯示相同資訊,該實例中,一次僅有一個設以接受輸入。為了選擇特殊的螢幕或功能,操作者以手指或滑鼠接觸顯示器螢幕上的指定的區域。接觸區域改變其強調色彩,或呈現新的選單或螢幕,以確認操作者的選擇。
在此所使用的「基材」可為具有(或不具有)形成於其上的膜層之支撐基材。該支撐基材可為有各種摻雜濃度及摻雜輪廓的絕緣體或半導體,可例如為用在積體電路製造上的類型的半導體基材。「矽氧化物」(silicon oxide)之層可包括其他元素組份(諸如氮、氫及碳等)的次要濃度。氣體可為兩種以上氣體的組合。全文中所用之「溝槽」(trench)一詞毫無暗指意味地是指蝕刻過的地形具有大的水平深寬比。由表面上方所視,溝槽可顯現圓形、卵形、多邊形、矩形或各種其他形狀。
透過上述數個實施例的說明,該領域技術人士應知多種修飾例、替代架構與等效例皆不脫本發明之精神。此外,說明書中不對多種習知處理與元件做說明,以避免不必要地混淆了本發明。故,上述說明不應被視為對本發明範疇之限制。
當提供數值範圍時,除非文字中另外清楚指明,應知亦同時揭露介於該範圍的上下限值之間各個區間值至下限值單位的十分之一。亦涵蓋了所陳述數值或陳述範圍中之區間值以及與陳述範圍中任何另一陳述數值或區間值之間的每個較小範圍。這些較小範圍的上限值與下限值可獨立包含或排除於該範圍中,且各範圍(不管是包含其中一個、包含兩個或不含其上限值與下限值)皆涵蓋於本發明內所陳述之範圍中,除非有特別排除之限制。當所陳述之範圍包括極限值的其中一者或兩者,其也涵蓋該些排除其中一者或兩者所含極限值的範圍。
說明書與如附申請專利範圍中所使用之單數形式「一」與「該」等用語也包括複數形式,除非文字中另外清楚指8 明。因此,舉例而言,「一種製程」所指的包括複數個這類製程,而「該介電材料」所指的包括一或多種介電材料以及該領域技術人士所熟知的其等效例。
同時,說明書與下述申請專利範圍中「包括」、「包含」、「含有」、「含」以及「具有」等用語是指存在所陳述之特徵、整體、構件或步驟,但其並不排除存在或增加一或多種其他特徵、整體、構件、步驟、動作或群組。
100...基底基材
110...結構材料
120-1...矽氧化物
120-2...窄矽氧化物
121...窄溝槽
125...固體殘餘物
130-1...矽氧化物
130-2...寬矽氧化物
131...寬溝槽
135...固體殘餘物
210-250...操作
320-1、320-2...窄矽氧化物
321...窄溝槽
325...窄固體殘餘物
330-1、330-2、330-3...寬矽氧化物
331...寬溝槽
335...寬固體殘餘物
400...處理腔室
402...蓋組件
404...支撐組件通道
410...支撐組件
412...腔室主體
413...腔室主體通道
420...氣體遞送板
422...環狀裝設法蘭片
425...真空泵
427...節流閥
429...泵抽通道
430...阻擋組件
431...真空通口
433...襯墊
435...口孔
440...處理區域
445...電極
446...功率源
447...電隔離環
450...頂板
451、452...口孔
460...狹縫閥開口
461-463...遠端電漿區域
466...電漿功率源
470...加熱元件
500...系統
502...FOUP
504...自動機械臂
506...低壓固持區域
508a-f...處理腔室
555...氣體處置系統
557...系統控制器
透過參考說明書的其餘部分及圖式,可進一步瞭解所揭露的實施例之本質及優點。
第1A圖至第1C圖描繪標準SiconiTM 蝕刻製程期間異質式圖案化表面。
第2圖是根據所揭露的實施例的矽氧化物蝕刻製程的流程圖。
第3A圖至第3G圖描繪根據所揭露之實施例的可倒轉的圖案負載SiconiTM 期間異質式圖案化表面。
第4圖是根據所揭露的實施例之用於執行蝕刻製程的處理腔室之剖面視圖。
第5圖是根據所揭露的實施例之用於執行蝕刻製程的處理系統。
在該等附圖中,相似的部件及/或特徵結構可具有相同的元件符號。進一步言之,相同類型的各部件可透過在元件符號後附上破折號與區別類似部件的第二符號而區別。倘若在說明書中只使用第一元件符號,該敘述可應用至具有相同第一元件符號的類似部件之任一者,而無關第二元件符號。
210-250...操作

Claims (13)

  1. 一種在一基材處理腔室的一基材處理區域中從一圖案化基材的一表面上的一窄溝槽與一寬溝槽二者蝕刻矽氧化物的方法,該方法包含以下步驟:將一含氟前驅物與一含氫前驅物流進與該基材處理區域流通式耦接(fluidly couple)的一遠端電漿區域,同時形成一電漿於該遠端電漿區域中以產生電漿流出物;透過使得該等電漿流出物進入該基材處理區域而蝕刻該窄溝槽與該寬溝槽之每一者中的該矽氧化物,以及形成固體副產物於該窄溝槽與該寬溝槽中一殘餘的矽氧化物之表面上,其中該窄溝槽上的固體副產物高於該寬溝槽上的固體副產物;執行一部分昇華,其中該寬溝槽的該等固體副產物被移除,同時該窄溝槽的該等固體副產物僅部分被移除,以形成在該窄溝槽中的殘餘固體副產物;將該等電漿流出物再度流進該基材處理區域,以一線性速率從該寬溝槽移除該矽氧化物,由於該殘餘的固體副產物之故,該線性速率大於該窄溝槽的線性速率;以及執行一完全昇華,其中該等殘餘的固體副產物從該窄溝槽移除。
  2. 如請求項1所述之方法,其中在蝕刻該矽氧化物的操作期間,該窄溝槽中的該矽氧化物之蝕刻速率大於該寬溝槽中的該矽氧化物之蝕刻速率。
  3. 如請求項1所述之方法,其中在執行該完全昇華之操作後,該窄溝槽中的該矽氧化物之厚度大於該寬溝槽中該矽氧化物之厚度。
  4. 如請求項1所述之方法,其中該窄溝槽的寬度少於35nm。
  5. 如請求項1所述之方法,其中該寬溝槽的寬度大於75nm。
  6. 如請求項5所述之方法,其中該寬溝槽是一積體電路在形成期間的一開放區域或一周邊區域之一者。
  7. 如請求項5所述之方法,其中該含氟前驅物包含至少一種前驅物,該前驅物選自由三氟化氮、氟化氫、雙原子氟、單原子氟及氟取代的碳氫化合物所構成之群組。
  8. 如請求項1所述之方法,其中該含氫前驅物包含至少一種前驅物,該前驅物選自由原子氫、分子氫、氨、 一碳氫化合物及一不完全鹵素取代的碳氫化合物所構成之群組。
  9. 如請求項1所述之方法,其中該矽氧化物在蝕刻與流動操作期間維持在低於60℃。
  10. 如請求項1所述之方法,其中該矽氧化物在執行一部分昇華與執行一完全昇華之操作期間升高到超過90°C。
  11. 如請求項1所述之方法,其中該完全昇華的歷時比該部分昇華的歷時長。
  12. 如請求項11所述之方法,其中該完全昇華的歷時大於45秒。
  13. 如請求項11所述之方法,其中該部分昇華的歷時少於30秒。
TW100108557A 2010-03-17 2011-03-14 乾式蝕刻之可倒轉圖案負載 TWI434346B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US31464110P 2010-03-17 2010-03-17
US12/959,155 US8435902B2 (en) 2010-03-17 2010-12-02 Invertable pattern loading with dry etch

Publications (2)

Publication Number Publication Date
TW201203353A TW201203353A (en) 2012-01-16
TWI434346B true TWI434346B (zh) 2014-04-11

Family

ID=44647582

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100108557A TWI434346B (zh) 2010-03-17 2011-03-14 乾式蝕刻之可倒轉圖案負載

Country Status (3)

Country Link
US (1) US8435902B2 (zh)
TW (1) TWI434346B (zh)
WO (1) WO2011115761A2 (zh)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP2013131587A (ja) * 2011-12-21 2013-07-04 Hitachi High-Technologies Corp プラズマ処理方法
WO2013185054A1 (en) * 2012-06-08 2013-12-12 Tetrasun, Inc. Selective and/or faster removal of a coating from an underlying layer, and solar cell applications thereof
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
CN105122431A (zh) * 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9070635B2 (en) 2013-08-09 2015-06-30 United Microelectronics Corp. Removing method
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP2014028983A (ja) * 2013-11-15 2014-02-13 Toyo Ink Sc Holdings Co Ltd 粘着剤、粘着シートおよびディスプレイ
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) * 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922840B2 (en) 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10483369B2 (en) * 2017-10-30 2019-11-19 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10453936B2 (en) * 2017-10-30 2019-10-22 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7372073B2 (ja) * 2019-08-02 2023-10-31 東京エレクトロン株式会社 基板処理方法、基板処理装置及びクリーニング装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7884032B2 (en) * 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7794912B2 (en) * 2007-01-16 2010-09-14 Kabushiki Kaisha Toshiba Developing agent and method for manufacturing the same
US20080233709A1 (en) * 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
WO2011000020A1 (en) 2009-06-12 2011-01-06 Sbc Research Pty Ltd Enhanced method of detection
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue

Also Published As

Publication number Publication date
US8435902B2 (en) 2013-05-07
US20110230052A1 (en) 2011-09-22
TW201203353A (en) 2012-01-16
WO2011115761A2 (en) 2011-09-22
WO2011115761A3 (en) 2011-12-22

Similar Documents

Publication Publication Date Title
TWI434346B (zh) 乾式蝕刻之可倒轉圖案負載
TWI541889B (zh) 兩階段的均勻乾式蝕刻
US9093390B2 (en) Conformal oxide dry etch
TWI445081B (zh) 用於含矽薄膜的平滑SiConi蝕刻法
TWI497582B (zh) 用於含碳膜的矽選擇性乾式蝕刻方法
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
US20120009796A1 (en) Post-ash sidewall healing
JP2013048127A (ja) アッシュ後の側壁の回復
KR20130022433A (ko) 애쉬-후 측벽 힐링
TW201306125A (zh) 灰化後側壁修復
SG187276A1 (en) Post-ash sidewall healing

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees