TWI692799B - 清潔方法 - Google Patents

清潔方法 Download PDF

Info

Publication number
TWI692799B
TWI692799B TW105141008A TW105141008A TWI692799B TW I692799 B TWI692799 B TW I692799B TW 105141008 A TW105141008 A TW 105141008A TW 105141008 A TW105141008 A TW 105141008A TW I692799 B TWI692799 B TW I692799B
Authority
TW
Taiwan
Prior art keywords
substrate
silicon
plasma
ions
hydrogen
Prior art date
Application number
TW105141008A
Other languages
English (en)
Other versions
TW201732872A (zh
Inventor
彼得 史東
克里斯多夫S 奧森
郭騰芳
謝秉翰
丁振文
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201732872A publication Critical patent/TW201732872A/zh
Application granted granted Critical
Publication of TWI692799B publication Critical patent/TWI692799B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3221Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

本揭露的實施一般係關於用於在基板表面上磊晶沉積的方法和設備。更具體言之,本揭露的實施一般係關於用於在磊晶沉積之前作表面製備(preparation)之方法和設備。在一個實施中,提供了一種處理基板的方法。該方法包括以下步驟:藉由使用電漿蝕刻處理來蝕刻含矽基板的表面以形成該含矽基板的一蝕刻過的表面,以及在該含矽基板的該蝕刻過的表面上形成磊晶層。該電漿蝕刻處理包括以下步驟:將包含含氟前驅物和含氫前驅物的蝕刻劑氣體混合物流入第一處理腔室的基板處理區域,及從流入基板處理區域的該蝕刻劑氣體混合物形成電漿。

Description

清潔方法
本揭露的實施一般係關於用於在基板表面上磊晶沉積的方法和設備。更具體言之,本揭露的實施一般係關於用於在磊晶沉積之前作表面製備(preparation)之方法和設備。
積體電路形成在矽和其他半導體基板中以及形成在矽和其他半導體基板上。在單晶矽的情況中,藉由從一槽的熔融矽生長單晶塊,以及接著將凝固的單晶塊切(sawing)成數個晶圓來產生基板。可接著在單晶矽晶圓上形成磊晶矽層以形成可摻雜的或未摻雜的無缺陷矽層。從磊晶矽層製造半導體元件,如電晶體。所形成的磊晶矽層的電性質通常會比單晶矽基板的性質更好。
隨著電路密度為下一代裝置而增加,互連的寬度,如通孔、溝槽、接點、閘極結構和其它特徵,以及它們之間的介電材料,減小到45nm和32nm的尺寸,然而隨著該等特徵的深寬比增加的結果,介電層的厚度實質上保持恆定。為了能夠製造下一代元件和結構,半導體晶片的三維(3D)堆疊常常用於改進電晶體的效能。 藉由以三維方式配置電晶體,而不是以傳統的二維方式,多個電晶體可彼此非常接近地放置在積體電路(IC)中。半導體晶片的三維堆疊減少線長度並保持低配線延遲。在製造三維半導體晶片的堆疊中,通常使用階梯狀(stair-like)結構以允許多個互連結構設置於其上,而形成高密度的垂直電晶體元件。
此些三維堆疊形成在矽和其他半導體基板中以及形成在矽和其他半導體基板上。在單晶矽(即微晶矽)的情況中,藉由從一槽的熔融矽生長單晶塊,以及接著將凝固的單晶塊切成數個晶圓來產生基板。可接著在單晶矽晶圓上形成磊晶矽層以形成可摻雜的或未摻雜的無缺陷矽層。從磊晶矽層製造半導體元件,如電晶體。所形成的磊晶矽層的電性質通常會比單晶矽基板的性質更好。
當單晶矽和磊晶矽層的表面暴露於典型的晶圓製造設施周圍條件時,單晶矽和磊晶矽層的表面很容易受到污染。例如,在磊晶層沉積之前,原生氧化層可形成在單晶矽表面上。此外,存在於周圍環境中的污染物可能沉積在單晶表面上。單晶矽表面上的原生氧化物層或污染物的存在負面地影響隨後在單晶表面上形成的磊晶層的品質。
雖然現有的清潔方法將原生氧化物和污染物的部分從單晶矽表面去除,但依然有一些污染物。另外,隨著特徵的深寬比增加,清潔定位在高深寬比(「HAR」)特徵底部處的矽表面變得更為困難。例如,當深寬比接近30:1至50:1時,使用目前可用的清潔方法所產生的離子常黏附到高深寬比特徵的側壁且無法到達在高深寬比特徵底部處的矽表面。
因此,需要一種用於清潔基板表面的方法與設備,特別是用於進行磊晶沉積過程之前清潔基板表面的方法和設備。
本揭露的實施一般係關於用於在基板表面上磊晶沉積的方法和設備。更具體言之,本揭露的實施一般係關於用於在磊晶沉積之前作表面製備之方法和設備。在一個實施中,提供了一種處理基板的方法。該方法包括以下步驟:藉由使用電漿蝕刻處理來蝕刻含矽基板的表面以形成該含矽基板的一蝕刻過的表面,以及在該含矽基板蝕刻過的表面上形成磊晶層。該電漿蝕刻處理包括以下步驟:將包含含氟前驅物和含氫前驅物的蝕刻劑氣體混合物流入第一處理腔室的基板處理區域,及從流入基板處理區域的該蝕刻劑氣體混合物形成電漿。
在另一實施中,提供了一種處理基板的方法。該方法包括以下步驟:藉由還原過程(reducing process)將氧化物從含矽基板的表面去除,藉由使用電感耦合電漿蝕刻處理來蝕刻含矽基板的表面以形成該含矽基板的一蝕刻過的表面,以及在該含矽基板蝕刻過的表面上形成磊晶層。該電漿蝕刻處理包括以下步驟:將包含含氟前驅物和含氫前驅物的蝕刻劑氣體混合物流入第一處理腔室的基板處理區域,及從流入基板處理區域的該蝕刻劑氣體混合物形成電漿。
在又一實施中,提供了一種處理基板的方法。該方法包括以下步驟:藉由還原過程將氧化物從含矽基板的表面去除,藉由使用電感耦合電漿蝕刻處理來蝕刻含矽基板的表面以形成該含矽基板的一蝕刻過的表面,以及在該含矽基板蝕刻過的表面上形成磊晶層。該電漿蝕刻處理包括以下步驟:將包含三氟化氮(NF3 )前驅物和氨前驅物的蝕刻劑氣體混合物流入第一處理腔室的基板處理區域,及從流入基板處理區域的該蝕刻劑氣體混合物形成電感耦合電漿。
在又一實施中,提供了一種處理基板的方法。該方法包括:將圖案化基板傳送到基板處理區域。該圖案化基板具有定位於高深寬比特徵的底部處之暴露的含矽表面,該高深寬比特徵的深度是該高深寬比特徵的寬度之十倍以上。該方法包括藉由使用第一電漿蝕刻處理來將氧化物從暴露的含矽表面去除。第一電漿蝕刻處理進一步包括將含氟前驅物和惰性氣體流入基板處理區域,同時施加電漿功率以形成氟離子和惰性氣體離子。第一電漿蝕刻方法進一步包括以氟離子和含氫離子蝕刻含矽表面,同時施加偏壓至基板以將氧化物從蝕刻過的含矽表面去除。該方法進一步包括在蝕刻過的含矽表面上形成磊晶層,其中施行電漿蝕刻處理及在圖案化基板的蝕刻過的表面上形成磊晶層之步驟而沒有將圖案化基板暴露於大氣。
在又一實施中,提供了一種處理基板的方法。該方法包括:將圖案化基板傳送到基板處理區域。該圖案化基板具有定位於高深寬比特徵的底部處之暴露的含矽表面,該高深寬比特徵的深度是該高深寬比特徵的寬度之十倍以上。該方法包括藉由使用第一電漿蝕刻處理來將氧化物從暴露的含矽表面去除。第一電漿蝕刻處理包括將三氟化氮(NF3 )和氬氣流入基板處理區域,同時施加電漿功率以形成含氟離子和氬離子,其中NF3 和氬氣的流動速率導致氬氣比NF3 的原子流量比介於80:1至150:1之間。第一電漿蝕刻處理進一步包括以氟離子和氬離子蝕刻含矽表面,同時施加偏壓至基板以形成蝕刻過的含矽表面。該方法進一步包括在蝕刻過的含矽表面上形成磊晶層,其中施行電漿蝕刻處理及在圖案化基板的表面上形成磊晶層之步驟而沒有將圖案化基板暴露於大氣。
在又一個實施中,處理基板的方法是正在處理基板。該方法包括:將圖案化基板傳送到基板處理區域。該圖案化基板具有定位於高深寬比特徵的底部處之暴露的含矽表面,該高深寬比特徵的深度是該高深寬比特徵的寬度之十倍以上。該方法進一步包括藉由使用第一電漿蝕刻處理來將氧化物從暴露的含矽表面去除。第一電漿蝕刻處理包括將含氟前驅物和重惰性前驅物(heavy inert precursor)流入基板處理區域,同時施加電漿功率以將含氟離子撞擊暴露的含矽表面,在施加偏壓給基板時,藉由使用第二電漿蝕刻處理來蝕刻暴露的含矽表面。第二電漿蝕刻處理包括將包含含氯前驅物和含氫前驅物的蝕刻氣體混合物流入基板處理區域,同時施加電漿功率以形成含氯離子和含氫離子,以及以含氯離子和含氫離子蝕刻含矽表面。該方法進一步包括藉由第三電漿蝕刻處理將蝕刻劑殘餘物從圖案化基板的含矽表面去除。第三電漿蝕刻方法包括以下步驟:將含氯前驅物和含氫前驅物流入基板處理區域,同時施加偏壓給基板及施加電漿功率以形成含氯離子和含氫離子,以及以含氯離子和含氫離子蝕刻含矽表面,同時施加該偏壓給基板。該方法進一步包括在圖案化基板的蝕刻過的含矽表面上形成磊晶層,其中施行該第三電漿蝕刻處理及在圖案化基板的該表面上形成磊晶層之步驟而沒有將圖案化基板暴露於大氣。
以下揭露總體上描述了用於在基板表面上磊晶沉積的方法和設備。某些細節闡述在下面的說明書和圖1至6中,以提供本揭露的各種實施之全面理解。述及常與磊晶沉積和基板的表面製備相關聯之習知結構與系統的其他細節不會在以下揭露中陳述出來,以避免不必要地模糊各種實施的說明。
在圖中所示的諸多細節、尺寸、角度和其他特徵僅是為了說明特定實施。因此,不背離本揭露的精神或範圍下,其他實施可以具有其他細節、元件、尺寸、角度和特徵。此外,可以在沒有以下所述的若干細節下,實施本揭露的進一步實施。
對於縮小積體電路中元件尺寸的持續進展正為半導體製造處理推動多次的改變。在這些變化之中,有對元件(如通道、接點)尺寸的作縮小。隨著尺寸縮小,對於高品質材料以及不同材料(在某些情況下)的需求日益增加。例如,在電晶體通道的應用中,鍺越來越常與矽一起使用以形成通道。通常經由磊晶沉積處理來實現高品質材料。新一代節點包含用於成功磊晶之越來越純淨(pristine)的表面,以及介電材料上半導體材料的選擇。當前的清潔處理對於清潔下一代材料在品質與能力上漸顯不足。
在本揭露的一些實施中,提供了清潔半導體基板的方法。該方法包括將基板暴露於如Ar/NF3 的電感耦合電漿,以及接著暴露於H2 /Cl2 的電感耦合電漿。在一或多個操作期間,基板被定位在被施加偏壓的靜電卡盤上。第一操作用於去除大部分氧化物。第二操作用來施行暴露的半導體材料的輕微蝕刻以去除嵌入的雜質和表面的不規則。此處理對於半導體材料(如矽和鍺)和介電材料(如氮化矽)上的氧化矽是選擇性的。
在處理之前存在於含矽表面上的原生氧化物以及在處理期間污染含矽表面的氧污染(oxygen contaminants)影響隨後沉積磊晶層與最終形成的元件之品質。本揭露的實施提供了用於減少元件形成期間的原生氧化物與氧污染之系統和方法。在本揭露的一個實施中,提供了磊晶沉積之前預清潔含矽基板的方法,這導致改善的磊晶材料的沉積。本發明人已經發現到,叢集處理腔室通過真空移送減少暴露於大氣且相應地減少暴露於氧污染。例如,在磊晶沉積之前施行矽的電感電漿氯蝕刻而沒有將基板暴露於蝕刻與沉積之間的大氣(如沒有破壞真空)減少暴露於氧污染。在一些實施中,在原生氧化層去除處理(如使用NH3 /NF3 的電容耦合電漿;使用NH3 /NF3 的電感耦合電漿;化學氧化物去除-無水HF+NH3 的熱組合)施行之後,矽蝕刻處理(如使用H2 /NF3 的電容耦合電漿;使用H2 /NF3 的電感耦合電漿;電感耦合電漿H2 /Cl2 矽蝕刻)接續施行;在此之後,選擇性的殘留物去除處理與磊晶沉積處理接著施行。由於大多數原生氧化物去除過程是不穩定的,所以一旦暴露於大氣中,原生氧化物開始在含矽表面上重新生長。聚集原生氧化物移除腔室以及矽蝕刻和磊晶沉積也使得減少氧污染。
本說明書所述的實施將參照以下可以使用可自美國加州聖克拉拉的應用材料公司(Applied Materials, Inc.)取得的系統施行的清潔、蝕刻與沉積處理來描述。能夠施行這些清潔、蝕刻和沉積處理的其他工具可經調整而受惠於本說明書所述的實施。此外,可以有利地使用本說明書所述的能夠清潔、蝕刻和沉積處理的任何系統。本說明書所述之設備說明是示例性的,不應被理解或解釋為限制本說明書所述實施之範圍。
圖1表示具有形成在基板102上的膜結構100中的高深寬比特徵的膜結構100之截面圖,可使用圖2與圖3所示的方法來處理膜結構100。雖然圖1只有圖示一個高深寬比特徵140,但應當理解到,在膜結構100中可形成多個高深寬比的特徵。在一個實施中,可使用膜結構100形成用於三維NAND半導體應用的閘結構。在製造三維NAND半導體應用中,階梯狀的氧化物-氮化物對的結構通常用於形成高深寬比閘極堆疊NAND單元以增加電路密度。
膜結構100可選擇性地在基板102的基底層104上形成。基底層104可以是包括第一材料和第二材料的圖案化層。膜結構100具有在基底層104上依序形成的複數個材料層堆疊1061 、1062 、1063 、1064 ……106n (統稱106)。複數個材料層堆疊106的各個材料層堆疊可包括在其上形成的第一膜層1081 、1082 、1083 、1084 ……108n (統稱108)及第二膜層1101 、1102 、1103 、1104 ……110n (統稱110),使得膜結構100包括交替形成的複數個第一膜層108與第二膜層110。在一個實施中,複數個第一膜層108是氧化矽層及複數個第二膜層110是氮化矽層。複數個材料層堆疊106可藉由電漿處理腔室中的PECVD沉積技術形成。
在進一步的實施中,第一材料層/第二材料層堆疊可以是氧化物/矽、矽/摻雜的矽或矽/氮化物。所有這些材料的組合可以用在位元成本可定標(BICS)、兆位元單元陣列電晶體(TCAT)及其他3D記憶體結構中。在其他實施中,第一材料層和第二材料層堆疊可以是其他的材料組合。在基板102上的第一膜層108和第二膜層110的沉積順序也可以反過來。
層的數量可以取決於正在製造的記憶體元件。在一個實施中,堆疊數可以是8x、或16x、或24x或甚至更高,其中8、16、24、32、64、128或更多層的每個堆疊對應於一個記憶元件。不同材料的兩個層形成每個堆疊,所以對於8x堆疊數對應的層的數量可以是16,16x堆疊數可以具有32層、24x堆疊數可以具有48層,而更高堆疊數可以分別具有更高的層數。
圖1中所示的基板102包括形成在基板102上的基底層104。在沒有基底層104的一些實施中,膜結構100可直接在基板102的表面上形成。在一個實施中,基板102可具有實質平坦表面、不平坦的表面或具有在其上形成的結構的實質平坦表面。基板102可以是如下的材料:結晶矽(如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案化或非圖案化的在絕緣體上的矽晶圓(SOI)、摻雜碳的矽氧化物、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石。基板102可具有各種尺寸,如200mm或300mm直徑的晶圓以及矩形或正方形板。除非另有說明,否則本說明書所述的實施和實例在具有300mm直徑的基板上進行。在一個實施中,基板102可以是結晶矽基板(如單晶矽或多晶矽)。
可使用反應離子蝕刻技術或其他各向異性(anisotropic)蝕刻技術形成高深寬比特徵140。在一個實施中,可將電漿或蝕刻氣體的離子束引導到基板102,以形成高深寬比特徵140。蝕刻氣體可包括SF6 、C3 F8 、CF4 、BF3 、BI3 、N2 、Ar、PH3 、AsH3 、B2 H6 、H2 、Xe、Kr、Ne、He、SiH4 、SiF4 、GeH4 、GeF4 、CH4 、AsF5 、PF3 、PF5 或以上各者之組合。高深寬比特徵140具有開口150。高深寬比是由底表面160和側壁170所界定。在一些實施中,底表面160是暴露的矽或含矽表面(如單晶矽表面)。在一些實施中,底表面160是暴露的鍺或含鍺表面。在一些實施中,底表面160由基板102的暴露表面所界定。在高深寬比特徵140沒有延伸至基板102表面的一些實施中,材料層堆疊106或基底層104(如果存在的話)可界定底表面160。側壁170由複數個材料層堆疊106所界定。
高深寬比特徵140可包括具有至少約5:1或更高(如6:1或更高、7:1或更高、8:1或更高、9:1或更高、10:1或更高、11:1或更高、12:1或更高、16:7或更高、或約10:1至約20:1、或在約30:1至約50:1的範圍內;或在約70:1至約100:1的範圍內)之高的高度比上寬度的深寬比(裸孔高度除以孔寬度的比例)。示例性特徵的定義包括通孔、溝槽、縫隙、接線、接觸孔、通孔或在半導體、太陽能或其他電子設備中使用的其他特徵的定義,如高比例的接觸插座。
當高深寬比特徵140的底表面160暴露於典型的晶圓製造設施周圍條件時,高深寬比特徵140的底表面160容易受到污染。例如,在磊晶層沉積之前,原生氧化層可形成在底表面160上。此外,存在於周圍環境中的污染物可能在底表面160上沉積。底表面160上的原生氧化物層或污染物的存在負面地影響隨後在底表面160上形成的磊晶層的品質。如先前所討論的,來自當前可用的清潔技術之離子常碰撞到側壁170而無法到達高深寬比特徵140的底表面160。因此,當前可用的清潔技術無法去除底表面160上形成的原生氧化物和污染物。
圖2繪示了根據本揭露的一個實施之處理序列200。處理序列200開始於操作210,藉由將基板(如基板102)定位到處理腔室的處理區域(如圖4所示的處理腔室400的基板處理區域401或圖5所示的電漿處理腔室500的基板處理區域501。基板可定位在基板支撐件上(如基板支撐件432或基板支撐件515),其定位在處理區域中(如基板處理區域401或基板處理區域501)。
基板可以是具有元件在其上形成的半導體基板。在一個實施中,基板可具有實質平坦表面、不平坦的表面或具有在其上形成的結構的實質平坦表面。 基板可以是如下的材料:結晶矽(如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺(SiGe)、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案化或非圖案化的在絕緣體上的矽晶圓(SOI)、摻雜碳的矽氧化物、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石。基板可具有各種尺寸,如200mm或300mm直徑的晶圓以及矩形或正方形板。除非另有說明,否則本說明書所述的實施和實例在具有300mm直徑的基板上進行。在一個實施中,基板可以是結晶矽基板(如單晶矽或多晶矽)。基板可包括含矽材料且表面可包括如矽(Si)、鍺(Ge)或矽鍺合金(矽鍺)的材料。在一些實施中,Si、Ge或SiGe表面可具有氧化層(如原生氧化層)設置在其上。在一個實施中,基板是圖1所示的基板102。基板的表面可以是膜結構100的高寬比特徵140的底表面160。
可選地,在操作220,將基板表面上的原生氧化物藉由清潔處理去除。可使用從基板去除氧化物而不顯著損傷基板的任何合適清潔處理。合適的清潔處理包括濺射蝕刻處理、電漿為基的氧化物蝕刻處理、濕蝕刻處理或以上各者之組合。示例性的濕蝕刻處理包括使用氫氟酸(HF)(例如,熱的氫氟酸(「HF」)/NH3 為基的處理,濕HF處理)的濕蝕刻處理。示例性的電漿為基的氧化物蝕刻處理包括NF3 /NH3 電感耦合電漿處理或NF3 /NH3 電容耦合電漿處理。在一些實施中,操作220是無偏壓處理(即,RF偏壓不施加到基板)。
在一個實施中,電漿為基的氧化物蝕刻處理包含將基板同時暴露於NF3 與NH3 電漿副產物。電漿為基的氧化物蝕刻處理可以是電容耦合電漿處理或電感耦合電漿處理。在一個實施中,電漿為基的氧化物蝕刻處理是遠端電漿輔助乾蝕刻處理,其包含將基板同時暴露於NF3 與NH3 電漿副產物。在一個實例中,電漿為基的氧化物蝕刻處理可類似於或者可包括SiCoNiTM蝕刻處理,其可從加州聖克拉拉的應用材料公司取得。在使用遠端電漿的一些實施中,氣體種類的激發允許無電漿損壞的基板處理。遠端電漿蝕刻可以向氧化矽層是大程度上共形(conformal)和選擇性的,且因此不管矽是非晶形的、結晶的或多晶的,都無法輕易蝕刻矽。遠端電漿處理通常會產生固體(solid)副產物,當基板材料被去除時,固體副產物生長在基板的表面上。當基板的溫度上升時,固體副產物可隨後經由昇華而被去除。電漿蝕刻處理使得基板表面在其上具有矽-氫(Si--H)鍵結。
在一個實施中,電漿為基的氧化物蝕刻處理包括將三氟化氮(NF3 )流入電漿形成區域和(或)基板處理區域。氟的其他源可添加或替換三氟化氮。一般來說,含氟前驅物可流入處理區域,且該含氟前驅物包括自以下所組成的群組中選擇的至少一者:原子氟、雙原子氟(F2 )、三氟化溴(BrF3 )、三氟化氯(ClF3 )、三氟化氮(NF3 )、氟化氫(HF)、六氟化硫( SF6 )及二氟化氙(XeF2 )。電漿為基的氧化物蝕刻處理進一步包括將氨(NH3 )流入其與三氟化氮一起在電漿中同時激發的處理區域。
電漿為基的氧化物蝕刻處理可包含維持氣體流量比(NH3 :NF3 )以達到所需氧化物的蝕刻選擇性。在一個實施中,使用的氣體流量比(NH3 :NF3 )介於1:1至50:1(如1:1至20:1;5:1至10:1)之間。
NH3 與NF3 的流可進一步包括一個或多個相對惰性的氣體如氬(Ar)、氦(He)、氖(Ne)、氙(Xe)、氮氣(N2 )等。該惰性氣體可以用於提高電漿穩定性、處理均勻性等。不被理論所侷限,但相信惰性氣體(作為添加物)對促進穩定電漿的的形成是有幫助的。當包括有惰性氣體時,處理均勻性通常增加。這些添加物存在於整個本說明書的實施中。不同氣體的流動速率和比例可用於控制蝕刻速率和蝕刻選擇性。
在特定揭露的實施中,含氫前驅物(如NH3 )以約25sccm(每分鐘標準立方公分)至400sccm之間的流動速率供應,含氟前驅物(如NF3 )以約1sccm至25sccm的流動速率供應,He以約0slm(每分鐘標準公升)至3slm的流動速率供應,以及Ar以約0slm至3slm的流動速率供應。本領域具有通常知識者將認識到,可根據數個因素使用其他氣體和(或)流,該等數個因素包括處理腔室配置、基板尺寸、幾何形狀和特徵佈置等。在本揭露的實施中,含氫前驅物可以約25sccm至約400sccm之間、約50sccm至約300sccm之間、約75sccm至約200sccm之間或約90sccm至約150sccm之間的流動速率供應。在揭露的實施中,含氟前驅物(如NF3 )可以約1sccm至約25sccm之間、約2sccm至約20sccm之間、約4sccm至約15sccm之間或約5sccm至約12sccm之間的流動速率供應。
基板的溫度可以是在約0攝氏度至約200攝氏度之間。在一些實施中,在氧化物去除處理期間,基板的溫度可以大於或約為20攝氏度、大於或約為30攝氏度、大於或約為40攝氏度、大於或約為60攝氏度、大於或約為80攝氏度或者大於或約為100攝氏度。在揭露的實施中,基板溫度可以小於或約為120攝氏度、小於或約為100攝氏度、小於或約為80攝氏度、小於或約50為攝氏度,且可以是在約30攝氏度至約50攝氏度。
在一些實施中,能量施於含氫前驅物和含氟前驅物,而含氫前驅物和含氟前驅物在遠端電漿區域或處理區域產生電漿流出物(plasma effluents)。如本領域具有通常知識者將理解到的,該電漿可包括多個帶電和中性物質,包括自由基和離子。可使用習知技藝(如射頻激發、電容耦合功率、電感耦合功率等)來產生電漿。在本揭露的實施中,電漿源功率可以是約10瓦至約3000瓦之間(如約10瓦至約1000瓦之間;約200瓦至約2500瓦之間;約300瓦至約2000瓦特之間;或約500瓦至約1500瓦之間)。
處理區域內的壓力可低於或約為50Torr、低於或約為30Torr、低於或約為20Torr、低於或約為10Torr、低於或約為5Torr或者低於或約為1Torr。在本揭露的實施中,該壓力可高於或約為0.1Torr、高於或約為0.2Torr、高於或約為0.5Torr或者高於或約為1Torr。在一個實施中,在氧化物去除期間,壓力可為約0.1Torr至約0.7Torr之間。然而,任何的溫度或壓力上限可與下限組合以形成額外的實施。
在一個實施中,電漿為基的氧化物蝕刻處理可包括約1sccm至約20sccm範圍內(如約5sccm)的NF3 流動速率,以及約50sccm至約200sccm範圍內(如約100sccm)的NH3 流動速率。電漿為基的氧化物蝕刻處理可在約5Torr的壓力下進行,以及約30瓦的RF功率設定可用於離子化NF3 和NH3 。可藉由將基板在約120攝氏度或更高的溫度下退火約5至約100秒(如60秒)而接著將副產物自基板表面昇華。氟為基的清潔之其他實施包含在電漿或熱中反應NH3 氣體及F2 或無水HF氣體以蝕刻SiO2 原生氧化物。氣體流量比的實例可為在15攝氏度至130攝氏度的溫度下含氟氣體比NH3 氣體的流量比為1:1至1:10。
在另一實施中,基板暴露於濕清潔處理。可使用濕清潔處理來清潔基板,其中清潔溶液如HF持續型(HF-last type)清潔溶液、臭氧水清潔溶液、氫氟酸(HF)和過氧化氫(H2 O2 )溶液或其他合適的清潔溶液。清潔溶液可被加熱。
在另一實施中,不同的清潔處理可用於清潔基板表面。在一個實施中,包含Ar和NF3 的電漿被引入處理腔室中。在另一實施中,包含He和NF3 的遠端電漿通過氣體分配板(如噴頭)被引入處理區域。NH3 可經由單獨的氣體入口被直接注入腔室。
在操作230中,將矽從含矽基板去除。可使用任何合適的方法將矽從含矽基板去除以去除嵌入的雜質和表面不規則。在一個實施中,使用矽蝕刻處理將矽從含矽基板去除以形成含矽基板的蝕刻過的表面。矽蝕刻處理可以是電漿為基的矽蝕刻處理。電漿為基的矽蝕刻處理可以是電容耦合電漿處理或電感耦合電漿處理。在一些實施中,操作230通常是偏壓處理(即,RF偏壓施加到基板)。在一些實施中,操作230是無偏壓處理。電漿為基的矽蝕刻處理可在處理腔室的處理區域中進行,如圖4所示的處理腔室400的基板處理區域401或圖5所示的電漿處理腔室500的基板處理區域501。在一個實施中,矽蝕刻過程可以是過蝕刻(over-etching)處理,以增強(enhance)基板的表面。
在電漿為基的矽蝕刻處理中,蝕刻處理氣體引入到腔室中。蝕刻處理氣體可包括一或多個蝕刻前驅物。蝕刻前驅物通過前驅物/氣體入口輸送到基板處理區域。在一些實施中,蝕刻前驅物可在引入到基板處理區域之前被混合。在一些實施中,蝕刻前驅物可分別引入到基板處理區域。可藉由施加交流電(AC)電源到一或多個感應線圈所產生的電感耦合電漿來激發蝕刻前驅物。蝕刻前驅物包括含氟前驅物、可選含氫氣體以及可選惰性氣體。含氟前驅物選自以下所組成的群組中:三氟化氮(NF3 )、五氟化氮(NF5 )、六氟化硫( SF6 )、二氟化氙(XeF2 )、四氟化碳(CF4 )、八氟環丁烷(C4 F8 )、三氟甲烷(CHF3 )、氟化氫(HF)、雙原子氟(F2 )、單原子氟(F)、三氟化溴(BrF3 )、三氟化氯(ClF3 )及氟取代的烴類、以上各者之組合或類似物。
電漿為基的矽蝕刻處理進一步包括將含氫前驅物流入處理區域,在該處理區域含氫前驅物與含氟前驅物一起在電漿中同時被激發。在一些實施中,含氫前驅物可包括原子氫(H)、雙原子氫(H2 )、氨(NH3 )、烴類、不完全鹵素取代的烴、以上各者之組合或類似物。
在一個實施中,電漿為基的矽蝕刻處理可包含維持氣體流量比(含氫前驅物:含氟前驅物)以達到所需的矽的蝕刻選擇性。在一個實施中,使用的氣體流量比(如H2 :NF3 )介於1:1至10:1(如1:1至5:1;5:1至10:1)之間。
含氟前驅物和含氫前驅物的流量可進一步包括一或多個相對惰性氣體。該惰性氣體可包括以下氣體中的至少一種:氬(Ar)、氦(He)、氖(Ne)、氙(Xe)、氮氣(N2 )和類似物。在一些實施中,基板處理區域可基本上由以下組成:NF3 、氫和惰性氣體、NF3 和氫、NF3 和惰性氣體或NF3 、氫和氬。術語「基本上」允許其他元素濃度(其可以不可避免地存在於典型的處理系統中)以及低濃度,其對矽蝕刻處理沒有不利的影響。
含氟前驅物和含氫前驅物的流可進一步包括一或多個額外的含鹵素前驅物。示例性的含鹵素前驅物包括氯(Cl2 )、溴(Br2 )、溴化氫(HBr)以及以上各者之組合。相信包含額外的鹵素前驅物減少或防止因矽蝕刻處理引起的蝕刻殘留物之形成。
在特定揭露的實施中,含氟氣體(如NF3 )以約1sccm(每分鐘標準立方公分)至25sccm之間的流動速率供應,H2 以約25sccm至400sccm的流動速率供應,He以約0slm(每分鐘標準公升)至3slm的流動速率供應,以及Ar以約0slm至3slm的流動速率供應。本領域具有通常知識者將認識到,可根據數個因素使用其他氣體和(或)流,該等數個因素包括處理腔室配置、基板尺寸、幾何形狀和特徵佈置等。一般來說,在本揭露所述的實施中,含氫前驅物可以約25sccm至約400sccm之間、約50sccm至約300sccm之間、約75sccm至約200sccm之間或約90sccm至約150sccm之間的流動速率供應。在揭露的實施中,含氟前驅物可以約1sccm至約25sccm之間、約2sccm至約20sccm之間、約4sccm至約15sccm之間或約5sccm至約12sccm之間的流動速率供應。
基板的溫度可以是在約0攝氏度至約200攝氏度之間。在一些實施中,在氧化物去除處理期間,基板的溫度可以大於或約為20攝氏度、大於或約為30攝氏度、大於或約為40攝氏度、大於或約為60攝氏度、大於或約為80攝氏度或者大於或約為100攝氏度。在揭露的實施中,基板溫度可以小於或約為120攝氏度、小於或約為100攝氏度、小於或約為80攝氏度、小於或約為50攝氏度,且可以是在約30攝氏度至約50攝氏度之間。
操作230包括以下步驟:施加能量到含氟前驅物、可選的含氫前驅物和可選的惰性氣體(如果存在的話)以產生用於處理和蝕刻基板的表面之自由基。如本領域具有通常知識者將理解到的,該電漿可包括多個帶電和中性物質,包括自由基和離子。可使用習知技藝(如射頻激發、電容耦合功率、電感耦合功率等)來產生電漿。在一個實施中,使用電感耦合電漿功率供應單元(如圖5所示的內線圈天線540、中間線圈天線550及側線圈天線560)施加能量。在另一實施中,使用電容耦合電漿功率單元(如圖4所示的第一電極420和第二電極422)施加能量。電漿源功率可以是約10瓦至約2500瓦之間(如約50瓦至約1000瓦之間、約50瓦至約500瓦之間;約100瓦至約400瓦之間或約200瓦至約300瓦之間)。
施加於本說明書所述之本端或遠端電漿的RF頻率可是小於約200KHz的低RF頻率、約10MHz至約15MHz之間(如13.56MHz)的高RF頻率或者大於或約為1GHz的微波頻率。
在一些實施中,在操作230期間,RF偏壓經由具有RF功率供應的基板支撐件而施加到基板。施加到基板支撐件的功率在基板的上表面上產生負電壓形式的基板偏壓。此負電壓用來吸引來自形成在基板處理區域中的電漿之離子到基板的上表面。RF偏壓可具有約50W至約800W範圍內的功率位準。在一個實施中,RF偏壓可具有約200W至約400W範圍內的功率位準。例如,RF偏壓可具有100W、200W、300W或400W的功率位準。在一些實施中,RF偏壓的頻率會小於與腔室的線圈耦接的RF功率源的頻率。例如,在一些實施中,RF偏壓頻率可以是2MHz,而RF功率源的頻率可以是13.56MHz。在另一實施中,RF偏壓頻率可為約13.56MHz及RF功率源頻率可為約60MHz。例如,基板定位在基板支撐表面521上,以及偏壓藉由絕緣內部電極524施加到基板。不被理論所侷限,但相信偏壓施加給基板加速離子往基板表面,以及改善氧化物從基板表面去除。
在一些實施中,在操作230期間,用於產生RF偏壓的功率可為脈衝的(pulsed)。功率可施加到功率源達一所需時間,及接著停止一所需時間。功率循環可在所希望的頻率和工作週期下重複所需的循環數。在一些實施中,RF偏壓可在約1Hz至約50000Hz之間(如約5000Hz至約10000Hz之間)的頻率下脈衝。在其他實施中,RF偏壓脈衝可以約5%至約40%之間的工作週期(每週期供電時間比未供電時間的比)進行,如約15%至約20%之間。
基板處理區域內的壓力可低於或約為10Torr、低於或約為5Torr、低於或約為1Torr、低於或約為0.7Torr、低於或約為0.5Torr或者低於或約為0.1Torr。在本揭露的實施中,該壓力可高於或約為0.5mTorr、高於或約為0.01Torr、約為0.1Torr、高於或約為0.5Torr、高於或約為0.7Torr或者高於或約為1Torr。在一些實施中,在基板處理區域中的壓力可為約0.5mTorr至約700mTorr之間(如約2mTorr至200mTorr之間或約5mTorr至約100mTorr之間;或約10mTorr至約50mTorr之間)。
在一個實施中,蝕刻氣體中的含氟氣體(如NF3 )的體積濃度可小於約10%,或者更具體地小於約5%,或甚至小於約1%。在特定實施中,含氟氣體的體積濃度為約1%至約10%之間。
在一個實施中,蝕刻氣體中的含氫氣體(如H2 )的體積濃度可小於約10%,或者更具體地小於約5%,或甚至小於約1%。在特定實施中,氯的體積濃度為約1%至約10%之間。
在一個實施中,含氟前驅物是NF3 ,含氫氣體是氫氣,以及可選的惰性氣體是氬、氦或兩者皆是。
可選地,在操作240,蝕刻過的含矽基板暴露於表面處理程序。不被理論所侷限,但相信處理程序修復及(或)移除操作230的矽蝕刻處理期間損壞的接合(bonds)。在一個實施中,操作240是無偏壓處理(即,RF偏壓不施加到基板)。在操作240的表面處理程序期間修復的損壞可包括操作230期間蝕刻產生的損壞和(或)殘留物。可使用任何合適的處理來修復損壞的接合以及(或)將損壞的接合從蝕刻過的含矽基板去除。操作240的表面處理程序可類似於操作220的氧化物去除處理。操作220的處理條件可經調整以達到所期望的蝕刻目標以及用於操作240。在一個實施中,使用殘留物去除處理將殘留物從含矽基板去除。殘餘物去除處理可以是電漿為基的蝕刻處理。電漿為基的蝕刻處理可以是電容耦合電漿處理或電感耦合電漿處理。
合適的修復和(或)殘留物去除處理包括濺射蝕刻處理、電漿蝕刻處理、濕蝕刻處理或以上各者之組合。示例性的濕蝕刻處理包括使用氫氟酸(HF)的濕蝕刻處理。示例性的清潔處理包括NF3 /NH3 電漿為基的處理、熱氫氟酸(「HF」)/NH3 為基的處理、濕HF處理或NF3 /NH3 電感耦合電漿處理。
在一個實施中,修復和(或)殘留物去除處理包含將基板同時暴露於NF3 與NH3 電漿副產物。電漿蝕刻處理可以是電容耦合電漿處理或電感耦合電漿處理。在一個實施中,修復和(或)殘留物去除處理是遠端電漿輔助乾蝕刻處理,其包含將基板同時暴露於NF3 與NH3 電漿副產物。在一個實例中,修復和(或)殘留物去除處理可類似於或者可包括SiCoNiTM蝕刻處理,其可從加州聖克拉拉的應用材料公司取得。在使用遠端電漿的一些配置中,氣體種類的激發允許無電漿損壞的基板處理。遠端電漿蝕刻可以向氧化矽層是大程度上共形和選擇性的,且因此不管矽是非晶形的、結晶的或多晶的,都無法輕易蝕刻矽。遠端電漿處理通常會產生固體副產物,當基板材料被去除時,固體副產物生長在基板的表面上。當基板的溫度上升時,固體副產物可隨後經由昇華而被去除。電漿蝕刻處理使得基板表面在其上具有矽-氫(Si--H)鍵結。
在一個實施中,修復和(或)殘留物去除處理可包括約1sccm至約20sccm範圍內(如約5sccm)的NF3 流動速率,以及約50sccm至約200sccm範圍內(如約100sccm)的NH3 流動速率。殘留物去除處理可在約5Torr的壓力下進行,以及約30W的RF功率設定可用於離子化NF3 和NH3 。可藉由將基板在約120攝氏度或更高的溫度下退火約5至約100秒(如60秒)而接著將副產物自基板表面昇華。氟為基的清潔之其他實施包含在電漿或熱中反應NH3 氣體及F2 或無水HF氣體以蝕刻SiO2 原生氧化物。氣體流量比的實例可為在15攝氏度至130攝氏度的溫度下氟氣比NH3 氣體的流量比為1:1至1:10。
接下來,在操作250,磊晶層沉積在含矽基板的表面上。基板的表面可以是蝕刻過的表面。基板的表面是無污染的,這提高了隨後形成在基板的表面上的磊晶層的品質。在一個實例中,磊晶沉積可以是在小於800攝氏度的溫度下進行的選擇性磊晶沉積處理。在此實例中,溫度經設定使得它不會超過800攝氏度,而為脆弱的特徵限制晶圓熱預算(thermal budget),如果過熱的話,脆弱的特徵可能扭曲或散開。在一個實施中,使用高溫化學氣相沉積(CVD)處理來沉積磊晶層。在此熱CVD處理中,使用處理氣體(如二氯矽烷、矽烷、乙矽烷、鍺、氯化氫或以上各者之組合)來沉積磊晶層。處理溫度在800攝氏度之下,且處理壓力為5至600Torr之間。在一些實施中,在不將基板暴露於大氣的情況下(如不破壞真空),施行操作220、230、240及250。當施行操作220、230、240及250時,減少了在界面處的污染物,且所形成的磊晶層是相對無缺陷的。
在處理序列200的一個實例中,清潔處理(操作220)在電容耦合電漿處理腔室(如處理腔室400;或可自加州聖克拉拉的應用材料公司取得的SiCoNiTM 清潔腔室)中進行。矽蝕刻處理(操作230)在電容耦合電漿處理腔室(如處理腔室400)或電感耦合電漿處理腔室(如電漿處理腔室500)中施行。殘留物去除處理(操作230)在電容耦合電漿處理腔室(如處理腔室400)或電感耦合電漿處理(如電漿處理腔室500)中施行。在一個實施中,這兩個操作220和230皆在單一處理腔室中進行,如在圖3至4中所示的腔室中的一個。在一個實施中,兩個操作220和230皆在SiCoNiTM 清潔腔室中進行。自其他製造商取得的腔室也可用於實施本說明書中所述的實施。
圖3繪示了根據本揭露的一個實施之處理序列300。處理序列300開始於操作310,藉由將基板(如基板102)定位到處理腔室的處理區域(如圖4所示的處理腔室400的基板處理區域401或圖5所示的電漿處理腔室500的基板處理區域501。基板可定位在基板支撐件上(如基板支撐件432或基板支撐件515),其定位在處理區域中(如基板處理區域401或基板處理區域501)。
可選地,在操作320,施行氧化物去除或「氧化穿破(breakthrough)」處理。在操作320中,基板表面上的原生氧化物藉由清潔處理去除。可使用從基板去除氧化物而不顯著損傷基板的任何合適清潔處理。合適的清潔處理包括濺射蝕刻處理、電漿為基的氧化物蝕刻處理或以上各者之組合。示例性的電漿為基的氧化物蝕刻處理包括電感耦合電漿處理。在一個實施中,清潔處理是電漿為基的氧化物蝕刻處理。在一個實施中,電漿為基的氧化物蝕刻處理是電感耦合電漿處理。
在一個實施中,電漿為基的氧化物蝕刻處理包含將基板暴露於含氟前驅物與惰性氣體的電漿流出物,同時施加偏壓到基板。該惰性氣體可以是重惰性氣體。該電漿為基的氧化物蝕刻處理可以是電容耦合電漿處理或電感耦合電漿處理。該電漿可在原位(in-situ)或遠端形成。
在一個實施中,電漿為基的氧化物蝕刻處理包括將三氟化氮(NF3 )的流引入基板處理區域。氟的其他源可添加或替換三氟化氮。一般來說,含氟前驅物可流入基板處理區域,及含氟前驅物包括選自以下所組成的群組中的至少一個前驅物:雙原子氟(F2 )、單原子氟(F)、三氟化氮(NF3 )、五氟化氮(NF5 )、六氟化硫(SF6 )、二氟化氙(XeF2 )、四氟化碳(CF4 )、八氟環丁烷(C4 F8 )、三氟甲烷(CHF3 )、氟化氫(HF)及以上各者之組合。
電漿為基的氧化物蝕刻處理進一步包括將惰性氣體流入基板處理區域,在該基板處理區域中惰性氣體與三氟化氮一起在電漿中同時被激發。該惰性氣體可選自以下惰性氣體所組成的群組中,包括:氦(He)、氬(Ar)、氪(Kr)、氙(Xe)及以上各者之組合。該惰性氣體可以是重惰性氣體。如本說明書所述,重惰性氣體包括氬(Ar)、氪(Kr)、氙(Xe)以及以上各者之組合。不被理論所侷限,但相信使用重惰性氣體提供更高的離子密度,而將氟離子往高深寬比特徵140的底表面160拉。相信更高的離子濃度有更有效率的氧化物去除。
該等前驅物通常通過前驅物/氣體入口輸送到基板處理區域。在一些實施中,該等氣體可在引入到基板處理區域之前被混合。在一些實施中,該等氣體可分別引入到基板處理區域。
電漿為基的氧化物蝕刻處理可包含維持氣體流量比(惰性氣體:含氟前驅物)以達到所需氧化物的蝕刻選擇性。在一個實施中,使用的氣體流量比(Ar:NF3 )介於80:1至150:1(如80:1至100:1;110:1至130:1;120:1)之間。不同氣體的流動速率和比例可經調整以控制蝕刻速率和蝕刻選擇性。
在特定揭露的實施中,含氟氣體(如NF3 )以約1sccm至25sccm之間的流動速率供應,以及惰性氣體(如Ar)以約0slm(每分鐘標準公升)至4slm的流動速率供應。本領域具有通常知識者將認識到,可根據數個因素使用其他氣體和(或)流,該等數個因素包括處理腔室配置、基板尺寸、幾何形狀和特徵佈置等。在揭露的實施中,含氟前驅物(如NF3 )可以約1sccm至約25sccm之間、約2sccm至約20sccm之間、約4sccm至約15sccm之間或約5sccm至約12sccm之間的流動速率供應。惰性氣體(如Ar)可以約1slm至約3slm之間;約1slm至約2slm之間;約2slm至約3slm之間的流量供應。
在一個實施中,惰性氣體/含氟前驅物混合物中的含氟前驅物(如NF3 )的體積濃度可小於約10%,或者更具體地小於約5%,或甚至小於約1%。在特定實施中,含氟前驅物的體積濃度為約0.1%至約10%之間(約0.1%至約1%之間;或者約1%至約5%之間)。
在一個實施中,惰性氣體/含氟前驅物混合物中的惰性氣體(如Ar)的體積濃度可大於約90%,或者更具體地大於約95%,或甚至大於約99%。在特定實施中,惰性氣體的體積濃度為約90%至約99.9%之間(約90%至約95%之間;或者約95%至約99.9%之間)。
在操作320期間,基板的溫度可以是在約0攝氏度至約200攝氏度之間。在一些實施中,在氧化物去除處理期間,基板的溫度可以大於或約為20攝氏度、大於或約為30攝氏度、大於或約為40攝氏度、大於或約為60攝氏度、大於或約為80攝氏度或者大於或約為100攝氏度。在揭露的實施中,基板溫度可以小於或約為120攝氏度、小於或約為100攝氏度、小於或約為80攝氏度、小於或約50為攝氏度,且可以是在約30攝氏度至約50攝氏度之間。
操作320亦包括以下步驟:將能量施加於惰性氣體和含氟前驅物,而惰性氣體和含氟前驅物在遠端電漿區域或基板處理區域中產生電漿流出物。如將被本領域的普通技術人員將理解的,該電漿可以包括多個帶電和中性物質包括自由基和離子。可使用習知技藝(如射頻激發、電容耦合功率、電感耦合功率等)來產生電漿。在本揭露的實施中,電漿源功率可以是約10瓦至約3000瓦之間(如約10瓦至約1000瓦之間;約200瓦至約2500瓦之間;約300瓦至約2000瓦特之間;或約500瓦至約1500瓦之間)。
在操作320期間,基板處理區域內的壓力可低於或約為10Torr、低於或約為5Torr、低於或約為1Torr、低於或約為0.7Torr、低於或約為0.5Torr或者低於或約為0.1Torr。在本揭露的實施中,該壓力可高於或約為0.5mTorr、高於或約為0.01Torr、約為0.1Torr、高於或約為0.5Torr、高於或約為0.7Torr或者高於或約為1Torr。在一些實施中,在基板處理區域中的壓力可為約0.5mTorr至約700mTorr之間(如約2mTorr至200mTorr之間;或約5mTorr至約100mTorr之間;或約10mTorr至約50mTorr之間;或約5mTorr至約80mTorr之間)。
在操作320期間,RF偏壓經由具有RF功率供應的基板支撐件而施加到基板。施加到基板支撐件的功率在基板的上表面上產生負電壓形式的基板偏壓。此負電壓用來吸引來自形成在基板處理區域中的電漿之離子到基板的上表面。RF偏壓可具有約50W至約800W範圍內的功率位準。在一個實施中,RF偏壓可具有約200W至約400W範圍內的功率位準。例如,RF偏壓可具有100W、200W、300W或400W的功率位準。在一些實施中,RF偏壓的頻率會小於與腔室的線圈耦接的RF功率源的頻率。例如,在一些實施中,RF偏壓頻率可以是2MHz,而RF功率源頻率可以是13.56MHz。在另一實施中,RF偏壓頻率可為約13.56MHz及RF功率源頻率可為約60MHz。例如,基板定位在基板支撐表面521上,以及偏壓藉由絕緣內部電極524施加到基板。不被理論所侷限,但相信偏壓施加給基板加速離子往基板表面,以及改善氧化物從基板表面去除。
在一些實施中,用於產生RF偏壓的功率可為脈衝的。功率可施加到功率源達一所需時間,及接著停止一所需時間。功率循環可在所希望的頻率和工作週期下重複所需的循環數。在一些實施中,RF偏壓可在約1Hz至約50000Hz之間(如約5000Hz至約10000Hz之間)的頻率下脈衝。在其他實施中,RF偏壓脈衝可依約5%至約40%之間的工作週期(每週期供電時間比未供電時間的比)進行,如約15%至約20%之間。
在操作330中,將暴露的含矽材料從含矽基板去除,以去除嵌入的雜質和表面不規則。在一個實施中,約15奈米至約25奈米的矽材料從含矽基板的表面被去除。操作330通常是偏壓處理(即,RF偏壓施加到基板)。可使用任何合適的處理來將矽從含矽基板去除。在一個實施中,使用矽蝕刻處理將矽從含矽基板去除。矽蝕刻處理可以是電漿為基的矽蝕刻處理。該電漿為基的矽蝕刻處理可以是電容耦合電漿處理或電感耦合電漿處理。在一個實施中,電漿為基的矽蝕刻處理進一步包括施加偏壓到基板。不被理論所侷限,但相信電漿為基的矽蝕刻處理將已經受到操作320的氧化物去除處理引起的晶格損壞之含矽材料去除。
在一個實施中,電漿為基的矽蝕刻處理包含將基板暴露於含氯前驅物與含氫前驅物的電漿流出物,同時施加偏壓到基板。
在電漿為基的矽蝕刻處理期間,蝕刻處理氣體引入到處理腔室的基板處理區域。蝕刻處理氣體可包括一或多個蝕刻前驅物。蝕刻前驅物通過前驅物/氣體入口輸送到基板處理區域。在一些實施中,蝕刻前驅物可在引入到基板處理區域之前被混合。在一些實施中,蝕刻前驅物可分別引入到基板處理區域。可藉由施加交流電(AC)電源到一或多個感應線圈(如圖5所示的內線圈天線540、中間線圈天線550及側線圈天線560)所產生的電感耦合電漿來激發蝕刻前驅物。蝕刻前驅物包括含氯前驅物、含氫前驅物以及可選的惰性氣體。含氯前驅物通常是氯氣(Cl2 )。
電漿為基的矽蝕刻處理進一步包括將含氫前驅物流入基板處理區域,在該基板處理區域中,含氫前驅物與含氯前驅物一起在電漿中同時被激發。在一些實施中,含氫前驅物可包括原子氫(H)、雙原子氫(H2 )、氨(NH3 )、烴類、不完全鹵素取代的烴、以上各者之組合或類似物。
在一個實施中,電漿為基的矽蝕刻處理可包含維持氣體流量比(含氫前驅物:含氯前驅物)以達到所需的矽的蝕刻選擇性。在一個實施中,使用的氣體流量比(如H2 :Cl2 )介於1:1至10:1(如1:1至5:1;5:1至10:1)之間。
含氯前驅物和含氫前驅物的流量可進一步包括一或多個相對惰性氣體。該惰性前驅物可包括以下氣體中的至少一者:氬(Ar)、氦(He)、氖(Ne)、氙(Xe)、氮氣(N2 )和類似物。在一些實施中,基板處理區域可基本上由以下組成:Cl2 、氫和惰性氣體、Cl2 和氫、Cl2 和惰性氣體、或Cl2 、氫和氬。術語「基本上」允許其他元素濃度(其可以不可避免地存在於典型的處理系統中)以及低濃度,其對矽蝕刻處理沒有不利的影響。
在特定揭露的實施中,含氯前驅物(如Cl2 )以約1sccm(每分鐘標準立方公分)至25sccm之間的流動速率供應,含氫前驅物(H2 )以約25sccm至400sccm的流動速率供應,以及Ar以約0slm(每分鐘標準公升)至3slm的流動速率供應。本領域具有通常知識者將認識到,可根據數個因素使用其他氣體和(或)流,該等數個因素包括處理腔室配置、基板尺寸、幾何形狀和特徵佈置等。在本揭露的實施中,含氫前驅物可以約25sccm至約400sccm之間、約50sccm至約300sccm之間、約75sccm至約200sccm之間或約90sccm至約150sccm之間的流動速率供應。在揭露的實施中,含氯前驅物可以約1sccm至約25sccm之間、約2sccm至約20sccm之間、約4sccm至約15sccm之間或約5sccm至約12sccm之間的流動速率供應。
在操作330期間,基板的溫度可以是在約0攝氏度至約200攝氏度之間。在一些實施中,在矽去除處理期間,基板的溫度可以大於或約為20攝氏度、大於或約為30攝氏度、大於或約為40攝氏度、大於或約為60攝氏度、大於或約為80攝氏度或者大於或約為100攝氏度。在揭露的實施中,基板溫度可以小於或約為120攝氏度、小於或約為100攝氏度、小於或約為80攝氏度、小於或約50為攝氏度,且可以是在約30攝氏度至約50攝氏度之間。
操作330包括以下步驟:施加能量到含氯前驅物、含氫前驅物和可選的惰性氣體(如果存在的話)以產生用於處理和蝕刻基板的表面之自由基。如本領域具有通常知識者將理解到的,該電漿可包括多個帶電和中性物質,包括自由基和離子。可使用習知技藝(如射頻激發、電容耦合功率、電感耦合功率等)來產生電漿。在一個實施中,使用電感耦合電漿功率供應單元施加能量。在一個實施中,電力供應到圖3截面圖中所示的感應線圈。電漿源功率可以是約10瓦至約2500瓦之間(如約50瓦至約1000瓦之間、約50瓦至約500瓦之間;約100瓦至約400瓦之間或約200瓦至約300瓦之間)。
在實施中,施加於本說明書所述之本端或遠端電漿的RF頻率可是小於約200KHz的低RF頻率、約10MHz至約15MHz之間的高RF頻率或者大於或約為1GHz的微波頻率。
在操作330期間,RF偏壓經由具有RF功率供應的基板支撐件施加到基板。施加到基板支撐件的功率在基板的上表面上產生負電壓形式的基板偏壓。此負電壓用來吸引來自形成在基板處理區域中的電漿之離子到基板的上表面。RF偏壓可具有約50W至約800W範圍內的功率位準。在一個實施中,RF偏壓可具有約200W至約400W範圍內的功率位準。例如,RF偏壓可具有100W、200W、300W或400W的功率位準。在一些實施中,RF偏壓的頻率會小於與腔室的線圈耦接的RF功率源的頻率。例如,在一些實施中,RF偏壓頻率可以是2MHz,而RF功率源頻率可以是13.56MHz。在另一實施中,RF偏壓頻率可為約13.56MHz及RF功率源頻率可為約60MHz。例如,基板定位在基板支撐表面521上,以及偏壓藉由絕緣內部電極524施加到基板。不被理論所侷限,但相信偏壓施加給基板加速離子往基板表面,以及改善氧化物從基板表面去除。
在一些實施中,用於產生RF偏壓的功率可為脈衝的。功率可施加到功率源達一所需時間,及接著停止一所需時間。功率循環可在所希望的頻率和工作週期下重複所需的循環數。在一些實施中,RF偏壓可在約1Hz至約50000Hz之間(如約5000Hz至約10000Hz之間)的頻率下脈衝。在其他實施中,RF偏壓脈衝可依約5%至約40%之間的工作週期(每週期供電時間比未供電時間的比)進行,如約15%至約20%之間。
在操作330期間,基板處理區域內的壓力可低於或約為10Torr、低於或約為5Torr、低於或約為1Torr、低於或約為0.7Torr、低於或約為0.5Torr或者低於或約為0.1Torr。在本揭露的實施中,該壓力可高於或約為0.5mTorr、高於或約為0.01Torr、約為0.1Torr、高於或約為0.5Torr、高於或約為0.7Torr或者高於或約為1Torr。在一些實施中,在基板處理區域中的壓力可為約0.5mTorr至約700mTorr之間(如約2mTorr至200mTorr之間;或約5mTorr至約100mTorr之間;或約10mTorr至約50mTorr之間;或約5mTorr至約80mTorr之間)。
在一個實施中,蝕刻氣體中的含氯前驅物(如Cl2 )的體積濃度可小於約10%,或者更具體地小於約5%,或甚至小於約1%。在特定實施中,含氟前驅物的體積濃度為約1%至約10%之間。
在一個實施中,蝕刻氣體中的含氫前驅物(如H2 )的體積濃度可大於約90%,或者更具體地大於約95%,或甚至大於約99%。在特定實施中,氯的體積濃度為約90%至約99%之間。
在一個實施中,含氯前驅物是Cl2 ,含氫氣體是氫氣,以及可選的惰性氣體是氬、氦或兩者皆是。
可選地,在操作340,蝕刻過的含矽基板暴露於表面處理程序。不被理論所侷限,但相信處理程序修復及(或)移除操作330的矽蝕刻處理期間損壞的接合。操作340通常是無偏壓處理(即,RF偏壓沒有施加到基板)。在操作340的處理程序期間修復的損壞可包括操作330期間、操作320的氧化物去除處理期間或以上兩者期間蝕刻產生的損壞。可使用任何合適的處理來修復損壞的接合以及(或)將損壞的接合從蝕刻過的含矽基板去除。操作340的表面處理程序可類似於操作330的蝕刻處理,除了在操作340期間偏壓沒有施加於基板。可使用在操作340中所述之氣體和處理條件來施行操作340的表面處理程序。在一個實施中,來自操作330的含氯前驅物和含氫前驅物的流繼續,以及在操作330中施加到基板的RF偏壓在操作340期間被關閉。
可在操作340期間施行的其他適合的表面處理程序包括濺射蝕刻處理、電漿蝕刻處理、濕蝕刻處理或以上各者之組合。示例性的濕蝕刻處理包括使用氫氟酸(HF)的濕蝕刻處理。示例性的清潔處理包括NF3 /NH3 電漿為基的處理、熱氫氟酸(「HF」)/NH3 為基的處理、濕HF處理或NF3 /NH3 電感耦合電漿處理。
可重複操作320、330或340中的任一者直到實施磊晶沉積之前所需的表面製備。
接下來,在操作350,磊晶層沉積在基板的表面上。可使用任何合適的磊晶沉積處理。基板的表面是無污染的,這提高了隨後形成在基板的表面上的磊晶層的品質。在一個實例中,磊晶沉積可以是在小於800攝氏度的溫度下進行的選擇性磊晶沉積處理。在此實例中,溫度經設定使得它不會超過800攝氏度,而為了脆弱的特徵限制晶圓熱預算,如果過熱的話,脆弱的特徵可能扭曲或散開。在一個實施中,使用高溫化學氣相沉積(CVD)處理來沉積磊晶層。在此熱CVD處理中,使用處理氣體(如二氯矽烷、矽烷、乙矽烷、鍺、氯化氫或以上各者之組合)來沉積磊晶層。處理溫度在800攝氏度之下,且處理壓力為5至600Torr之間。當施行操作320、330、340及350時,沒有將基板暴露於大氣,減少了在界面處的污染物,且所形成的磊晶層是相對無缺陷的。
在處理序列300的一個實例中,清潔處理(操作320)在電容耦合電漿處理腔室(如電漿處理腔室500)中進行。矽蝕刻處理(操作330)在電容耦合電漿處理腔室(如處理腔室400)或電感耦合電漿處理腔室(如電漿處理腔室500)中施行。表面處理程序(操作340)在電容耦合電漿處理腔室(如處理腔室400)或電感耦合電漿處理腔室(如電漿處理腔室500)中施行。在一個實施中,操作320、330和340在單一處理腔室中進行,如在圖4至5中所示的腔室中的一個。
圖4是可經調整以施行上述電容耦合電漿處理的任一者之處理腔室400的概要截面圖。處理腔室400可以是清潔腔室。在一個實施中,處理腔室400經配置而產生電容耦合電漿。處理腔室400可對於施行熱或電漿為基的氧化處理和(或)電漿輔助乾蝕刻處理特別有用。處理腔室400包括腔室主體412、蓋組件414和支撐組件416。處理腔室400的基板處理區域401由蓋組件414、支撐組件416和腔室主體412所界定。蓋組件414設置在腔室主體412的上端,且支撐組件416至少部分地設置在腔室主體412內。真空系統可以用於將氣體從處理腔室400去除。真空系統包括真空埠421耦接的真空泵418,真空埠421設置在腔室主體412中。
蓋組件414包括至少兩個堆疊元件,該等至少兩個堆疊元件經配置而於其間形成凹孔或電漿容積423。第一電極420設置於第二電極422的垂直上方,第二電極422限制電漿容積423。第一電極420連接到功率源424(如射頻(RF)電源供應),且第二電極422連接到地或源極返回(source return),而在第一電極420和第二電極之間形成電容422。蓋組件414亦包括一個或多個氣體入口426,一個或多個氣體入口426用於將清潔氣體透過阻隔板428和氣體分配板430提供到基板表面。清潔氣體可以是蝕刻劑或離子化的活性自由基,如離子化的氟、氯或氨,或氧化劑,如臭氧。另外,處理腔室400包括用於控制處理腔室400內的控制處理之控制器402。
支撐組件416可包括基板支撐件432以在處理期間將基板410支撐於在其上。基板支撐件432可由軸436耦接至致動器434,軸436延伸穿過在腔室主體412的底表面形成的中心位置開口。致動器434可藉由波紋管(未圖示)彈性密封於腔室主體412,波紋管防止從軸436周圍真空洩漏。致動器434允許基板支撐件432在處理位置與較低的移送位置之間的腔室主體412內垂直移動。移送位置是在腔室主體412的側壁中形成的狹縫閥的開口略微下方。
基板支撐件432具有平坦的或實質平坦的表面,以用於支撐待處理的基板。基板支撐件432可藉由致動器434而在腔室主體412內垂直移動,致動器434藉由軸436耦接到基板支撐件432。在操作中,基板支撐件432可被升到接近蓋組件414的位置以控制正在處理的基板410之溫度。如此一來,基板410可經由氣體分配板430發射的輻射或來自氣體分配板430的對流來加熱。
可利用不同的清潔處理來清潔基板表面。在一實施中,包含He和NF3 的遠端電漿通過氣體分配板(如噴頭)被引入處理腔室。NH3 經由單獨的氣體入口直接注入腔室。
圖5是根據本說明書所述的實施之電漿處理腔室500的截面圖。圖5所示的電漿處理腔室500包括上部分528和下部分530。電漿處理腔室500具有側壁505和蓋組件510。側壁505具有軸對稱的形狀,如圓柱體。側壁505包括軸對稱的(如圓柱形)介電側窗506和腔室襯墊507(其可由金屬形成)。電漿處理腔室500內的基板支撐件515包括基座520與支柱525,基座520具有基板支撐表面521,基板支撐表面521面向蓋組件510且用於固持基板522,支柱525支撐基座520。電漿處理腔室500的基板處理區域501由蓋組件510、基座520和側壁505所限定。基座520可包括絕緣內部電極524。可選地,靜電夾持(ESC)電壓和(或)RF電漿偏壓功率可經由延伸穿過支柱525的纜線532提供給絕緣內部電極524。纜線532可與RF偏壓電源(如RF阻抗匹配網路和(或)一個RF功率產生器)耦接作為RF偏壓供給到絕緣內部電極524。可提供纜線532作為同軸傳輸線(其可以是剛性的(或彈性的)),或作為彈性同軸纜線。
電漿源功率藉由一組線圈天線(包括內線圈天線540、中間線圈天線550與選擇性的外或側線圈天線560,以上全部彼此相對同心地設置且與側壁505的對稱軸同軸)電感耦接入基板處理區域501。蓋組件510包括盤形介電窗,內線圈天線540和中間線圈天線550穿過盤形介電窗而將電感耦合RF電漿源功率耦接到基板處理區域501。盤形介電窗512與側壁505同軸且具有平行於基板支撐表面521的平面之盤平面。側線圈天線560將RF電漿源功率穿過介電側窗506電感耦接到基板處理區域501。介電窗506和512可統稱為窗組件。
腔室襯墊507被封閉於下腔室主體570內,下腔室主體570包括圓柱形下腔室主體側壁575和下腔室主體底板580。圓柱形下腔室側壁575和下腔室底板580封閉抽氣區域581。真空泵590設置在下腔室主體底板580中的真空泵開口595中,且相對於圓柱形下腔室主體側壁575的對稱軸置中。圍阻壁596與彈性波紋管597封閉在內部中心空間598中的基板支撐件515,圍阻壁596與基板支撐件515同軸,彈性波紋管597在基座520與圍阻壁597之間延伸。內部中心空間598與真空泵590抽空的體積隔離,該體積包括抽氣區域581和基板處理區域501。
功率可從共同的RF源或從不同的RF源供應,如RF匹配(RF阻抗匹配網路)542和544。可採用RF阻抗匹配網路而有雙輸出以第一RF產生器驅動線圈天線中的兩個線圈天線,而第二RF產生器與第二RF阻抗匹配網路驅動第三線圈天線。或者,三個RF產生器可分別透過三個個別的RF阻抗匹配網路驅動三個線圈天線。在又另一實施中,單一RF功率產生器可透過具有三個輸出的RF阻抗匹配網路來驅動所有三個線圈天線。在前述實施中的一些實施中,施加到不同線圈天線的RF功率位準可經分別調整以控制電漿離子密度的徑向分佈。雖然所述實施包括三個線圈天線540、550和560,但是其他實施可只包括上述三個線圈天線540、550和560中的一或兩個。
圖6是可以用於完成圖2與圖3所示根據本揭露所述實施的處理序列之處理系統的示意頂視圖。處理系統600的一個實例是可從美國加州聖克拉拉的應用材料公司取得的CENTURA® 系統。任何方便類型的移送機器人604設置在處理系統600的移送腔室602中。裝載閘606(具有兩個真空兼容裝載閘腔室606A、606B)耦接至移送腔室602。複數個處理腔室608、610、612、614和616亦耦接移送腔室602。複數個處理腔室608、610、612、614和616可包括清潔腔室、蝕刻腔室和沉積腔室(如磊晶沉積腔室)中的至少一個。
處理腔室608可以是清潔腔室,清潔腔室經配置在沉積之前清潔基板(如操作220;操作320)。在一些實施中,處理腔室608亦經配置而在沉積之前將殘留物從基板去除(如操作240、操作340)。處理腔室608可經配置而施行應用材料公司的SICONITM預清潔處理。處理腔室608可以是類似於圖4所示的處理腔室400之電容耦合處理腔室。
處理腔室616可以是蝕刻腔室,以用於蝕刻基板(如操作230;操作330)。處理腔室616可以是類似於圖5所示的電漿處理腔室500之電感耦合電漿處理腔室。在一些實施中,處理腔室616亦經配置以施行氧化物去除(如操作320)以及殘留物去除(如操作340)中的至少一個,以在沉積之前將殘留物從基板去除。在一些實施中,氧化物去除(如操作320)、矽蝕刻(如操作330)及殘留物去除(如操作340)都在電感耦合電漿處理腔室(如處理腔室616)中施行。處理腔室610、612和614可以是材料沉積腔室,如能夠施行磊晶生長處理(如操作250;操作350)的磊晶沉積腔室。
處理系統600可用於施行上述的處理序列200或處理序列300。在處理期間,待處理的基板可到達在艙(pod)(未圖示)中的處理系統600。基板藉由工廠介面機器人(未圖示)而從艙移送到真空兼容裝載閘腔室606A、606B。基板接著被移送腔室602(通常保持在真空狀態)中移送機器人604搬運。移送機器人604接著將基板裝載到處理腔室608或處理腔室616,以用於如在操作220和操作320中所述之清潔。在處理腔室608中施行操作220的實施中,移送機器人604接著將基板從處理腔室608拿起並將基板裝載於蝕刻處理腔室616中,以用於如操作230所述的蝕刻。基板可保留在處理腔室616中,或者移送機器人604接著將基板從處理腔室616拿起並將基板裝載於處理腔室608中,以用於如操作240與操作340所述的殘留物去除。移送機器人604接著將基板從處理腔室608或處理腔室616拾起並將基板裝載到處理腔室610、612或614中(全部都可以),以用於材料沉積。磊晶層可在處理腔室610、612或614中已被清潔過的基板上生長,如操作250和操作350所述。因為所有的操作(210、220、230、240和250; 310、320、330、340和350)是在相同的處理系統中進行,所以當基板被移送到各個腔室時,基板沒有暴露於大氣(如沒有破壞真空),如此降低了污染的機會並提高所沉積的磊晶膜的品質。
移送腔室602可在處理期間保持在真空下和(或)在低於大氣壓的壓力下。移送腔室的真空程度可經調整以匹配相應的處理腔室的真空程度。例如,當基板從移送腔室移送到處理腔室(或反之亦然)時,移送腔室和處理腔室可維持在相同的真空程度。然後,當基板從移送腔室移送到裝載閘腔室或批量裝載閘腔室(或反之亦然)時,移送腔室的真空程度可匹配裝載閘腔室或批量裝載閘腔室的真空程度,即便裝載閘腔室或批量裝載閘腔室與處理腔室的真空程度可能不同。因此,移送腔室的真空程度可以被調整。在特定實施中,可能需要用惰性氣體(如氮)回填移送腔室。在一個實施中,基板在具有大於90%的N2 的環境中移送。在特定實施中,基板在高純度的NH3 環境中移送。在一個實施中,基板在具有大於90%的NH3 環境中移送。在特定實施中,基板在高純度的H2 環境中移送。在一個實施中,基板在具有大於90%H2 的環境中移送。
總之,本揭露的某些優點包括在磊晶沉積於基板表面上之前改善清潔基板表面。改善清潔基板表面使得改善隨後形成的磊晶沉積層之品質。本發明人已經發現到,叢集處理腔室通過真空移送減少暴露於大氣且相應地減少暴露於氧污染物。例如,在磊晶沉積之前施行矽的電感電漿氯蝕刻而沒有將基板暴露於蝕刻與沉積之間的大氣(如沒有破壞真空)減少暴露於氧污染。在一些實施中,施行原生氧化物去除處理之後是矽蝕刻處理和磊晶沉積處理。由於大多數原生氧化物去除處理是不穩定的,所以一旦暴露於大氣,原生氧化物開始在含矽表面上重新生長。聚集原生氧化物移除腔室以及矽蝕刻和磊晶沉積也使得減少氧污染。此外,在矽蝕刻處理之後的原生氧化物去除、矽蝕刻和殘留物去除的處理可以在相同電漿處理腔室中施行,而減少腔室所佔空間和相關的成本。
本說明書所用的「基板」可以是在其上形成了層或沒有在其上形成層的支撐基板。圖案化基板可以是各種摻雜濃度和分佈的絕緣體或半導體且可以是積體電路製造中所用類型的半導體基板。圖案化基板的暴露的「矽」主要為Si,但可以包括少數濃度的其他元素組分,如氮、氧、氫、碳等。圖案化基板的暴露的「氮化矽」主要為Si3 N4 ,但可以包括少數濃度的其他元素組分,如氧、氫、碳等。圖案化基板的暴露的「氧化矽」主要為SiO2 ,但可以包括少數濃度的其他元素組分,如氮、氫、碳等。
所用術語「前驅物」是指任何處理氣體,其參與將材料自基板去除或將材料沉積於基板上的反應。「電漿流出物(Plasma effluents)」是在「激發態」中,其中至少部分氣體分子處於振動激發、解離和(或)離子化的狀態中。
整篇所用的術語「縫隙(gap)」和「溝槽(trenCH)」沒有暗示蝕刻幾何形狀具有較大的水平深寬比(horizontal aspect ratio)。自表面上方觀察,溝槽可能會出現圓形、橢圓形、多邊形、矩形或其他各種形狀。溝槽可能是在圍繞一區(island)材料的槽溝(moat)形狀中。所用術語「通孔(via)」是指低深寬比的溝槽(從上方觀察),其可能或可能沒有以金屬填充以形成垂直電連接。如本說明書所使用的,共形的蝕刻處理是指在表面上大致均勻去除的材料與該表面是相同的形狀,即,蝕刻過的層的表面與蝕刻前表面的表面大致是平行的。本領域具有通常知識者將認識到,蝕刻過的介面可能無法100%共形,且因此術語「大致(generally)」允許可接受的公差。
已經揭露了若干個實施,本領域具有通常知識者將瞭解,在不背離所揭露的實施之精神下,可使用各種變化、替代構造與等效物。此外,許多習知的處理和元件沒有被描述出來以避免不必要地模糊本揭露。因此,上述描述不應被視為本揭露範圍之限制。
當介紹本揭露的元件或示範性的態樣或實施時,冠詞「一(a/an)」、「該」和「所述」意指有一或多個元素。
術語「包括」、「包含」和「具有」意指包含且表示可以有除了所列元件之外的額外元件。
除非另有說明,否則所有的量、比率、比例及其他測量值均以重量計。除非另有說明,否則所有的百分比是指根據本揭露之實行的總共組成之重量百分比。
雖然前面該係針對本揭露的實施,但在不背離本揭露基本範圍及以下專利申請範圍所界定之範圍下,可設計本揭露的其他與進一步的實施。
100‧‧‧膜結構 102‧‧‧基板 104‧‧‧基底層 106‧‧‧材料層堆疊 1061‧‧‧材料層堆疊 1062‧‧‧材料層堆疊 1063‧‧‧材料層堆疊 1064‧‧‧材料層堆疊 108‧‧‧第一膜層 1081‧‧‧第一膜層 1082‧‧‧第一膜層 1083‧‧‧第一膜層 1084‧‧‧第一膜層 110‧‧‧第二膜層 1101‧‧‧第二膜層 1102‧‧‧第二膜層 1103‧‧‧第二膜層 1104‧‧‧第二膜層 140‧‧‧高深寬比特徵 150‧‧‧開口 160‧‧‧底表面 170‧‧‧側壁 200‧‧‧處理序列 210‧‧‧操作 220‧‧‧操作 230‧‧‧操作 240‧‧‧操作 250‧‧‧操作 300‧‧‧處理序列 310‧‧‧操作 320‧‧‧操作 330‧‧‧操作 340‧‧‧操作 350‧‧‧操作 400‧‧‧處理腔室 401‧‧‧基板處理區域 402‧‧‧控制器 410‧‧‧基板 412‧‧‧腔室主體 414‧‧‧蓋組件 416‧‧‧支撐組件 418‧‧‧真空泵 420‧‧‧第一電極 421‧‧‧真空埠 422‧‧‧第二電極 423‧‧‧電漿體積 424‧‧‧功率源 426‧‧‧氣體入口 428‧‧‧阻隔板 430‧‧‧氣體分配板 432‧‧‧基板支撐件 434‧‧‧致動器 436‧‧‧軸 500‧‧‧電漿處理腔室 501‧‧‧基板處理區域 505‧‧‧側壁 506‧‧‧介電側窗 507‧‧‧腔室襯墊 510‧‧‧蓋組件 512‧‧‧盤形介電窗 515‧‧‧基板支撐件 520‧‧‧基座 521‧‧‧基板支撐件 522‧‧‧基板 524‧‧‧絕緣內部電極 525‧‧‧支柱 528‧‧‧上部分 530‧‧‧下部分 532‧‧‧纜線 540‧‧‧內線圈天線 542‧‧‧阻抗匹配網路 544‧‧‧阻抗匹配網路 550‧‧‧中間線圈天線 560‧‧‧側線圈天線 570‧‧‧下腔室主體 575‧‧‧圓形下腔室主體側壁 580‧‧‧下腔室主體底板 581‧‧‧抽氣區域 590‧‧‧真空泵 595‧‧‧真空泵開口 596‧‧‧圍阻壁 597‧‧‧彈性波紋管 598‧‧‧內部中心空間 600‧‧‧處理區域 602‧‧‧腔室 604‧‧‧機器人 606‧‧‧裝載閘 606A‧‧‧真空兼容裝載閘腔室 606B‧‧‧真空兼容裝載閘腔室 608‧‧‧處理腔室 610‧‧‧處理腔室 612‧‧‧處理腔室 614‧‧‧處理腔室 616‧‧‧處理腔室
本揭露之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本發明實施以作瞭解。然而,值得注意的是,所附圖式只繪示了本揭露的典型實施,而由於本揭露可允許其他等效之實施,因此所附圖式並不會視為本發明範圍之限制。
圖1表示可根據本揭露的實施進行處理之具有高深寬比特徵的膜結構之截面圖。
圖2是繪示了根據本揭露的實施之處理序列的流程圖;
圖3是繪示了根據本揭露的實施之另一處理序列的流程圖;
圖4是可用於施行根據本揭露的實施所描述的處理序列的清潔腔室之截面圖;
圖5是可用於施行根據本揭露的實施所描述的處理序列的處理腔室之截面圖;及
圖6是可以用於施行根據本揭露的實施之圖2與圖3所示的處理序列之處理系統的概要頂視圖。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期的是,一個實施中的元件與特徵可有利地用於其他實施例而無需贅述。然而,值得注意的是,所附圖式只繪示了本揭露的示範實施,而由於本揭露可允許其他等效之實施例,因此所附圖式並不會視為本發明範圍之限制。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
200‧‧‧處理序列
210‧‧‧操作
220‧‧‧操作
230‧‧‧操作
240‧‧‧操作
250‧‧‧操作

Claims (20)

  1. 一種用於處理一基板之方法,包括以下步驟:將一圖案化基板移送到一基板處理區域,其中該圖案化基板具有定位於一高深寬比特徵的一底部處之一含矽表面,該高深寬比特徵的一深度是該高深寬比特徵的一寬度之十倍以上;藉由一第一電漿蝕刻處理來將氧化物從該含矽表面去除,包含以下步驟:將一含氟前驅物和一重的惰性氣體流入該基板處理區域,同時施加一第一電漿功率以形成氟離子和重的惰性氣體離子;及以該等氟離子蝕刻該含矽表面,同時施加一偏壓至該基板以將氧化物去除且形成一蝕刻過的含矽表面;及在該蝕刻過的含矽表面上形成一磊晶層,其中該第一電漿蝕刻處理及在該圖案化基板的該蝕刻過的含矽表面上形成該磊晶層是在並非將該圖案化基板暴露於大氣下施行,其中該重的惰性氣體選自以下所組成的群組中:氬(Ar)、氪(Kr)、氙(Xe)及以上各者之組合,及其中該含氟前驅物和該重的惰性氣體的一流動速率 使得重的惰性氣體比氟的一流量比介於80:1至150:1之間。
  2. 如請求項1所述之方法,其中該含氟前驅物選自以下所組成的群組中:雙原子氟(F2)、單原子氟(F)、三氟化氮(NF3)、五氟化氮(NF5)、六氟化硫(SF6)、二氟化氙(XeF2)、四氟化碳(CF4)、八氟環丁烷(C4F8)、三氟甲烷(CHF3)、氟化氫(HF)及以上各者之組合。
  3. 如請求項2所述之方法,其中該電漿蝕刻處理是一電感耦合電漿蝕刻處理。
  4. 如請求項1所述之方法,其中該圖案化基板維持在約20攝氏度至約50攝氏度之間的一溫度。
  5. 如請求項1所述之方法,其中該第一電漿蝕刻處理是在約5mTorr至約80mTorr之間的一壓力下施行。
  6. 如請求項1所述之方法,進一步包括以下步驟:在該蝕刻過的含矽表面上形成該磊晶層之前,藉由一第二電漿蝕刻處理蝕刻該蝕刻過的含矽表面,包含以下步驟:將包含一含氯前驅物和一含氫前驅物的一蝕刻氣體混合物流入該基板處理區域,同時施加一第二電漿功 率以形成含氯離子和含氫離子;及以該等含氯離子和該等含氫離子蝕刻該蝕刻過的含矽表面。
  7. 一種用於處理一基板之方法,包括以下步驟:將一圖案化基板移送到一基板處理區域,其中該圖案化基板具有定位於一高深寬比特徵的一底部處之一含矽表面,該高深寬比特徵的一深度是該高深寬比特徵的一寬度之十倍以上;藉由一第一電漿蝕刻處理來將氧化物從該含矽表面去除,包含以下步驟:將三氟化氮(NF3)和氬氣流入該基板處理區域,同時施加一第一電漿功率至氟離子和氬離子,其中NF3和氬氣的一流動速率導致氬氣比NF3的一流量比介於80:1至150:1之間;及以該等氟離子和該等氬離子蝕刻該含矽表面,同時施加一偏壓至該基板以形成一蝕刻過的含矽表面;及在該蝕刻過的含矽表面上形成一磊晶層,其中該第一電漿蝕刻處理及在該圖案化基板的該蝕刻過的含矽表面上形成該磊晶層是在並非將該圖案化基板暴露於大氣下施行。
  8. 如請求項7所述之方法,其中該偏壓具有約 200W至400W的一範圍內之一功率位準。
  9. 如請求項8所述之方法,其中該圖案化基板維持在約20攝氏度至約50攝氏度之間的一溫度。
  10. 如請求項9所述之方法,其中該第一電漿蝕刻處理是在約5mTorr至約80mTorr之間的一壓力下施行。
  11. 如請求項7所述之方法,進一步包括以下步驟:在該蝕刻過的含矽表面上形成該磊晶層之前,藉由一第二電漿蝕刻處理蝕刻該蝕刻過的含矽表面,包含以下步驟:將包含一含氯前驅物和一含氫前驅物的一蝕刻氣體混合物流入該基板處理區域,同時施加一第二電漿功率以形成含氯離子和含氫離子;及以該等含氯離子和該等含氫離子蝕刻該蝕刻過的含矽表面。
  12. 一種處理一基板之方法,包括以下步驟:將一圖案化基板移送到一基板處理區域,其中該圖案化基板具有定位於一高深寬比特徵的一底部處之一含矽表面,該高深寬比特徵的一深度是該高深寬比特徵的一寬度之十倍以上;藉由一第一電漿蝕刻處理來將氧化物從該含矽表面 去除,包含以下步驟:將一含氟前驅物和一重的惰性氣體(heavy inert gas)前驅物流入該基板處理區域,同時施加一第一電漿功率以將氟離子撞擊該含矽表面,並同時施加一第一偏壓給該基板;藉由使用一第二電漿蝕刻處理來蝕刻該含矽表面,包含以下步驟:將包含一含氯前驅物和一含氫前驅物的一蝕刻氣體混合物流入該基板處理區域,同時施加一第二電漿功率以形成含氯離子和含氫離子;及以該等含氯離子和該等含氫離子蝕刻該含矽表面;藉由一第三電漿蝕刻處理將在該第二電漿蝕刻處理期間產生的蝕刻劑殘餘物從該圖案化基板的該含矽表面去除,包含以下步驟:將該含氯前驅物和該含氫前驅物流入該基板處理區域,同時施加一第二偏壓給該基板以及施加一第三電漿功率以形成含氯離子和含氫離子;及以該等含氯離子和該等含氫離子蝕刻該含矽表面,同時施加該第二偏壓給該基板;及在該圖案化基板的該蝕刻過的含矽表面上形成一磊晶層,其中該第三電漿蝕刻處理及在該圖案化基板的 該蝕刻過的含矽表面上形成該磊晶層是在並非將該圖案化基板暴露於大氣下施行。
  13. 如請求項12所述之方法,其中該重的惰性氣體前驅物選自以下所組成的群組中:氬(Ar)、氪(Kr)、氙(Xe)及以上各者之組合。
  14. 如請求項12所述之方法,其中該含氟前驅物選自以下所組成的群組中:雙原子氟(F2)、單原子氟(F)、三氟化氮(NF3)、五氟化氮(NF5)、六氟化硫(SF6)、二氟化氙(XeF2)、四氟化碳(CF4)、八氟環丁烷(C4F8)、三氟甲烷(CHF3)、氟化氫(HF)及以上各者之組合。
  15. 如請求項12所述之方法,其中該含氫前驅物選自以下所組成的群組中:原子氫、分子氫、氨及以上各者之組合。
  16. 如請求項12所述之方法,其中該蝕刻氣體混合物進一步包括一惰性濺射劑。
  17. 如請求項16所述之方法,其中該惰性濺射劑是氬。
  18. 如請求項12所述之方法,其中該第一電漿蝕刻處理是一電感耦合電漿。
  19. 如請求項12所述之方法,其中該含氟前驅物和該重的惰性前驅物的一流動速率使得重的惰性氣 體比氟的一原子流量比介於80:1至150:1之間。
  20. 如請求項19所述之方法,其中該圖案化基板維持在約20攝氏度至約50攝氏度之間的一溫度。
TW105141008A 2015-12-18 2016-12-12 清潔方法 TWI692799B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562269390P 2015-12-18 2015-12-18
US201562269456P 2015-12-18 2015-12-18
US62/269,456 2015-12-18
US62/269,390 2015-12-18

Publications (2)

Publication Number Publication Date
TW201732872A TW201732872A (zh) 2017-09-16
TWI692799B true TWI692799B (zh) 2020-05-01

Family

ID=59057450

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105141008A TWI692799B (zh) 2015-12-18 2016-12-12 清潔方法

Country Status (5)

Country Link
US (1) US10861693B2 (zh)
KR (1) KR20180085807A (zh)
CN (1) CN108292602B (zh)
TW (1) TWI692799B (zh)
WO (1) WO2017106089A1 (zh)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10861693B2 (en) * 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) * 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
TWI641117B (zh) * 2017-11-22 2018-11-11 旺宏電子股份有限公司 用於三維記憶體元件的半導體結構及其製造方法
US10217761B1 (en) 2017-11-22 2019-02-26 Macronix International Co., Ltd. Semiconductor structure and manufacturing method thereof
US11646207B2 (en) * 2017-11-30 2023-05-09 Lam Research Corporation Silicon oxide silicon nitride stack stair step etch
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
WO2019139761A1 (en) 2018-01-15 2019-07-18 Applied Materials, Inc. Argon addition to remote plasma oxidation
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11393661B2 (en) * 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11069511B2 (en) 2018-06-22 2021-07-20 Varian Semiconductor Equipment Associates, Inc. System and methods using an inline surface engineering source
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112840039A (zh) * 2018-10-05 2021-05-25 朗姆研究公司 处理室表面移除金属污染物
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020185832A1 (en) * 2019-03-12 2020-09-17 Magic Leap, Inc. Method of fabricating display device having patterned lithium-based transition metal oxide
CN111696863B (zh) * 2019-03-15 2024-04-12 北京北方华创微电子装备有限公司 硅介质材料刻蚀方法
CN116169018A (zh) 2019-11-08 2023-05-26 东京毅力科创株式会社 蚀刻方法
CN112786441A (zh) 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
SG10202010798QA (en) 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
US11456180B2 (en) * 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
US11756793B2 (en) 2019-12-27 2023-09-12 Hitachi High-Tech Corporation Semiconductor device manufacturing method
US11655146B2 (en) * 2020-11-13 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extended acid etch for oxide removal
CN115491655A (zh) * 2022-10-05 2022-12-20 江苏筑磊电子科技有限公司 一种半导体技术中用于低温清洁和沉积的微波等离子辅助方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
TW201207920A (en) * 2007-07-26 2012-02-16 Applied Materials Inc Method and apparatus for cleaning a substrate surface

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5981398A (en) * 1998-04-10 1999-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask method for forming chlorine containing plasma etched layer
US6686292B1 (en) * 1998-12-28 2004-02-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer
US6255179B1 (en) * 1999-08-04 2001-07-03 International Business Machines Corporation Plasma etch pre-silicide clean
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6584987B1 (en) * 2001-03-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for improved cleaning in HDP-CVD process with reduced NF3 usage
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060016459A1 (en) * 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
KR100659579B1 (ko) * 2004-12-08 2006-12-20 한국전자통신연구원 발광 소자 및 발광 소자의 제조방법
JP4145925B2 (ja) * 2006-01-31 2008-09-03 シャープ株式会社 プラズマエッチング方法
US20090183771A1 (en) * 2006-06-23 2009-07-23 Hitoshi Sannomiya Plasma processing apparatus, plasma processing method and photoelectric conversion element
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7951683B1 (en) 2007-04-06 2011-05-31 Novellus Systems, Inc In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
JP5558480B2 (ja) * 2008-10-31 2014-07-23 アプライド マテリアルズ インコーポレイテッド P3iチャンバにおける共形ドープの改善
US20100273291A1 (en) 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US20140069459A1 (en) * 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
WO2014092856A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US20140213016A1 (en) * 2013-01-30 2014-07-31 Applied Materials, Inc. In situ silicon surface pre-clean for high performance passivation of silicon solar cells
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9683308B2 (en) 2013-08-09 2017-06-20 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9443728B2 (en) 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
US9576809B2 (en) * 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6320282B2 (ja) * 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
US9881805B2 (en) * 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10861693B2 (en) * 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
WO2018052477A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US10483118B2 (en) * 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
TW201207920A (en) * 2007-07-26 2012-02-16 Applied Materials Inc Method and apparatus for cleaning a substrate surface

Also Published As

Publication number Publication date
US20170178894A1 (en) 2017-06-22
CN108292602A (zh) 2018-07-17
US10861693B2 (en) 2020-12-08
KR20180085807A (ko) 2018-07-27
CN108292602B (zh) 2023-08-18
TW201732872A (zh) 2017-09-16
WO2017106089A1 (en) 2017-06-22

Similar Documents

Publication Publication Date Title
TWI692799B (zh) 清潔方法
TWI745390B (zh) 減少晶圓釋氣的整合方法
TWI708322B (zh) 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法
US11087979B2 (en) Cleaning method
US8501605B2 (en) Methods and apparatus for conformal doping
CN110735181A (zh) 于外延生长之前预清洁基板表面的方法和设备
TWI673772B (zh) 形成經摻雜鍺的方法
TWI768245B (zh) 用於矽-鍺預清潔之方法與設備
TWI821158B (zh) 用於半導體製程的整合系統
WO2015073194A1 (en) Methods for dry etching cobalt metal using fluorine radicals
TWI593014B (zh) 表面介面工程方法
TWI747931B (zh) 成膜方法
US9653282B2 (en) Silicon-containing substrate cleaning procedure
TW202343548A (zh) 用於cmos裝置的觸點形成處理
US9355820B2 (en) Methods for removing carbon containing films