CN108292602A - 清洁方法 - Google Patents
清洁方法 Download PDFInfo
- Publication number
- CN108292602A CN108292602A CN201680064740.9A CN201680064740A CN108292602A CN 108292602 A CN108292602 A CN 108292602A CN 201680064740 A CN201680064740 A CN 201680064740A CN 108292602 A CN108292602 A CN 108292602A
- Authority
- CN
- China
- Prior art keywords
- substrate
- plasma
- predecessor
- fluorine
- silicon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 286
- 239000000758 substrate Substances 0.000 claims abstract description 358
- 238000012545 processing Methods 0.000 claims abstract description 243
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 165
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 164
- 239000010703 silicon Substances 0.000 claims abstract description 163
- 230000008569 process Effects 0.000 claims abstract description 142
- 238000005530 etching Methods 0.000 claims abstract description 74
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 51
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 50
- 239000011737 fluorine Substances 0.000 claims abstract description 50
- 239000000203 mixture Substances 0.000 claims abstract description 12
- 239000007789 gas Substances 0.000 claims description 90
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 44
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 40
- 239000011261 inert gas Substances 0.000 claims description 38
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 33
- 239000000460 chlorine Substances 0.000 claims description 28
- 238000009616 inductively coupled plasma Methods 0.000 claims description 26
- 150000002500 ions Chemical class 0.000 claims description 26
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 23
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 23
- 229910052801 chlorine Inorganic materials 0.000 claims description 23
- 229910052786 argon Inorganic materials 0.000 claims description 21
- 239000001257 hydrogen Substances 0.000 claims description 19
- 229910052739 hydrogen Inorganic materials 0.000 claims description 19
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims description 12
- 229910018503 SF6 Inorganic materials 0.000 claims description 11
- BLIQUJLAJXRXSG-UHFFFAOYSA-N 1-benzyl-3-(trifluoromethyl)pyrrolidin-1-ium-3-carboxylate Chemical compound C1C(C(=O)O)(C(F)(F)F)CCN1CC1=CC=CC=C1 BLIQUJLAJXRXSG-UHFFFAOYSA-N 0.000 claims description 10
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 10
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims description 9
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 claims description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 7
- 229910021529 ammonia Inorganic materials 0.000 claims description 7
- 229910052799 carbon Inorganic materials 0.000 claims description 7
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 claims description 7
- 229910052724 xenon Inorganic materials 0.000 claims description 7
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 7
- WRQGPGZATPOHHX-UHFFFAOYSA-N ethyl 2-oxohexanoate Chemical compound CCCCC(=O)C(=O)OCC WRQGPGZATPOHHX-UHFFFAOYSA-N 0.000 claims description 6
- 238000000992 sputter etching Methods 0.000 claims description 6
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 5
- -1 argon ion Chemical class 0.000 claims description 5
- 230000008859 change Effects 0.000 claims description 5
- 229910052743 krypton Inorganic materials 0.000 claims description 5
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims description 5
- 229960000909 sulfur hexafluoride Drugs 0.000 claims description 5
- 239000004341 Octafluorocyclobutane Substances 0.000 claims description 4
- GPRLSGONYQIRFK-UHFFFAOYSA-N hydron Chemical compound [H+] GPRLSGONYQIRFK-UHFFFAOYSA-N 0.000 claims description 4
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 4
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 claims description 4
- 235000019407 octafluorocyclobutane Nutrition 0.000 claims description 4
- 230000015572 biosynthetic process Effects 0.000 claims description 3
- 230000003628 erosive effect Effects 0.000 claims description 3
- 125000001153 fluoro group Chemical group F* 0.000 claims 2
- 230000008021 deposition Effects 0.000 abstract description 32
- 238000002360 preparation method Methods 0.000 abstract description 7
- 238000013459 approach Methods 0.000 abstract description 5
- 239000000463 material Substances 0.000 description 41
- 238000000151 deposition Methods 0.000 description 34
- 230000008878 coupling Effects 0.000 description 33
- 238000010168 coupling process Methods 0.000 description 33
- 238000005859 coupling reaction Methods 0.000 description 33
- 238000004140 cleaning Methods 0.000 description 31
- 238000011282 treatment Methods 0.000 description 28
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 26
- 239000004065 semiconductor Substances 0.000 description 20
- 238000012546 transfer Methods 0.000 description 18
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 15
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 14
- 238000000429 assembly Methods 0.000 description 13
- 230000000712 assembly Effects 0.000 description 13
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 13
- 239000001301 oxygen Substances 0.000 description 13
- 229910052760 oxygen Inorganic materials 0.000 description 13
- 239000006227 byproduct Substances 0.000 description 12
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 11
- 239000012528 membrane Substances 0.000 description 11
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 10
- 239000003344 environmental pollutant Substances 0.000 description 9
- 229910052732 germanium Inorganic materials 0.000 description 9
- 230000001939 inductive effect Effects 0.000 description 9
- 231100000719 pollutant Toxicity 0.000 description 9
- 230000005540 biological transmission Effects 0.000 description 8
- 238000011109 contamination Methods 0.000 description 8
- 239000013078 crystal Substances 0.000 description 8
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 8
- 238000001039 wet etching Methods 0.000 description 8
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 7
- 238000009826 distribution Methods 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 229910052734 helium Inorganic materials 0.000 description 7
- 150000002431 hydrogen Chemical class 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- 230000002829 reductive effect Effects 0.000 description 7
- 239000000377 silicon dioxide Substances 0.000 description 7
- 239000007787 solid Substances 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- 238000005137 deposition process Methods 0.000 description 6
- 239000001307 helium Substances 0.000 description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 6
- 239000002243 precursor Substances 0.000 description 6
- 150000003254 radicals Chemical class 0.000 description 6
- 230000008439 repair process Effects 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 238000004381 surface treatment Methods 0.000 description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000010276 construction Methods 0.000 description 5
- 229910052736 halogen Inorganic materials 0.000 description 5
- 150000002367 halogens Chemical group 0.000 description 5
- 229930195733 hydrocarbon Natural products 0.000 description 5
- 150000002430 hydrocarbons Chemical class 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 230000005284 excitation Effects 0.000 description 4
- 229910052754 neon Inorganic materials 0.000 description 4
- 230000007935 neutral effect Effects 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 4
- FQFKTKUFHWNTBN-UHFFFAOYSA-N trifluoro-$l^{3}-bromane Chemical compound FBr(F)F FQFKTKUFHWNTBN-UHFFFAOYSA-N 0.000 description 4
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- 229910052681 coesite Inorganic materials 0.000 description 3
- 229910052906 cristobalite Inorganic materials 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 230000006698 induction Effects 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910052682 stishovite Inorganic materials 0.000 description 3
- 229910052905 tridymite Inorganic materials 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000003287 bathing Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 210000000746 body region Anatomy 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 238000003851 corona treatment Methods 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 238000004821 distillation Methods 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 2
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 150000002927 oxygen compounds Chemical class 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 238000007711 solidification Methods 0.000 description 2
- 230000008023 solidification Effects 0.000 description 2
- 238000000859 sublimation Methods 0.000 description 2
- 230000008022 sublimation Effects 0.000 description 2
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 description 2
- BCOSEZGCLGPUSL-UHFFFAOYSA-N 2,3,3-trichloroprop-2-enoyl chloride Chemical compound ClC(Cl)=C(Cl)C(Cl)=O BCOSEZGCLGPUSL-UHFFFAOYSA-N 0.000 description 1
- 229910017049 AsF5 Inorganic materials 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- 229910006160 GeF4 Inorganic materials 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 1
- XOJVVFBFDXDTEG-UHFFFAOYSA-N Norphytane Natural products CC(C)CCCC(C)CCCC(C)CCCC(C)C XOJVVFBFDXDTEG-UHFFFAOYSA-N 0.000 description 1
- 235000012377 Salvia columbariae var. columbariae Nutrition 0.000 description 1
- 229910004014 SiF4 Inorganic materials 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- 229910000070 arsenic hydride Inorganic materials 0.000 description 1
- YBGKQGSCGDNZIB-UHFFFAOYSA-N arsenic pentafluoride Chemical compound F[As](F)(F)(F)F YBGKQGSCGDNZIB-UHFFFAOYSA-N 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 240000001735 chia Species 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000002425 crystallisation Methods 0.000 description 1
- 230000008025 crystallization Effects 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 230000000994 depressogenic effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 238000003682 fluorination reaction Methods 0.000 description 1
- QUZPNFFHZPRKJD-UHFFFAOYSA-N germane Chemical compound [GeH4] QUZPNFFHZPRKJD-UHFFFAOYSA-N 0.000 description 1
- 229910052986 germanium hydride Inorganic materials 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 239000013081 microcrystal Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 238000005549 size reduction Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- PPMWWXLUCOODDK-UHFFFAOYSA-N tetrafluorogermane Chemical compound F[Ge](F)(F)F PPMWWXLUCOODDK-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02658—Pretreatments
- H01L21/02661—In-situ cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/322—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
- H01L21/3221—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/67034—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本公开内容的实现方式一般地涉及用于在基板表面上外延沉积的方法和设备。更具体言之,本公开内容的实现方式一般地涉及用于在外延沉积之前作表面预备(preparation)的方法和设备。在一个实现方式中,提供了一种处理基板的方法。该方法包括以下步骤:通过使用等离子体蚀刻处理来蚀刻含硅基板的表面以形成该含硅基板的经蚀刻的表面,及在该含硅基板的经蚀刻的表面上形成外延层。该等离子体蚀刻处理包括以下步骤:将包含含氟前驱物和含氢前驱物的蚀刻剂气体混合物流入第一处理腔室的基板处理区域,及由流入基板处理区域的该蚀刻剂气体混合物形成等离子体。
Description
技术领域
本公开内容的实现方式一般涉及用于在基板表面上外延沉积的方法和设备。更具体言之,本公开内容的实现方式一般地涉及用于在外延沉积之前作表面预备(preparation)的方法和设备。
背景技术
集成电路形成在硅和其他半导体基板中以及形成在硅和其他半导体基板上。在单晶硅的情况中,通过从熔融硅浴生长硅锭(ingot),以及接着将凝固的硅锭切(sawing)成数个晶片来产生基板。可接着在单晶硅晶片上形成外延硅层以形成可掺杂的或未掺杂的无缺陷硅层。从外延硅层制造半导体组件,诸如晶体管。所形成的外延硅层的电性质通常会比单晶硅基板的性质更好。
随着电路密度为下一代器件而增加,诸如通孔、沟槽、接点、栅极结构和其它特征之类的互连的宽度及它们之间的介电材料,减小到45nm和32nm的尺寸,然而介电层的厚度实质上保持恒定,结果是这些特征的深宽比增加。为了能够制造下一代器件和结构,半导体芯片的三维(3D)堆叠常常用于改进晶体管的效能。通过以三维方式布置晶体管,而不是以传统的二维方式,多个晶体管可彼此非常接近地放置在集成电路(IC)中。半导体芯片的三维堆叠减少线长度并保持低布线延迟。在制造三维半导体芯片的堆叠中,通常使用阶梯状(stair-like)结构以允许多个互连结构设置于其上,而形成高密度的垂直晶体管器件。
这些三维堆叠形成在硅和其他半导体基板中及形成在硅和其他半导体基板上。在单晶硅(即,微晶硅)的情况中,通过从熔融硅浴生长硅锭,及接着将凝固的硅锭切成数个晶片来产生基板。可接着在单晶硅晶片上形成外延硅层以形成可掺杂的或未掺杂的无缺陷硅层。从外延硅层制造半导体器件,诸如晶体管。所形成的外延硅层的电性质通常会比单晶硅基板的性质更好。
当单晶硅和外延硅层的表面暴露于通常的晶片制造设备环境条件时,单晶硅和外延硅层的表面很容易受到污染。例如,在外延层沉积之前,原生氧化层可形成在单晶硅表面上。此外,存在于周围环境中的污染物可能沉积在单晶表面上。单晶硅表面上的原生氧化物层或污染物的存在负面地影响随后在单晶表面上形成的外延层的品质。
虽然现有的清洁方法将一些原生氧化物和污染物从单晶硅表面去除,但依然有一些污染物。另外,随着特征的深宽比增加,清洁位在高深宽比(“HAR”)特征底部处的硅表面变得更为困难。例如,当深宽比接近30:1至50:1时,使用目前可用的清洁方法所产生的离子常黏附到高深宽比特征的侧壁且无法到达在高深宽比特征底部处的硅表面。
因此,需要一种用于清洁基板表面的方法与设备,特别是用于在进行外延沉积过程之前清洁基板表面的方法和设备。
发明内容
本公开内容的实现方式一般地涉及用于在基板表面上外延沉积的方法和设备。更具体言之,本公开内容的实现方式一般地涉及用于在外延沉积之前作表面预备的方法和设备。在一个实现方式中,提供了一种处理基板的方法。该方法包括以下步骤:通过使用等离子体蚀刻处理来蚀刻含硅基板的表面以形成该含硅基板的经蚀刻的表面,以及在该含硅基板经蚀刻的表面上形成外延层。该等离子体蚀刻处理包括以下步骤:将包含含氟前驱物和含氢前驱物的蚀刻剂气体混合物流入第一处理腔室的基板处理区域,及从流入基板处理区域的该蚀刻剂气体混合物形成等离子体。
在另一实现方式中,提供了一种处理基板的方法。该方法包括以下步骤:由还原处理(reducing process)将氧化物从含硅基板的表面去除,通过使用电感耦合等离子体蚀刻处理来蚀刻含硅基板的表面以形成该含硅基板的经蚀刻的表面,以及在该含硅基板经蚀刻的表面上形成外延层。该等离子体蚀刻处理包括以下步骤:将包含含氟前驱物和含氢前驱物的蚀刻剂气体混合物流入第一处理腔室的基板处理区域,及由流入基板处理区域的该蚀刻剂气体混合物形成等离子体。
在又一实现方式中,提供了一种处理基板的方法。该方法包括以下步骤:由还原处理将氧化物从含硅基板的表面去除,通过使用电感耦合等离子体蚀刻处理来蚀刻含硅基板的表面以形成该含硅基板的经蚀刻的表面,及在该含硅基板经蚀刻的表面上形成外延层。该等离子体蚀刻处理包括以下步骤:将包含三氟化氮(NF3)前驱物和氨前驱物的蚀刻剂气体混合物流入第一处理腔室的基板处理区域,及由流入基板处理区域的该蚀刻剂气体混合物形成电感耦合等离子体。
在又一实现方式中,提供了一种处理基板的方法。该方法包括:将图案化基板传送到基板处理区域。该图案化基板具有定位于高深宽比特征的底部处的暴露的含硅表面,该高深宽比特征的深度是该高深宽比特征的宽度的十倍以上。该方法包括通过使用第一等离子体蚀刻处理来将氧化物从暴露的含硅表面去除。第一等离子体蚀刻处理进一步包括将含氟前驱物和惰性气体流入基板处理区域,同时施加等离子体功率以形成氟离子和惰性气体离子。第一等离子体蚀刻方法进一步包括以氟离子和含氢离子蚀刻含硅表面,同时施加偏压至基板以将氧化物从经蚀刻的含硅表面去除。该方法进一步包括在经蚀刻的含硅表面上形成外延层,其中在图案化基板不暴露于大气的条件下施行等离子体蚀刻处理及在图案化基板的经蚀刻的表面上形成外延层的步骤。
在又一实现方式中,提供了一种处理基板的方法。该方法包括:将图案化基板传送到基板处理区域。该图案化基板具有定位于高深宽比特征的底部处的暴露的含硅表面,该高深宽比特征的深度是该高深宽比特征的宽度的十倍以上。该方法包括通过使用第一等离子体蚀刻处理来将氧化物从暴露的含硅表面去除。第一等离子体蚀刻处理包括将三氟化氮(NF3)和氩气流入基板处理区域,同时施加等离子体功率以形成含氟离子和氩离子,其中NF3和氩气的流动速率导致氩气比NF3的原子流量比介于80:1至150:1之间。第一等离子体蚀刻处理进一步包括以氟离子和氩离子蚀刻含硅表面,同时施加偏压至基板以形成经蚀刻的含硅表面。该方法进一步包括在经蚀刻的含硅表面上形成外延层,其中在图案化基板不暴露于大气的条件下施行等离子体蚀刻处理及在图案化基板的表面上形成外延层的步骤。
在又一个实现方式中,处理基板的方法是正在处理基板。该方法包括:将图案化基板传送到基板处理区域。该图案化基板具有定位于高深宽比特征的底部处的暴露的含硅表面,该高深宽比特征的深度是该高深宽比特征的宽度的十倍以上。该方法进一步包括通过使用第一等离子体蚀刻处理来将氧化物从暴露的含硅表面去除。第一等离子体蚀刻处理包括将含氟前驱物和重惰性前驱物(heavy inert precursor)流入基板处理区域,同时施加等离子体功率以将含氟离子轰击暴露的含硅表面,同时施加偏压给基板,通过使用第二等离子体蚀刻处理来蚀刻暴露的含硅表面。第二等离子体蚀刻处理包括将包含含氯前驱物和含氢前驱物的蚀刻气体混合物流入基板处理区域,同时施加等离子体功率以形成含氯离子和含氢离子,及以含氯离子和含氢离子蚀刻含硅表面。该方法进一步包括由第三等离子体蚀刻处理将蚀刻剂残余物从图案化基板的含硅表面去除。第三等离子体蚀刻方法包括以下步骤:将含氯前驱物和含氢前驱物流入基板处理区域,同时施加偏压给基板并施加等离子体功率以形成含氯离子和含氢离子,及以含氯离子和含氢离子蚀刻含硅表面,同时施加该偏压给基板。该方法进一步包括在图案化基板的经蚀刻的含硅表面上形成外延层,其中在图案化基板不暴露于大气的条件下施行该第三等离子体蚀刻处理及在图案化基板的该表面上形成外延层的步骤。
附图说明
以上简要概述的本公开内容的上述详述特征能够被具体理解的方式、以及实现方式的更特定描述,可以通过参照实现方式获得,一些实现方式绘示于附图中。然而,应当注意,附图仅绘示本公开内容的典型实现方式,因而不应视为对本发明的范围的限制,因为本公开内容可允许其他等同有效的实现方式。
图1描绘可根据本公开内容的实现方式具有可处理的高深宽比特征的膜结构的截面图。
图2是图解了根据本公开内容的实现方式的工艺序列的流程图;
图3是图解了根据本公开内容的实现方式的另一工艺序列的流程图;
图4是可用于施行根据本公开内容的实现方式所描述的工艺序列的清洁腔室的截面图;
图5是可用于施行根据本公开内容的实现方式所描述的工艺序列的处理腔室的截面图;及
图6是可以用于施行根据本公开内容的实现方式的图2与图3所示的工艺序列的处理系统的示意性俯视图。
为了便于理解,尽可能地,已使用相同的附图标号标示附图中共通的组件。考虑到,一个实现方式中的元件与特征在没有进一步描述下可有益地运用于其他实现方式。然而,应当注意,附图仅绘示本公开内容的示例性实现方式,因而不应视为对本发明的范围的限制,因为本公开内容可允许其他等同有效的实现方式。
具体实施方式
以下公开内容大体上描述了用于在基板表面上外延沉积的方法和设备。将在下面的说明书和图1至6中阐述某些细节,以提供本公开内容的各种实现方式的全面理解。通常与外延沉积和基板的表面预备相关联而描述的公知结构与系统的其他细节在以下公开内容中未做阐述,以避免不必要地模糊各种实现方式的说明。
在图中所示的诸多细节、尺寸、角度和其他特征仅是出于说明特定实现方式。因此,在不背离本公开内容的精神或范围下,其他实现方式可以具有其他细节、部件、尺寸、角度和特征。此外,可以在没有以下所述的若干细节下,实施本公开内容的进一步实现方式。
对于缩小集成电路中器件尺寸的持续进展正为半导体制造处理推动多次的改变。在这些变化之中,有对部件(诸如信道、接点)尺寸的缩小。随着尺寸缩小,对于高品质材料以及不同材料(在某些情况下)的需求日益增加。例如,在晶体管通道的应用中,锗越来越常与硅一起使用以形成通道。通常经由外延沉积处理来实现高品质材料。新一代节点包含用于成功外延的越来越纯净(pristine)的表面,连同介电材料之上半导体材料的选择。当前的清洁处理对于清洁下一代材料在品质与能力上渐显不足。
在本公开内容的一些实现方式中,提供了清洁半导体基板的方法。该方法包括将基板暴露于例如是Ar/NF3的电感耦合等离子体,及接着暴露于H2/Cl2的电感耦合等离子体。在一或多个操作期间,基板定位在被施加偏压的静电卡盘上。第一操作用于去除大部分氧化物。第二操作用来施行暴露的半导体材料的轻微蚀刻以去除嵌入的杂质和表面的不规则。此处理对于半导体材料(诸如硅和锗)和介电材料(诸如氮化硅)之上的氧化硅是选择性的。
在处理之前存在于含硅表面上的原生氧化物以及在处理期间污染含硅表面的氧污染(oxygen contaminants)影响随后沉积的外延层与最终形成的器件的品质。本公开内容的实现方式提供了用于减少器件形成期间的原生氧化物与氧污染的系统和方法。在本公开内容的一个实现方式中,提供了外延沉积之前预清洁含硅基板的方法,这导致改善的外延材料的沉积。本发明人已经发现到,群集处理腔室通过真空传送减少暴露于大气且相应地减少暴露于氧污染。例如,在外延沉积之前施行硅的电感等离子体氯蚀刻而没有将基板暴露于蚀刻与沉积之间的大气(如没有破坏真空),此举减少暴露于氧污染。在一些实现方式中,在原生氧化层去除处理(例如,使用NH3/NF3的电容耦合等离子体;使用NH3/NF3的电感耦合等离子体;化学氧化物去除-无水HF+NH3的热组合)施行之后,硅蚀刻处理(例如,使用H2/NF3的电容耦合等离子体;使用H2/NF3的电感耦合等离子体;电感耦合等离子体H2/Cl2硅蚀刻)接续施行;在此之后,任选的残留物去除处理与外延沉积处理接着施行。由于大多数原生氧化物去除过程是不稳定的,所以一旦暴露于大气中,原生氧化物开始在含硅表面上重新生长。原生氧化物移除腔室与硅蚀刻和外延沉积聚集在一起也使得氧污染减少。
本说明书所述的实现方式将参照以下可以使用可购自美国加州圣克拉拉的应用材料公司(Applied Materials,Inc.)的系统而施行的清洁、蚀刻与沉积处理来描述。能够施行这些清洁、蚀刻和沉积工艺的其他工具可经调整而自本说明书所述的实现方式获益。此外,可以有利地使用本说明书所述的能实现清洁、蚀刻和沉积工艺的任何系统。本说明书所述的设备说明是示例性的,不应被理解或解释为对本说明书所述实现方式的范围的限制。
图1描绘在基板102上的具有形成在膜结构100中的高深宽比特征的膜结构100的截面图,可使用图2与图3所示的方法来处理膜结构100。虽然图1仅示出一个高深宽比特征140,但应当理解到,可在膜结构100中形成多个高深宽比的特征。在一个实现方式中,可使用膜结构100形成用于三维NAND半导体应用的栅极结构。在制造三维NAND半导体应用中,阶梯状的氧化物-氮化物对的结构通常用于形成高深宽比栅极堆叠NAND单元以增加电路密度。
任选地,可在基板102的基底层104上形成膜结构100。基底层104可以是包括第一材料和第二材料的图案化层。膜结构100具有在基底层104上依序形成的多个材料层堆叠1061、1062、1063、1064……106n(统称106)。多个材料层堆叠106的各个材料层堆叠可包括在其上形成的第一膜层1081、1082、1083、1084……108n(统称108)及第二膜层1101、1102、1103、1104……110n(统称110),使得膜结构100包括交替形成的多个第一膜层108与第二膜层110。在一个实现方式中,多个第一膜层108是氧化硅层及多个第二膜层110是氮化硅层。多个材料层堆叠106可由等离子体处理腔室中的PECVD沉积技术形成。
在进一步的实现方式中,第一材料层/第二材料层堆叠可以是氧化物/硅、硅/掺杂的硅或硅/氮化物。所有这些材料的组合可以用比特成本可缩减(Bit-Cost Scalable,BICS)、万亿比特单元阵列晶体管(Terabit Cell ArrayTransistor,TCAT)及其他3D内存结构中。在其他实现方式中,第一材料层和第二材料层堆叠可以是其他的材料组合。在基板102上的第一膜层108和第二膜层110的沉积顺序也可以反过来。
层的数量可以取决于正在制造的内存组件。在一个实现方式中,堆叠数可以是8x、或16x、或24x或甚至更高,其中8、16、24、32、64、128或更多层的每个堆叠对应于一个存储元件。不同材料的两个层形成每个堆叠,所以对于8x堆叠数对应的层的数量可以是16,16x堆叠数可以具有32层、24x堆叠数可以具有48层,而更高堆叠数可以分别具有更高的层数。
图1中所示的基板102包括形成在基板102上的基底层104。在没有基底层104的一些实现方式中,膜结构100可直接在基板102的表面上形成。在一个实现方式中,基板102可具有实质平坦表面、不平坦的表面或具有在其上形成的结构的实质平坦表面。基板102可以是如下的材料:结晶硅(例如Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片及图案化或非图案化的在绝缘体上的硅晶片(SOI)、掺杂碳的硅氧化物、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石。基板102可具有各种尺寸,例如200mm或300mm直径的晶片以及矩形或正方形面板。除非另有说明,否则本说明书所述的实现方式和实例在具有300mm直径的基板上进行。在一个实现方式中,基板102可以是结晶硅基板(例如,单晶硅或多晶硅)。
可使用反应离子蚀刻技术或其他各向异性(anisotropic)蚀刻技术形成高深宽比特征140。在一个实现方式中,可将等离子体或蚀刻气体的离子束引导到基板102,以形成高深宽比特征140。蚀刻气体可包括SF6、C3F8、CF4、BF3、BI3、N2、Ar、PH3、AsH3、B2H6、H2、Xe、Kr、Ne、He、SiH4、SiF4、GeH4、GeF4、CH4、AsF5、PF3、PF5或以上各者的组合。高深宽比特征140具有开口150。高深宽比是由底表面160和侧壁170所界定。在一些实现方式中,底表面160是暴露的硅或含硅表面(例如,单晶硅表面)。在一些实现方式中,底表面160是暴露的锗或含锗表面。在一些实现方式中,底表面160由基板102的暴露表面所界定。在高深宽比特征140没有延伸至基板102表面的一些实现方式中,材料层堆叠106或基底层104(如果存在的话)可界定底表面160。侧壁170由多个材料层堆叠106所界定。
高深宽比特征140可包括具有至少约5:1或高于5:1(例如,6:1或高于6:1、7:1或高于7:1、8:1或高于8:1、9:1或高于9:1、10:1或高于10:1、11:1或高于11:1、12:1或高于12:1、16:7或高于16:7、或约10:1至约20:1、或在约30:1至约50:1的范围内;或在约70:1至约100:1的范围内)的高的高度比上宽度的深宽比(裸孔高度除以孔宽度的比例)。示例性特征的定义包括通孔、沟槽、缝隙、接线、接触孔、通孔或在半导体、太阳能或其他电子器件中使用的其他特征的定义,例如,高比例的接触插塞(contact plugs)。
当高深宽比特征140的底表面160暴露于典型的晶片制造设备环境条件时,高深宽比特征140的底表面160容易受到污染。例如,在外延层沉积之前,原生氧化层可形成在底表面160上。此外,存在于周围环境中的污染物可能在底表面160上沉积。底表面160上的原生氧化物层或污染物的存在负面地影响随后在底表面160上形成的外延层的品质。如先前所讨论的,来自当前可用的清洁技术的离子常碰撞到侧壁170而无法到达高深宽比特征140的底表面160。因此,当前可用的清洁技术无法去除底表面160上形成的原生氧化物和污染物。
图2图解根据本公开内容的一个实现方式的工艺序列200。工艺序列200开始于操作210,将基板(如基板102)定位到处理腔室的处理区域,例如,图4所示的处理腔室400的基板处理区域401或图5所示的等离子体处理腔室500的基板处理区域501。基板可定位在基板支撑件上(例如,基板支撑件432或基板支撑件515),基板支撑件定位在处理区域中(例如,基板处理区域401或基板处理区域501)。
基板可以是具有器件在其上形成的半导体基板。在一个实现方式中,基板可具有实质平坦表面、不平坦的表面或具有在其上形成的结构的实质平坦表面。基板可以是如下的材料:结晶硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗(SiGe)、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片以及图案化或非图案化的在绝缘体上的硅晶片(SOI)、掺杂碳的硅氧化物、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石。基板可具有各种尺寸,诸如200mm或300mm直径的晶片以及矩形或正方形面板。除非另有说明,否则本说明书所述的实现方式和实例在具有300mm直径的基板上进行。在一个实现方式中,基板可以是结晶硅基板(如单晶硅或多晶硅)。基板可包括含硅材料且表面可包括诸如硅(Si)、锗(Ge)或硅锗合金(SiGe)的材料。在一些实现方式中,Si、Ge或SiGe表面可具有设置在其上氧化层,例如,原生氧化层。在一个实现方式中,基板是图1所示的基板102。基板的表面可以是膜结构100的高宽比特征140的底表面160。
任选地,在操作220,将基板表面上的原生氧化物由清洁处理去除。可使用从基板去除氧化物而不显著损伤基板的任何合适清洁处理。合适的清洁处理包括溅射蚀刻处理、基于等离子体的氧化物蚀刻处理、湿蚀刻处理或以上各者的组合。示例性的湿蚀刻处理包括使用氢氟酸(HF)(例如,基于热的氢氟酸(“HF”)/NH3的处理,湿HF处理)的湿蚀刻处理。示例性的基于等离子体的氧化物蚀刻处理包括NF3/NH3电感耦合等离子体处理或NF3/NH3电容耦合等离子体处理。在一些实现方式中,操作220是无偏压处理(即,RF偏压不施加到基板)。
在一个实现方式中,基于等离子体的氧化物蚀刻处理包含将基板同时暴露于NF3与NH3等离子体副产物。基于等离子体的氧化物蚀刻处理可以是电容耦合等离子体处理或电感耦合等离子体处理。在一个实现方式中,基于等离子体的氧化物蚀刻处理是远程等离子体辅助干蚀刻处理,其包含将基板同时暴露于NF3与NH3等离子体副产物。在一个实例中,基于等离子体的氧化物蚀刻处理可类似于或者可包括SiCoNiTM蚀刻处理,其可购自加州圣克拉拉的应用材料公司。在使用远程等离子体的一些实现方式中,气体物质的激发允许无等离子体损坏的基板处理。远程等离子体蚀刻可以对氧化硅层是大程度上共形(conformal)和选择性的,且因此不管硅是非晶形的、结晶的或多晶的,都无法轻易蚀刻硅。远程等离子体处理通常会产生固体(solid)副产物,当基板材料被去除时,固体副产物生长在基板的表面上。当基板的温度上升时,固体副产物可随后经由升华而被去除。等离子体蚀刻处理使得基板表面在其上具有硅-氢(Si--H)键。
在一个实现方式中,基于等离子体的氧化物蚀刻处理包括将三氟化氮(NF3)流引入等离子体形成区域和(或)基板处理区域。氟的其他源可添加或替换三氟化氮。一般来说,可使含氟前驱物流入处理区域,且该含氟前驱物包括自以下所组成的群组中选择的至少一者:原子氟、双原子氟(F2)、三氟化溴(BrF3)、三氟化氯(ClF3)、三氟化氮(NF3)、氟化氢(HF)、六氟化硫(SF6)及二氟化氙(XeF2)。基于等离子体的氧化物蚀刻处理进一步包括将氨(NH3)流引入而氨与三氟化氮一起在等离子体中同时被激发的处理区域。
基于等离子体的氧化物蚀刻处理可包含维持气体流量比(NH3:NF3)以达到所需氧化物的蚀刻选择性。在一个实现方式中,使用的气体流量比(NH3:NF3)介于1:1至50:1(例如,1:1至20:1;5:1至10:1)之间。
NH3与NF3的流可进一步包括一个或多个相对惰性的气体,例如氩(Ar)、氦(He)、氖(Ne)、氙(Xe)、氮气(N2)和类似物。该惰性气体可以用于提高等离子体稳定性、处理均匀性或类似者。不受到理论所局限,但据信,惰性气体(作为添加物)对促进稳定等离子体的的形成是有帮助的。当包括有惰性气体时,处理均匀性通常增加。这些添加物存在于整个本说明书的实现方式中。不同气体的流动速率和比例可用于控制蚀刻速率和蚀刻选择性。
在所公开的特定实现方式中,以约25sccm(每分钟标准立方公分)至400sccm之间的流动速率供应含氢前驱物(例如,NH3),以约1sccm至25sccm的流动速率供应含氟前驱物(例如,NF3),以约0slm(每分钟标准公升)至3slm的流动速率供应He,及以约0slm至3slm的流动速率供应Ar。本领域普通技术人员将认识到,可根据数个因素使用其他气体和/或流,所述数个因素包括处理腔室构造、基板尺寸、几何形状和特征布局(layout)。在本公开内容的实现方式中,可以以约25sccm至约400sccm之间、约50sccm至约300sccm之间、约75sccm至约200sccm之间或约90sccm至约150sccm之间的流动速率供应含氢前驱物。在所公开的实现方式中,可以以约1sccm至约25sccm之间、约2sccm至约20sccm之间、约4sccm至约15sccm之间或约5sccm至约12sccm之间的流动速率供应含氟前驱物(例如,NF3)。
基板的温度可以是在约0摄氏度至约200摄氏度之间。在一些实现方式中,在氧化物去除处理期间,基板的温度可以大于或约为20摄氏度、大于或约为30摄氏度、大于或约为40摄氏度、大于或约为60摄氏度、大于或约为80摄氏度或者大于或约为100摄氏度。在所公开的实现方式中,基板温度可以小于或约为120摄氏度、小于或约为100摄氏度、小于或约为80摄氏度、小于或约50为摄氏度,且可以是介于约30摄氏度至约50摄氏度之间。
在一些实现方式中,将能量施加至含氢前驱物和含氟前驱物,而含氢前驱物和含氟前驱物在远程等离子体区域或处理区域产生等离子体流出物(plasmaeffluents)。如本领域普通技术人员将理解到的,该等离子体可包括多个带电和中性物质,其中包括自由基和离子。可使用已知技术(例如,射频激发、电容耦合功率、电感耦合功率或类似者)来产生等离子体。在本公开内容的实现方式中,等离子体源功率可以是约10瓦至约3000瓦之间(例如,约10瓦至约1000瓦之间;约200瓦至约2500瓦之间;约300瓦至约2000瓦特之间;或约500瓦至约1500瓦之间)。
处理区域内的压力可低于或约为50Torr、低于或约为30Torr、低于或约为20Torr、低于或约为10Torr、低于或约为5Torr或者低于或约为1Torr。在本所公开的实现方式中,该压力可高于或约为0.1Torr、高于或约为0.2Torr、高于或约为0.5Torr或者高于或约为1Torr。在一个实现方式中,在氧化物去除期间,压力可为约0.1Torr至约0.7Torr之间。然而,任何的温度或压力上限可与下限组合以形成额外的实现方式。
在一个实现方式中,基于等离子体的氧化物蚀刻处理可包括约1sccm至约20sccm范围内(如约5sccm)的NF3流动速率,以及约50sccm至约200sccm范围内(如约100sccm)的NH3流动速率。基于等离子体的氧化物蚀刻处理可在约5Torr的压力下进行,以及约30瓦的RF功率设定可用于离子化NF3和NH3。可通过将基板在约120摄氏度或更高的温度下退火约5至约100秒(例如,约60秒)而接着将副产物自基板表面升华。基于氟的清洁的其他实现方式包含在等离子体或热中反应NH3气体与F2或无水HF气体以蚀刻SiO2原生氧化物。气体流量比的实例可为在15摄氏度至130摄氏度的温度下含氟气体比NH3气体的流量比为1:1至1:10。
在另一实现方式中,基板暴露于湿清洁处理。可使用湿清洁处理来清洁基板,其中清洁溶液如HF持续型(HF-last type)清洁溶液、臭氧水清洁溶液、氢氟酸(HF)和过氧化氢(H2O2)溶液或其他合适的清洁溶液。清洁溶液可被加热。
在另一实现方式中,不同的清洁处理可用于清洁基板表面。在一个实现方式中,将包含Ar和NF3的等离子体引入处理腔室中。在另一实现方式中,将包含He和NF3的远程等离子体通过气体分配板(例如,喷头)引入处理区域。可经由单独的气体入口将NH3直接注入腔室。
在操作230中,将硅从含硅基板去除。可使用任何合适的方法将硅从含硅基板去除以去除嵌入的杂质和表面不规则。在一个实现方式中,使用硅蚀刻处理将硅从含硅基板去除以形成含硅基板的经蚀刻的表面。硅蚀刻处理可以是基于等离子体的硅蚀刻处理。基于等离子体的硅蚀刻处理可以是电容耦合等离子体处理或电感耦合等离子体处理。在一些实现方式中,操作230通常是偏压处理(即,RF偏压施加到基板)。在一些实现方式中,操作230是无偏压处理。可在处理腔室的处理区域中进行基于等离子体的硅蚀刻处理,例如,在图4所示的处理腔室400的基板处理区域401或图5所示的等离子体处理腔室500的基板处理区域501中。在一个实现方式中,硅蚀刻过程可以是过蚀刻(over-etching)处理,以增强(enhance)基板的表面。
在基于等离子体的硅蚀刻处理中,将蚀刻处理气体引入到腔室中。蚀刻处理气体可包括一或多个蚀刻前驱物。蚀刻前驱物通过前驱物/气体入口输送到基板处理区域。在一些实现方式中,蚀刻前驱物可在引入到基板处理区域之前被混合。在一些实现方式中,蚀刻前驱物可分别地被引入到基板处理区域。可通过施加交流电(AC)电源到一或多个感应线圈所产生的电感耦合等离子体来激发蚀刻前驱物。蚀刻前驱物包括含氟前驱物、任选的含氢气体以及任选的惰性气体。含氟前驱物选自以下所组成的群组中:三氟化氮(NF3)、五氟化氮(NF5)、六氟化硫(SF6)、二氟化氙(XeF2)、四氟化碳(CF4)、八氟环丁烷(C4F8)、三氟甲烷(CHF3)、氟化氢(HF)、双原子氟(F2)、单原子氟(F)、三氟化溴(BrF3)、三氟化氯(ClF3)及氟取代的烃类、以上各者的组合或类似物。
基于等离子体的硅蚀刻处理进一步包括将含氢前驱物流入处理区域,在该处理区域含氢前驱物与含氟前驱物一起在等离子体中同时被激发。在一些实现方式中,含氢前驱物可包括原子氢(H)、双原子氢(H2)、氨(NH3)、烃类、不完全卤素取代的烃、以上各者的组合或类似物。
在一个实现方式中,基于等离子体的硅蚀刻处理可包含维持气体流量比(含氢前驱物:含氟前驱物)以达到所需的硅的蚀刻选择性。在一个实现方式中,使用的气体流量比(如H2:NF3)介于1:1至10:1(例如,1:1至5:1;5:1至10:1)之间。
含氟前驱物和含氢前驱物的流量可进一步包括一或多个相对惰性气体。该惰性气体可包括以下气体中的至少一种:氩(Ar)、氦(He)、氖(Ne)、氙(Xe)、氮气(N2)和类似物。在一些实现方式中,基板处理区域可基本上由以下组成:NF3、氢和惰性气体、NF3和氢、NF3和惰性气体或NF3、氢和氩。术语“基本上”允许其他元素浓度(其可以不可避免地存在于通常的处理系统中)以及低浓度,其对硅蚀刻处理没有不利的影响。
含氟前驱物和含氢前驱物的流可进一步包括一或多个额外的含卤素前驱物。示例性的含卤素前驱物包括氯(Cl2)、溴(Br2)、溴化氢(HBr)以及以上各者的组合。据信,包含额外的卤素前驱物减少或防止因硅蚀刻处理引起的蚀刻残留物的形成。
在所公开的特定实现方式中,以约1sccm(每分钟标准立方公分)至25sccm之间的流动速率供应含氟气体(例如,NF3),以约25sccm至400sccm的流动速率供应H2,以约0slm(每分钟标准公升)至3slm的流动速率供应He,及以约0slm至3slm的流动速率供应Ar。本领域普通技术人员将认识到,可根据数个因素使用其他气体和/或流,所述数个因素包括处理腔室构造、基板尺寸、几何形状和特征布局或类似者。一般来说,在本公开内容所述的实现方式中,可以以约25sccm至约400sccm之间、约50sccm至约300sccm之间、约75sccm至约200sccm之间或约90sccm至约150sccm之间的流动速率供应含氢前驱物。在所公开的实现方式中,可以以约1sccm至约25sccm之间、约2sccm至约20sccm之间、约4sccm至约15sccm之间或约5sccm至约12sccm之间的流动速率供应含氟前驱物。
基板的温度可以是介于约0摄氏度至约200摄氏度之间。在一些实现方式中,在氧化物去除处理期间,基板的温度可以大于或约为20摄氏度、大于或约为30摄氏度、大于或约为40摄氏度、大于或约为60摄氏度、大于或约为80摄氏度或者大于或约为100摄氏度。在所公开的实现方式中,基板温度可以小于或约为120摄氏度、小于或约为100摄氏度、小于或约为80摄氏度、小于或约为50摄氏度,且可以是介于约30摄氏度至约50摄氏度之间。
操作230包括以下步骤:施加能量到含氟前驱物、任选的含氢前驱物和任选的惰性气体(如果存在的话)以产生用于处理和蚀刻基板的表面的自由基。如本领域普通技术人员将理解到的,该等离子体可包括多个带电和中性物质,其中包括自由基和离子。可使用公知技术(例如,射频激发、电容耦合功率、电感耦合功率或类似物)来产生等离子体。在一个实现方式中,使用电感耦合等离子体功率供应单元(例如,图5所示的内线圈天线540、中间线圈天线550及侧线圈天线560)施加能量。在另一实现方式中,使用电容耦合等离子体功率单元(例如,图4所示的第一电极420和第二电极422)施加能量。等离子体源功率可以是约10瓦至约2500瓦之间(例如,约50瓦至约1000瓦之间、约50瓦至约500瓦之间;约100瓦至约400瓦之间或约200瓦至约300瓦之间)。
施加于本说明书所述的本地或远程等离子体的RF频率可是小于约200KHz的低RF频率、约10MHz至约15MHz之间(如13.56MHz)的高RF频率或者大于或约为1GHz的微波频率。
在一些实现方式中,在操作230期间,RF偏压经由具有RF功率供应的基板支撑件而施加到基板。施加到基板支撑件的功率在基板的上表面上产生负电压形式的基板偏压。此负电压用来吸引来自形成在基板处理区域中的等离子体的离子到基板的上表面。RF偏压可具有约50W至约800W范围内的功率级。在一个实现方式中,RF偏压可具有约200W至约400W范围内的功率级。例如,RF偏压可具有100W、200W、300W或400W的功率级。在一些实现方式中,RF偏压的频率会小于与腔室的线圈耦接的RF功率源的频率。例如,在一些实现方式中,RF偏压频率可以是2MHz,而RF功率源的频率可以是13.56MHz。在另一实现方式中,RF偏压频率可为约13.56MHz及RF功率源频率可为约60MHz。例如,基板定位在基板支撑表面521上,及偏压由绝缘内部电极524施加到基板。不受到理论所局限,但据信偏压施加给基板加速离子往基板表面,以及改善氧化物从基板表面的去除。
在一些实现方式中,在操作230期间,用于产生RF偏压的功率可为脉冲的(pulsed)。可施加功率到功率源达一所需时间,及接着停止一所需时间。功率循环可在所希望的频率和工作周期下重复所需的循环数。在一些实现方式中,RF偏压可在约1Hz至约50000Hz之间(如约5000Hz至约10000Hz之间)的频率下脉冲。在其他实现方式中,可以以约5%至约40%之间的工作周期(每周期供电时间比未供电时间的比)进行RF偏压脉冲,例如,约15%至约20%之间。
基板处理区域内的压力可低于或约为10Torr、低于或约为5Torr、低于或约为1Torr、低于或约为0.7Torr、低于或约为0.5Torr或者低于或约为0.1Torr。在本公开内容的实现方式中,该压力可高于或约为0.5mTorr、高于或约为0.01Torr、约为0.1Torr、高于或约为0.5Torr、高于或约为0.7Torr或者高于或约为1Torr。在一些实现方式中,在基板处理区域中的压力可为约0.5mTorr至约700mTorr之间(例如,约2mTorr至200mTorr之间或约5mTorr至约100mTorr之间;或约10mTorr至约50mTorr之间)。
在一个实现方式中,蚀刻气体中的含氟气体(例如,NF3)的体积浓度可小于约10%,或者更具体地小于约5%,或甚至小于约1%。在特定实现方式中,含氟气体的体积浓度为约1%至约10%之间。
在一个实现方式中,蚀刻气体中的含氢气体(如H2)的体积浓度可小于约10%,或者更具体地小于约5%,或甚至小于约1%。在特定实现方式中,氯的体积浓度为约1%至约10%之间。
在一个实现方式中,含氟前驱物是NF3,含氢气体是氢气,以及任选的惰性气体是氩、氦或两者。
任选地,在操作240,经蚀刻的含硅基板暴露于表面处理工序。不受到理论所局限,但据信处理工序修复及/或移除操作230的硅蚀刻处理期间损坏的键合(bonds)。在一个实现方式中,操作240是无偏压处理(即,RF偏压不施加到基板)。在操作240的表面处理工序期间修复的损坏可包括操作230期间蚀刻产生的损坏和/或残留物。可使用任何合适的处理来修复损坏的键合和/或将损坏的键合从经蚀刻的含硅基板去除。操作240的表面处理程序可类似于操作220的氧化物去除处理。操作220的处理条件可经调整以达到所期望的蚀刻目标以及用于操作240。在一个实现方式中,使用残留物去除处理将残留物从含硅基板去除。残余物去除处理可以是基于等离子体的蚀刻处理。基于等离子体的蚀刻处理可以是电容耦合等离子体处理或电感耦合等离子体处理。
合适的修复和/或残留物去除处理包括溅射蚀刻处理、等离子体蚀刻处理、湿蚀刻处理或以上各者的组合。示例性的湿蚀刻处理包括使用氢氟酸(HF)的湿蚀刻处理。示例性的清洁处理包括基于NF3/NH3等离子体的处理、基于热氢氟酸(“HF”)/NH3的处理、湿HF处理或NF3/NH3电感耦合等离子体处理。
在一个实现方式中,修复和/或残留物去除处理包含将基板同时暴露于NF3与NH3等离子体副产物。等离子体蚀刻处理可以是电容耦合等离子体处理或电感耦合等离子体处理。在一个实现方式中,修复和(或)残留物去除处理是远程等离子体辅助干蚀刻处理,其包含将基板同时暴露于NF3与NH3等离子体副产物。在一个实例中,修复和/或残留物去除处理可类似于或者可包括SiCoNiTM蚀刻处理,其可购自加州圣克拉拉的应用材料公司。在使用远程等离子体的一些构造中,气体物质的激发允许无等离子体损坏的基板处理。远程等离子体蚀刻可以对氧化硅层是大程度上共形和选择性的,且因此不管硅是非晶形的、结晶的或多晶的,都无法轻易蚀刻硅。远程等离子体处理通常会产生固体副产物,当基板材料被去除时,固体副产物生长在基板的表面上。当基板的温度上升时,固体副产物可随后经由升华而被去除。等离子体蚀刻处理使得基板表面在其上具有硅-氢(Si--H)键。
在一个实现方式中,修复和/或残留物去除处理可包括约1sccm至约20sccm范围内(例如,约5sccm)的NF3流动速率,及约50sccm至约200sccm范围内(例如,约100sccm)的NH3流动速率。可在约5Torr的压力下进行残留物去除处理,以及约30W的RF功率设定可用于离子化NF3和NH3。可通过将基板在约120摄氏度或更高的温度下退火约5至约100秒(例如,约60秒)而接着将副产物自基板表面升华。基于氟的清洁的其他实现方式包含在等离子体或热中反应NH3气体与F2或无水HF气体以蚀刻SiO2原生氧化物。气体流量比的实例可为在15摄氏度至130摄氏度的温度下氟气比NH3气体的流量比为1:1至1:10。
接下来,在操作250,外延层沉积在含硅基板的表面上。基板的表面可以是经蚀刻的表面。基板的表面是无污染的,这提高了随后形成在基板的表面上的外延层的品质。在一个实例中,外延沉积可以是在小于800摄氏度的温度下进行的选择性外延沉积处理。在此实例中,温度经设定使得它不会超过800摄氏度,而为脆弱的特征限制晶片热预算(thermalbudget),如果过热的话,脆弱的特征可能扭曲或散开。在一个实现方式中,使用高温化学气相沉积(CVD)处理来沉积外延层。在此热CVD处理中,处理气体,例如,二氯硅烷、硅烷、乙硅烷、锗、氯化氢或以上各者的组合,用于沉积外延层。处理温度在800摄氏度之下,且处理压力为5至600Torr之间。在一些实现方式中,在不将基板暴露于大气的情况下(例如,不破坏真空),施行操作220、230、240及250。当施行操作220、230、240及250时,减少了在界面处的污染物,且所形成的外延层是相对无缺陷的。
在工艺序列200的一个实例中,在电容耦合等离子体处理腔室(例如,处理腔室400;或可购自加州圣克拉拉的应用材料公司的SiCoNiTM清洁腔室)中进行清洁处理(操作220)。在电容耦合等离子体处理腔室(例如,处理腔室400)或电感耦合等离子体处理腔室(例如,等离子体处理腔室500)中施行硅蚀刻处理(操作230)。在电容耦合等离子体处理腔室(例如,处理腔室400)或电感耦合等离子体处理(例如,等离子体处理腔室500)中施行残留物去除处理(操作230)。在一个实现方式中,这两个操作220和230皆在单一处理腔室中进行,如在图3至4中所示的腔室中的一个。在一个实现方式中,两个操作220和230皆在SiCoNiTM清洁腔室中进行。自其他制造商取得的腔室也可用于实现方式本说明书中所述的实现方式。
图3图解根据本公开内容的一个实现方式的工艺序列300。工艺序列300开始于操作310,将基板(例如,基板102)定位到处理腔室的处理区域,例如,图4所示的处理腔室400的基板处理区域401或图5所示的等离子体处理腔室500的基板处理区域501。基板可定位在基板支撑件上(例如,基板支撑件432或基板支撑件515),其定位在处理区域中(例如,基板处理区域401或基板处理区域501)。
任选地,在操作320,施行氧化物去除或“氧化穿破(breakthrough)”处理。在操作320中,基板表面上的原生氧化物由清洁处理去除。可以使用从基板去除氧化物而不显著损伤基板的任何合适清洁处理。合适的清洁处理包括溅射蚀刻处理、基于等离子体的氧化物蚀刻处理或以上各者的组合。示例性的基于等离子体的氧化物蚀刻处理包括电感耦合等离子体处理。在一个实现方式中,清洁处理是基于等离子体的氧化物蚀刻处理。在一个实现方式中,基于等离子体的氧化物蚀刻处理是电感耦合等离子体处理。
在一个实现方式中,基于等离子体的氧化物蚀刻处理包含将基板暴露于含氟前驱物与惰性气体的等离子体流出物,同时施加偏压到基板。该惰性气体可以是重惰性气体(heavy inert gas)。该基于等离子体的氧化物蚀刻处理可以是电容耦合等离子体处理或电感耦合等离子体处理。该等离子体可在原位(in-situ)或远程形成。
在一个实现方式中,基于等离子体的氧化物蚀刻处理包括将三氟化氮(NF3)的流引入基板处理区域。氟的其他源可添加或替换三氟化氮。一般来说,含氟前驱物可流入基板处理区域,及含氟前驱物包括自以下所组成的群组中选择的至少一个前驱物:双原子氟(F2)、单原子氟(F)、三氟化氮(NF3)、五氟化氮(NF5)、六氟化硫(SF6)、二氟化氙(XeF2)、四氟化碳(CF4)、八氟环丁烷(C4F8)、三氟甲烷(CHF3)、氟化氢(HF)及以上各者的组合。
基于等离子体的氧化物蚀刻处理进一步包括将惰性气体流入基板处理区域,在该基板处理区域中惰性气体与三氟化氮一起在等离子体中同时被激发。该惰性气体可选自以下惰性气体所组成的群组中,包括:氦(He)、氩(Ar)、氪(Kr)、氙(Xe)及以上各者的组合。该惰性气体可以是重惰性气体。如本说明书所述,重惰性气体包括氩(Ar)、氪(Kr)、氙(Xe)以及以上各者的组合。不受到理论所局限,但据信使用重惰性气体提供更高的离子密度,而将氟离子拉向高深宽比特征140的底表面160。据信更高的离子浓度有更有效率的氧化物去除。
这些前驱物通常通过前驱物/气体入口输送到基板处理区域。在一些实现方式中,这些气体可在引入到基板处理区域之前被混合。在一些实现方式中,这些气体可分别地被引入到基板处理区域。
基于等离子体的氧化物蚀刻处理可包含维持气体流量比(惰性气体:含氟前驱物)以达到所需氧化物的蚀刻选择性。在一个实现方式中,使用的气体流量比(Ar:NF3)介于80:1至150:1(例如,80:1至100:1;110:1至130:1;120:1)之间。不同气体的流动速率和比例可经调整以控制蚀刻速率和蚀刻选择性。
在所公开的特定实现方式中,以约1sccm至25sccm之间的流动速率供应含氟气体(例如,NF3),及以约0slm(每分钟标准公升)至4slm的流动速率供应惰性气体(例如,Ar)。本领域普通技术人员将认识到,可根据数个因素使用其他气体和/或),所述数个因素包括处理腔室构造、基板尺寸、几何形状和特征布局。在公开内容的实现方式中,可以以约1sccm至约25sccm之间、约2sccm至约20sccm之间、约4sccm至约15sccm之间或约5sccm至约12sccm之间的流动速率供应含氟前驱物(例如,NF3)。可以以约1slm至约3slm之间;约1slm至约2slm之间;约2slm至约3slm之间的流量供应惰性气体(例如,Ar)。
在一个实现方式中,惰性气体/含氟前驱物混合物中的含氟前驱物(例如,NF3)的体积浓度可小于约10%,或者更具体地小于约5%,或甚至小于约1%。在特定实现方式中,含氟前驱物的体积浓度为约0.1%至约10%之间(例如,约0.1%至约1%之间;或者约1%至约5%之间)。
在一个实现方式中,惰性气体/含氟前驱物混合物中的惰性气体(如Ar)的体积浓度可大于约90%,或者更具体地大于约95%,或甚至大于约99%。在特定实现方式中,惰性气体的体积浓度为约90%至约99.9%之间(例如,约90%至约95%之间;或者约95%至约99.9%之间)。
在操作320期间,基板的温度可以是在约0摄氏度至约200摄氏度之间。在一些实现方式中,在氧化物去除处理期间,基板的温度可以大于或约为20摄氏度、大于或约为30摄氏度、大于或约为40摄氏度、大于或约为60摄氏度、大于或约为80摄氏度或者大于或约为100摄氏度。在所公开的实现方式中,基板温度可以小于或约为120摄氏度、小于或约为100摄氏度、小于或约为80摄氏度、小于或约50为摄氏度,且可以是在约30摄氏度至约50摄氏度之间。
操作320亦包括以下步骤:将能量施加于惰性气体和含氟前驱物,当惰性气体和含氟前驱物在远程等离子体区域或基板处理区域中时以产生等离子体流出物。如本领域的普通技术人员将理解的,该等离子体可以包括多个带电和中性物质,其中包括自由基和离子。可使用公知技术(例如,射频激发、电容耦合功率、电感耦合功率等)来产生等离子体。在本公开内容的实现方式中,等离子体源功率可以是约10瓦至约3000瓦之间(例如,约10瓦至约1000瓦之间;约200瓦至约2500瓦之间;约300瓦至约2000瓦特之间;或约500瓦至约1500瓦之间)。
在操作320期间,基板处理区域内的压力可低于或约为10Torr、低于或约为5Torr、低于或约为1Torr、低于或约为0.7Torr、低于或约为0.5Torr或者低于或约为0.1Torr。在本公开内容的实现方式中,该压力可高于或约为0.5mTorr、高于或约为0.01Torr、约为0.1Torr、高于或约为0.5Torr、高于或约为0.7Torr或者高于或约为1Torr。在一些实现方式中,在基板处理区域中的压力可为约0.5mTorr至约700mTorr之间(例如,约2mTorr至200mTorr之间;或约5mTorr至约100mTorr之间;或约10mTorr至约50mTorr之间;或约5mTorr至约80mTorr之间)。
在操作320期间,RF偏压经由具有RF功率供应的基板支撑件而施加到基板。施加到基板支撑件的功率在基板的上表面上产生负电压形式的基板偏压。此负电压用来吸引来自形成在基板处理区域中的等离子体的离子到基板的上表面。RF偏压可具有约50W至约800W范围内的功率级。在一个实现方式中,RF偏压可具有约200W至约400W范围内的功率级。例如,RF偏压可具有100W、200W、300W或400W的功率级。在一些实现方式中,RF偏压的频率会小于与腔室的线圈耦接的RF功率源的频率。例如,在一些实现方式中,RF偏压频率可以是2MHz,而RF功率源频率可以是13.56MHz。在另一实现方式中,RF偏压频率可为约13.56MHz及RF功率源频率可为约60MHz。例如,基板定位在基板支撑表面521上,以及由绝缘内部电极524施加偏压到基板。不受到理论所局限,但据信偏压施加给基板加速离子往基板表面,以及改善氧化物从基板表面去除。
在一些实现方式中,用于产生RF偏压的功率可为脉冲的。功率可施加到功率源达一所需时间,及接着停止一所需时间。功率循环可在所希望的频率和工作周期下重复所需的循环数。在一些实现方式中,RF偏压可在约1Hz至约50000Hz之间(例如,约5000Hz至约10000Hz之间)的频率下脉冲。在其他实现方式中,RF偏压脉冲可依约5%至约40%之间的工作周期(每周期供电时间比未供电时间的比)进行,例如,约15%至约20%之间。
在操作330中,将暴露的含硅材料从含硅基板去除,以去除嵌入的杂质和表面不规则。在一个实现方式中,约15纳米至约25纳米的硅材料从含硅基板的表面被去除。操作330通常是偏压处理(即,RF偏压施加到基板)。可使用任何合适的处理来将硅从含硅基板去除。在一个实现方式中,使用硅蚀刻处理将硅从含硅基板去除。硅蚀刻处理可以是基于等离子体的硅蚀刻处理。该基于等离子体的硅蚀刻处理可以是电容耦合等离子体处理或电感耦合等离子体处理。在一个实现方式中,基于等离子体的硅蚀刻处理进一步包括施加偏压到基板。不受到理论所局限,但据信基于等离子体的硅蚀刻处理将已经受到操作320的氧化物去除处理引起的晶格损坏的含硅材料去除。
在一个实现方式中,基于等离子体的硅蚀刻处理包含将基板暴露于含氯前驱物与含氢前驱物的等离子体流出物,同时施加偏压到基板。
在基于等离子体的硅蚀刻处理期间,将蚀刻处理气体引入到处理腔室的基板处理区域。蚀刻处理气体可包括一或多个蚀刻前驱物。蚀刻前驱物通过前驱物/气体入口输送到基板处理区域。在一些实现方式中,蚀刻前驱物可在引入到基板处理区域之前被混合。在一些实现方式中,蚀刻前驱物可分别地被引入到基板处理区域。可通过施加交流电(AC)电源到一或多个感应线圈(例如,图5所示的内线圈天线540、中间线圈天线550及侧线圈天线560)所产生的电感耦合等离子体来激发蚀刻前驱物。蚀刻前驱物包括含氯前驱物、含氢前驱物以及任选的惰性气体。含氯前驱物通常是氯气(Cl2)。
基于等离子体的硅蚀刻处理进一步包括将含氢前驱物流入基板处理区域,在该基板处理区域中,含氢前驱物与含氯前驱物一起在等离子体中同时被激发。在一些实现方式中,含氢前驱物可包括原子氢(H)、双原子氢(H2)、氨(NH3)、烃类、不完全被卤素取代的烃、以上各者的组合或类似物。
在一个实现方式中,基于等离子体的硅蚀刻处理可包含维持气体流量比(含氢前驱物:含氯前驱物)以达到所需的硅的蚀刻选择性。在一个实现方式中,使用的气体流量比(如H2:Cl2)介于1:1至10:1(例如,1:1至5:1;5:1至10:1)之间。
含氯前驱物和含氢前驱物的流量可进一步包括一或多个相对惰性气体。该惰性前驱物可包括以下气体中的至少一者:氩(Ar)、氦(He)、氖(Ne)、氙(Xe)、氮气(N2)和类似物。在一些实现方式中,基板处理区域可基本上由以下组成:Cl2、氢和惰性气体、Cl2和氢、Cl2和惰性气体、或Cl2、氢和氩。术语“基本上”允许其他元素浓度(其可以不可避免地存在于通常的处理系统中)以及低浓度,其对硅蚀刻处理没有不利的影响。
在所公开的特定实现方式中,以约1sccm(每分钟标准立方公分)至25sccm之间的流动速率供应含氯前驱物(例如,Cl2),以约25sccm至400sccm的流动速率供应含氢前驱物(H2),及以约0slm(每分钟标准公升)至3slm的流动速率供应Ar。本领域普通技术人员将认识到,可根据数个因素使用其他气体和/或流,所述数个因素包括处理腔室构造、基板尺寸、几何形状和特征布局。在本公开内容的实现方式中,可以以约25sccm至约400sccm之间、约50sccm至约300sccm之间、约75sccm至约200sccm之间或约90sccm至约150sccm之间的流动速率供应含氢前驱物。在所公开的实现方式中,可以以约1sccm至约25sccm之间、约2sccm至约20sccm之间、约4sccm至约15sccm之间或约5sccm至约12sccm之间的流动速率供应含氯前驱物。
在操作330期间,基板的温度可以是在约0摄氏度至约200摄氏度之间。在一些实现方式中,在硅去除处理期间,基板的温度可以大于或约为20摄氏度、大于或约为30摄氏度、大于或约为40摄氏度、大于或约为60摄氏度、大于或约为80摄氏度或者大于或约为100摄氏度。在所公开的实现方式中,基板温度可以小于或约为120摄氏度、小于或约为100摄氏度、小于或约为80摄氏度、小于或约50为摄氏度,且可以是在约30摄氏度至约50摄氏度之间。
操作330包括以下步骤:施加能量到含氯前驱物、含氢前驱物和任选的惰性气体(如果存在的话)以产生用于处理和蚀刻基板的表面的自由基。如本领域普通技术人员将理解到的,该等离子体可包括多个带电和中性物质,其中包括自由基和离子。可使用公知技术(例如,射频激发、电容耦合功率、电感耦合功率和类似者)来产生等离子体。在一个实现方式中,使用电感耦合等离子体功率供应单元施加能量。在一个实现方式中,电力供应到图3截面图中所示的感应线圈。等离子体源功率可以是约10瓦至约2500瓦之间(例如,约50瓦至约1000瓦之间、约50瓦至约500瓦之间;约100瓦至约400瓦之间或约200瓦至约300瓦之间)。
在实现方式中,施加于本说明书所述的本地或远程等离子体的RF频率可是小于约200KHz的低RF频率、约10MHz至约15MHz之间的高RF频率或者大于或约为1GHz的微波频率。
在操作330期间,RF偏压经由具有RF功率供应的基板支撑件施加到基板。施加到基板支撑件的功率在基板的上表面上产生负电压形式的基板偏压。此负电压用来吸引来自形成在基板处理区域中的等离子体的离子到基板的上表面。RF偏压可具有约50W至约800W范围内的功率级。在一个实现方式中,RF偏压可具有约200W至约400W范围内的功率级。例如,RF偏压可具有100W、200W、300W或400W的功率级。在一些实现方式中,RF偏压的频率会小于与腔室的线圈耦接的RF功率源的频率。例如,在一些实现方式中,RF偏压频率可以是2MHz,而RF功率源频率可以是13.56MHz。在另一实现方式中,RF偏压频率可为约13.56MHz及RF功率源频率可为约60MHz。例如,基板定位在基板支撑表面521上,及由绝缘内部电极524施加偏压到基板。不受到理论所局限,但据信偏压施加给基板加速离子往基板表面,以及改善氧化物从基板表面去除。
在一些实现方式中,用于产生RF偏压的功率可为脉冲的。可施加功率到功率源达一所需时间,及接着停止一所需时间。功率循环可在所希望的频率和工作周期下重复所需的循环数。在一些实现方式中,RF偏压可在约1Hz至约50000Hz之间(例如,约5000Hz至约10000Hz之间)的频率下脉冲。在其他实现方式中,RF偏压脉冲可依约5%至约40%之间的工作周期(每周期供电时间比未供电时间的比)进行,例如,约15%至约20%之间。
在操作330期间,基板处理区域内的压力可低于或约为10Torr、低于或约为5Torr、低于或约为1Torr、低于或约为0.7Torr、低于或约为0.5Torr或者低于或约为0.1Torr。在本公开内容的实现方式中,该压力可高于或约为0.5mTorr、高于或约为0.01Torr、约为0.1Torr、高于或约为0.5Torr、高于或约为0.7Torr或者高于或约为1Torr。在一些实现方式中,在基板处理区域中的压力可为约0.5mTorr至约700mTorr之间(例如,约2mTorr至200mTorr之间;或约5mTorr至约100mTorr之间;或约10mTorr至约50mTorr之间;或约5mTorr至约80mTorr之间)。
在一个实现方式中,蚀刻气体中的含氯前驱物(例如,Cl2)的体积浓度可小于约10%,或者更具体地小于约5%,或甚至小于约1%。在特定实现方式中,含氟前驱物的体积浓度为约1%至约10%之间。
在一个实现方式中,蚀刻气体中的含氢前驱物(如H2)的体积浓度可大于约90%,或者更具体地大于约95%,或甚至大于约99%。在特定实现方式中,氯的体积浓度为约90%至约99%之间。
在一个实现方式中,含氯前驱物是Cl2,含氢气体是氢气,及任选的惰性气体是氩、氦或两者。
任选地,在操作340,经蚀刻的含硅基板暴露于处理工序。不受到理论所局限,但据信处理工序修复和/或移除操作330的硅蚀刻处理期间损坏的键合。操作340通常是无偏压处理(即,RF偏压没有施加到基板)。在操作340的处理工序期间修复的损坏可包括操作330期间、操作320的氧化物去除处理期间或以上两者期间蚀刻产生的损坏。可使用任何合适的处理来修复损坏的键合和/或将损坏的键合从经蚀刻的含硅基板去除。操作340的表面处理工序可类似于操作330的蚀刻处理,除了在操作340期间没有将偏压施加于基板。可使用在操作340中所述的气体和处理条件来施行操作340的表面处理工序。在一个实现方式中,来自操作330的含氯前驱物和含氢前驱物的流继续,及在操作330中施加到基板的RF偏压在操作340期间被关闭。
可在操作340期间施行的其他适合的表面处理工序包括溅射蚀刻处理、等离子体蚀刻处理、湿蚀刻处理或以上各者的组合。示例性的湿蚀刻处理包括使用氢氟酸(HF)的湿蚀刻处理。示例性的清洁处理包括NF3/NH3基于等离子体的处理、基于热氢氟酸(“HF”)/NH3的处理、湿HF处理或NF3/NH3电感耦合等离子体处理。
可重复操作320、330或340中的任一者直到实现外延沉积之前所需的表面预备。
接下来,在操作350,外延层沉积在基板的表面上。可使用任何合适的外延沉积处理。基板的表面是无污染的,这提高了随后形成在基板的表面上的外延层的品质。在一个实例中,外延沉积可以是在小于800摄氏度的温度下进行的选择性外延沉积处理。在此实例中,温度经设定使得它不会超过800摄氏度,而为了脆弱的特征限制晶片热预算,如果过热的话,脆弱的特征可能扭曲或散开。在一个实现方式中,使用高温化学气相沉积(CVD)处理来沉积外延层。在此热CVD处理中,处理气体例如,二氯硅烷、硅烷、乙硅烷、锗、氯化氢或以上各者的组合,用于沉积外延层。处理温度在800摄氏度之下,且处理压力为5至600Torr之间。当施行操作320、330、340及350时,没有将基板暴露于大气,减少了在界面处的污染物,且所形成的外延层是相对无缺陷的。
在工艺序列300的一个实例中,在电容耦合等离子体处理腔室(例如,等离子体处理腔室500)中进行清洁处理(操作320)。在电容耦合等离子体处理腔室(例如,处理腔室400)或电感耦合等离子体处理腔室(例如,等离子体处理腔室500)中施行硅蚀刻处理(操作330)。在电容耦合等离子体处理腔室(例如,处理腔室400)或电感耦合等离子体处理腔室(例如,等离子体处理腔室500)中施行表面处理工序(操作340)。在一个实现方式中,操作320、330和340在单一处理腔室中进行,如在图4至5中所示的腔室中的一个。
图4是可经调整以施行上述电容耦合等离子体处理的任一者的处理腔室400的示意性截面图。处理腔室400可以是清洁腔室。在一个实现方式中,处理腔室400经构造而产生电容耦合等离子体。处理腔室400可对于施行热或基于等离子体的氧化处理和/或等离子体辅助干蚀刻处理特别有用。处理腔室400包括腔室主体412、盖组件414和支撑组件416。处理腔室400的基板处理区域401由盖组件414、支撑组件416和腔室主体412所界定。盖组件414设置在腔室主体412的上端,且支撑组件416至少部分地设置在腔室主体412内。真空系统可以用于将气体从处理腔室400去除。真空系统包括真空端口421耦接的真空泵418,真空埠421设置在腔室主体412中。
盖组件414包括至少两个堆叠组件,所述至少两个堆叠组件经构造而于堆叠组件之间形成凹孔或等离子体容积423。第一电极420设置于第二电极422的垂直上方,第二电极422限制等离子体容积423。第一电极420连接到功率源424(例如,射频(RF)电源供应),且第二电极422连接到地或源极返回(sourcereturn),而在第一电极420和第二电极之间形成电容422。盖组件414亦包括一个或多个气体入口426,一个或多个气体入口426用于将清洁气体穿过阻隔板428和气体分配板430提供到基板表面。清洁气体可以是蚀刻剂或离子化的活性自由基,例如,离子化的氟、氯或氨,或氧化剂,例如,臭氧。另外,处理腔室400包括用于控制处理腔室400内的控制处理的控制器402。
支撑组件416可包括基板支撑件432以在处理期间将基板410支撑于在基板支撑件上。基板支撑件432可由轴436耦接至致动器434,轴436延伸穿过在腔室主体412的底表面形成的中心位置开口。致动器434可由波纹管(未示出)弹性密封于腔室主体412,波纹管防止从轴436周围真空泄漏。致动器434允许基板支撑件432在处理位置与较低的传送位置之间的腔室主体412内垂直移动。传送位置是在腔室主体412的侧壁中形成的狭缝阀的开口略微下方。
基板支撑件432具有平坦的或实质平坦的表面,以用于支撑待处理的基板于基板支撑件上。基板支撑件432可由致动器434而在腔室主体412内垂直移动,致动器434由轴436耦接到基板支撑件432。在操作中,基板支撑件432可提升到接近盖组件414的位置以控制正在处理的基板410的温度。如此一来,基板410可经由气体分配板430发射的辐射或来自气体分配板430的对流来加热。
可利用不同的清洁处理来清洁基板表面。在一实现方式中,包含He和NF3的远程等离子体通过气体分配板(例如喷头,)被引入处理腔室。NH3经由单独的气体入口直接注入腔室。
图5是根据本说明书所述的实现方式的等离子体处理腔室500的截面图。图5所示的等离子体处理腔室500包括上部分528和下部分530。等离子体处理腔室500具有侧壁505和盖组件510。侧壁505具有轴对称的形状,例如,圆柱体。侧壁505包括轴对称的(例如,圆柱形)介电侧窗506和腔室衬垫507,其可由金属形成。等离子体处理腔室500内的基板支撑件515包括基座520与支柱525,基座520具有基板支撑表面521,基板支撑表面521面向盖组件510且用于固持基板522,支柱525支撑基座520。等离子体处理腔室500的基板处理区域501由盖组件510、基座520和侧壁505所限定。基座520可包括绝缘内部电极524。任选地,静电夹持(ESC)电压和/或RF等离子体偏压功率可经由延伸穿过支柱525的缆线532提供给绝缘内部电极524。缆线532可与RF偏压电源(例如,RF阻抗匹配网络和/或一个RF功率产生器)耦接作为RF偏压馈给到绝缘内部电极524。可提供缆线532作为同轴传输线,缆线可以是刚性的(或弹性的),或作为弹性同轴缆线。
等离子体源功率由一组线圈天线电感耦接入基板处理区域501,该组线圈天线包括内线圈天线540、中间线圈天线550与任选的外或侧线圈天线560,以上全部彼此相对同心地设置且与侧壁505的对称轴同轴。盖组件510包括盘形介电窗,内线圈天线540和中间线圈天线550穿过盘形介电窗而将电感耦合RF等离子体源功率耦接到基板处理区域501。盘形介电窗512与侧壁505同轴且具有平行于基板支撑表面521的平面的盘平面。侧线圈天线560将RF等离子体源功率穿过介电侧窗506电感耦接到基板处理区域501。介电窗506和512可统称为窗组件。
腔室衬垫507被包围于下腔室主体570内,下腔室主体570包括圆柱形下腔室主体侧壁575和下腔室主体底板580。圆柱形下腔室侧壁575和下腔室底板580包围抽气区域581。真空泵590设置在下腔室主体底板580中的真空泵开口595中,且相对于圆柱形下腔室主体侧壁575的对称轴置中。围阻(confinement)壁596与弹性波纹管597包围在内部中心空间598中的基板支撑件515,围阻壁596与基板支撑件515同轴,弹性波纹管597在基座520与围阻壁597之间延伸。内部中心空间598与真空泵590抽空的体积隔离,该体积包括抽气区域581和基板处理区域501。
功率可从共同的RF源或从不同的RF源供应,例如,RF匹配(RF阻抗匹配网络)542和544。可采用RF阻抗匹配网络而具有双输出以第一RF产生器驱动线圈天线中的两个线圈天线,而第二RF产生器与第二RF阻抗匹配网络驱动第三线圈天线。或者,三个RF产生器可分别通过三个个别的RF阻抗匹配网络驱动三个线圈天线。在又一另外的实现方式中,单一RF功率产生器可通过具有三个输出的RF阻抗匹配网络来驱动所有三个线圈天线。在前述实现方式中的一些实现方式中,施加到不同线圈天线的RF功率级可分别地经调整以控制等离子体离子密度的径向分布。虽然所述实现方式包括三个线圈天线540、550和560,但是其他实现方式可只包括上述三个线圈天线540、550和560中的一或两个。
图6是可以用于完成图2与图3所示根据本公开内容所述实现方式的工艺序列的处理系统的示意性俯视图。处理系统600的一个实例是可购自美国加州圣克拉拉的应用材料公司的系统。任何方便类型的传送机械手604设置在处理系统600的传送腔室602中。装载锁定606具有两个真空兼容装载锁定腔室606A、606B,耦接至传送腔室602。多个处理腔室608、610、612、614和616亦耦接至传送腔室602。多个处理腔室608、610、612、614和616可包括清洁腔室、蚀刻腔室和沉积腔室(例如,外延沉积腔室)中的至少一个。
处理腔室608可以是清洁腔室,清洁腔室经构造在沉积之前清洁基板(例如,操作220;操作320)。在一些实现方式中,处理腔室608亦经构造而在沉积之前将残留物从基板去除(例如,操作240、操作340)。处理腔室608可经构造而施行应用材料公司的SICONITM预清洁处理。处理腔室608可以是类似于图4所示的处理腔室400的电容耦合处理腔室。
处理腔室616可以是蚀刻腔室,以用于蚀刻基板(例如,操作230;操作330)。处理腔室616可以是类似于图5所示的等离子体处理腔室500的电感耦合等离子体处理腔室。在一些实现方式中,处理腔室616亦经构造以施行氧化物去除(例如,操作320)及残留物去除(例如,操作340)中的至少一个,以在沉积之前将残留物从基板去除。在一些实现方式中,氧化物去除(例如,操作320)、硅蚀刻(例如,操作330)及残留物去除(例如,操作340)都在电感耦合等离子体处理腔室(例如,处理腔室616)中施行。处理腔室610、612和614可以是材料沉积腔室,例如,能够施行外延生长处理(例如,操作250;操作350)的外延沉积腔室。
处理系统600可用于施行上述的工艺序列200或工艺序列300。在处理期间,待处理的基板可到达在舱(pod)(未示出)中的处理系统600。基板由工厂界面机器人(未示出)从舱传送到真空兼容装载锁定腔室606A、606B。基板接着被传送腔室602(通常保持在真空状态)中传送机械手604搬运。传送机械手604接着将基板装载到处理腔室608或处理腔室616,以用于如在操作220和操作320中所述的清洁。在处理腔室608中施行操作220的实现方式中,传送机械手604接着将基板从处理腔室608拾起并将基板装载于蚀刻处理腔室616中,以用于如操作230所述的蚀刻。基板可保留在处理腔室616中,或者传送机械手604接着将基板从处理腔室616拾起并将基板装载于处理腔室608中,以用于如操作240与操作340所述的残留物去除。传送机械手604接着将基板从处理腔室608或处理腔室616拾起并将基板装载到处理腔室610、612或614中(全部都可以),以用于材料沉积。外延层可在处理腔室610、612或614中已被清洁过的基板上生长,如操作250和操作350所述。因为是在相同的处理系统中进行所有的操作(210、220、230、240和250;310、320、330、340和350),所以当基板被传送到各个腔室时,基板没有暴露于大气(例如,没有破坏真空),如此降低了污染的机会并提高所沉积的外延膜的品质。
传送腔室602可在处理期间保持在真空下和/或在低于大气压的压力下。传送腔室的真空程度可经调整以匹配相应的处理腔室的真空程度。例如,当基板从传送腔室传送到处理腔室(或反之亦然)时,传送腔室和处理腔室可维持在相同的真空程度。然后,当基板从传送腔室传送到装载锁定腔室或批量装载锁定腔室(或反之亦然)时,传送腔室的真空程度可匹配装载锁定腔室或批量装载锁定腔室的真空程度,即便装载锁定腔室或批量装载锁定腔室与处理腔室的真空程度可能不同。因此,传送腔室的真空程度可以被调整。在特定实现方式中,可能需要用惰性气体(例如,氮)回填传送腔室。在一个实现方式中,基板在具有大于90%的N2的环境中传送。在特定实现方式中,基板在高纯度的NH3环境中传送。在一个实现方式中,基板在具有大于90%的NH3环境中传送。在特定实现方式中,基板在高纯度的H2环境中传送。在一个实现方式中,基板在具有大于90%H2的环境中传送。
总之,本公开内容的某些优点包括在外延沉积于基板表面上之前改善清洁基板表面。改善清洁基板表面使得改善随后形成的外延沉积层的品质。本发明人已经发现到,群集处理腔室通过真空传送减少暴露于大气且相应地减少暴露于氧污染物。例如,在外延沉积之前施行硅的电感等离子体氯蚀刻而没有将基板暴露于蚀刻与沉积之间的大气(如没有破坏真空),这减少暴露于氧污染。在一些实现方式中,施行原生氧化物去除处理之后是硅蚀刻处理和外延沉积处理。由于大多数原生氧化物去除处理是不稳定的,所以一旦暴露于大气,原生氧化物开始在含硅表面上重新生长。原生氧化物移除腔室与硅蚀刻和外延沉积聚集在一起也使得氧污染减少。此外,在硅蚀刻处理之后的原生氧化物去除、硅蚀刻和残留物去除的处理可以在相同等离子体处理腔室中施行,而减少腔室所占空间和相关的成本。
本说明书所用的“基板”可以是在其上形成了层或没有在其上形成层的支撑基板。图案化基板可以是各种掺杂浓度和分布的绝缘体或半导体且可以是集成电路制造中所用类型的半导体基板。图案化基板的暴露的“硅”主要为Si,但可以包括少数浓度的其他元素组分,例如,氮、氧、氢、碳和类似物。图案化基板的暴露的“氮化硅”主要为Si3N4,但可以包括少数浓度的其他元素组分,例如氧、氢、碳和类似物。图案化基板的暴露的“氧化硅”主要为SiO2,但可以包括少数浓度的其他元素组分,例如,氮、氢、碳和类似物。
所用术语“前驱物”是指任何处理气体,其参与将材料自表面去除或将材料沉积于表面上的反应。“等离子体流出物(Plasma effluents)”是在“激发态”中,其中至少部分气体分子处于振动激发、解离和/或离子化的状态中。
整篇所用的术语“缝隙(gap)”和“沟槽(trench)”没有暗示蚀刻几何形状具有较大的水平深宽比(horizontal aspect ratio)。自表面上方观察,沟槽可能会出现圆形、椭圆形、多边形、矩形或其他各种形状。沟槽可能是在围绕一区(island)材料的槽沟(moat)形状中。所用术语“通孔(via)”是指低深宽比的沟槽(从上方观察),其可能或可能没有以金属填充以形成垂直电连接。如本说明书所使用的,共形的蚀刻处理是指在表面上大致均匀去除的材料与该表面是相同的形状,即,经蚀刻的层的表面与蚀刻前表面的表面大致是平行的。本领域具有普通技术的人员将认识到,经蚀刻的界面可能无法100%共形,且因此术语“大致(generally)”允许可接受的公差。
已经披露了若干个实现方式,本领域普通技术人员将了解,在不背离所公开内容的实现方式的精神下,可使用各种变化、替代构造与等效形式。此外,许多公知的处理和元件没有被描述出来以避免不必要地模糊本公开内容。因此,上述描述不应被视为对本公开内容范围的限制。
当介绍本公开内容的元件或示例性的方面或实现方式时,冠词“一(a/an)”、“该”和“所述”意指有一或多个元素。
术语“包括”、“包含”和“具有”意指包含且表示可以有除了所列元件之外的额外元件。
除非另有说明,否则所有的量、比率、比例及其他测量值均以重量计。除非另有说明,否则所有的百分比是指基于根据本公开内容的实践的总组成的重量百分比。
虽然前述针对本公开内容的实现方式,但在不背离本公开内容的基本范围的情况下可设计本公开内容的其他与进一步的实现方式,且本发明的范围由随附的权利要求书来界定。
Claims (15)
1.一种处理基板的方法,包括以下步骤:
将图案化基板传送到基板处理区域,其中所述图案化基板具有定位于高深宽比特征的底部处的暴露的含硅表面,所述高深宽比特征的深度是所述高深宽比特征的宽度的十倍以上;
通过使用等离子体蚀刻处理来将氧化物从所述暴露的含硅表面去除,包含以下步骤:
将含氟前驱物和惰性气体流入所述基板处理区域,同时施加等离子体功率以形成氟离子和惰性气体离子;及
以所述氟离子和所述含氢离子蚀刻所述含硅表面,同时施加偏压至所述基板以将氧化物去除并形成经蚀刻的含硅表面;及
在所述经蚀刻的含硅表面上形成外延层,其中在所述图案化基板不暴露于大气的条件下施行所述等离子体蚀刻处理及所述形成外延层的步骤。
2.如权利要求1所述的方法,其中所述惰性气体选自以下所组成的群组中:氩(Ar)、氪(Kr)、氙(Xe)及以上各者的组合。
3.如权利要求2所述的方法,其中所述含氟前驱物选自以下所组成的群组中:双原子氟(F2)、单原子氟(F)、三氟化氮(NF3)、五氟化氮(NF5)、六氟化硫(SF6)、二氟化氙(XeF2)、四氟化碳(CF4)、八氟环丁烷(C4F8)、三氟甲烷(CHF3)、氟化氢(HF)及以上各者的组合。
4.如权利要求3所述的方法,其中所述等离子体是电感耦合等离子体。
5.如权利要求1所述的方法,其中所述含氟前驱物和所述惰性气体的流动速率使得惰性气体比氟的原子流量比介于80:1至150:1之间。
6.如权利要求1所述方法,其中所述图案化基板维持在约20摄氏度至约50摄氏度之间的温度。
7.如权利要求1所述的方法,其中所述等离子体蚀刻处理是在约5mTorr至约80mTorr之间的压力下施行。
8.一种处理基板的方法,包括以下步骤:
将图案化基板传送到基板处理区域,其中所述图案化基板具有定位于高深宽比特征的底部处的暴露的含硅表面,所述高深宽比特征的深度是所述高深宽比特征的宽度的十倍以上;
通过使用第一等离子体蚀刻处理来将氧化物从所述暴露的含硅表面去除,包含以下步骤:
将三氟化氮(NF3)和氩气流入所述基板处理区域,同时施加等离子体功率以形成含氟离子和氩离子,其中NF3和氩气的流动速率导致氩气比NF3的原子流量比介于80:1至150:1之间;及
以所述氟离子和所述氩离子蚀刻所述含硅表面,同时施加偏压至所述基板以形成经蚀刻的含硅表面;及
在所述经蚀刻的含硅表面上形成外延层,其中在所述图案化基板不暴露于大气的条件下施行所述等离子体蚀刻处理及在所述图案化基板的所述表面上形成外延层的步骤。
9.如权利要求8所述的方法,其中所述偏压具有约200W至400W的范围内的功率级。
10.如权利要求9所述的方法,其中所述图案化基板维持在约20摄氏度至约50摄氏度之间的温度。
11.如权利要求10所述的方法,其中所述等离子体蚀刻处理是在约5mTorr至约80mTorr之间的压力下施行。
12.一种处理基板的方法,包括以下步骤:
将图案化基板传送到基板处理区域,其中所述图案化基板具有定位于高深宽比特征的底部处的暴露的含硅表面,所述高深宽比特征的深度是所述高深宽比特征的宽度的十倍以上;
通过使用第一等离子体蚀刻处理来将氧化物从所述暴露的含硅表面去除,包含以下步骤:
将含氟前驱物和重惰性前驱物流入所述基板处理区域,同时施加等离子体功率以用含氟离子轰击所述暴露的含硅表面,并同时施加偏压给所述基板;
通过使用第二等离子体蚀刻处理来蚀刻所述暴露的含硅表面,包含以下步骤:
将包含含氯前驱物和含氢前驱物的蚀刻气体混合物流入所述基板处理区域,同时施加等离子体功率以形成含氯离子和含氢离子;及
以所述含氯离子和所述含氢离子蚀刻所述含硅表面;
由第三等离子体蚀刻处理将蚀刻剂残余物从所述图案化基板的所述含硅表面去除,包含以下步骤:
将所述含氯前驱物和所述含氢前驱物流入所述基板处理区域,同时施加偏压给所述基板并施加所述等离子体功率以形成含氯离子和含氢离子;及
以所述含氯离子和所述含氢离子蚀刻所述含硅表面,同时施加所述偏压给所述基板;及
在所述图案化基板的所述经蚀刻的含硅表面上形成外延层,其中在所述图案化基板不暴露于大气的条件下施行所述第三等离子体蚀刻处理及在所述图案化基板的所述表面上形成外延层的步骤。
13.如权利要求12所述的方法,其中所述重惰性前驱物选自以下所组成的群组中:氩(Ar)、氪(Kr)、氙(Xe)及以上各者的组合。
14.如权利要求12所述的方法,其中所述含氟前驱物选自以下所组成的群组中:双原子氟(F2)、单原子氟(F)、三氟化氮(NF3)、五氟化氮(NF5)、六氟化硫(SF6)、二氟化氙(XeF2)、四氟化碳(CF4)、八氟环丁烷(C4F8)、三氟甲烷(CHF3)、氟化氢(HF)及以上各者的组合。
15.如权利要求12所述的方法,其中所述含氢前驱物选自以下所组成的群组中:原子氢、分子氢、氨及以上各者的组合。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562269456P | 2015-12-18 | 2015-12-18 | |
US201562269390P | 2015-12-18 | 2015-12-18 | |
US62/269,456 | 2015-12-18 | ||
US62/269,390 | 2015-12-18 | ||
PCT/US2016/066130 WO2017106089A1 (en) | 2015-12-18 | 2016-12-12 | Cleaning method |
Publications (2)
Publication Number | Publication Date |
---|---|
CN108292602A true CN108292602A (zh) | 2018-07-17 |
CN108292602B CN108292602B (zh) | 2023-08-18 |
Family
ID=59057450
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201680064740.9A Active CN108292602B (zh) | 2015-12-18 | 2016-12-12 | 清洁方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US10861693B2 (zh) |
KR (1) | KR20180085807A (zh) |
CN (1) | CN108292602B (zh) |
TW (1) | TWI692799B (zh) |
WO (1) | WO2017106089A1 (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN112840039A (zh) * | 2018-10-05 | 2021-05-25 | 朗姆研究公司 | 处理室表面移除金属污染物 |
CN114975162A (zh) * | 2021-02-26 | 2022-08-30 | 格科微电子(上海)有限公司 | 外延-刻蚀一体机 |
CN115491655A (zh) * | 2022-10-05 | 2022-12-20 | 江苏筑磊电子科技有限公司 | 一种半导体技术中用于低温清洁和沉积的微波等离子辅助方法 |
Families Citing this family (87)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
KR20180085807A (ko) * | 2015-12-18 | 2018-07-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 세정 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) * | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) * | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10217761B1 (en) | 2017-11-22 | 2019-02-26 | Macronix International Co., Ltd. | Semiconductor structure and manufacturing method thereof |
TWI641117B (zh) * | 2017-11-22 | 2018-11-11 | 旺宏電子股份有限公司 | 用於三維記憶體元件的半導體結構及其製造方法 |
WO2019108844A1 (en) * | 2017-11-30 | 2019-06-06 | Lam Research Corporation | Silicon oxide silicon nitride stack stair step etch |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
KR20220143158A (ko) | 2018-01-15 | 2022-10-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 원격 플라즈마 산화에 대한 아르곤 추가 |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US11393661B2 (en) * | 2018-04-20 | 2022-07-19 | Applied Materials, Inc. | Remote modular high-frequency source |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US11069511B2 (en) * | 2018-06-22 | 2021-07-20 | Varian Semiconductor Equipment Associates, Inc. | System and methods using an inline surface engineering source |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
EP3938818A4 (en) * | 2019-03-12 | 2022-11-30 | Magic Leap, Inc. | METHOD OF MAKING A DISPLAY DEVICE HAVING A PATTERNED LITHIUM-BASED TRANSITION METAL OXIDE |
CN111696863B (zh) * | 2019-03-15 | 2024-04-12 | 北京北方华创微电子装备有限公司 | 硅介质材料刻蚀方法 |
CN112786441A (zh) | 2019-11-08 | 2021-05-11 | 东京毅力科创株式会社 | 蚀刻方法及等离子体处理装置 |
WO2021090798A1 (ja) * | 2019-11-08 | 2021-05-14 | 東京エレクトロン株式会社 | エッチング方法 |
SG10202010798QA (en) | 2019-11-08 | 2021-06-29 | Tokyo Electron Ltd | Etching method and plasma processing apparatus |
US11456180B2 (en) | 2019-11-08 | 2022-09-27 | Tokyo Electron Limited | Etching method |
US11756793B2 (en) | 2019-12-27 | 2023-09-12 | Hitachi High-Tech Corporation | Semiconductor device manufacturing method |
US11655146B2 (en) * | 2020-11-13 | 2023-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extended acid etch for oxide removal |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6110836A (en) * | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US6171974B1 (en) * | 1991-06-27 | 2001-01-09 | Applied Materials, Inc. | High selectivity oxide etch process for integrated circuit structures |
US20020124867A1 (en) * | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
US6686292B1 (en) * | 1998-12-28 | 2004-02-03 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer |
US20050014375A1 (en) * | 2001-01-08 | 2005-01-20 | Kim Jeong-Ho | Method for cleaning substrate surface |
KR20090058005A (ko) * | 2006-08-31 | 2009-06-08 | 마이크론 테크놀로지, 인크. | 고 종횡비 피처들 및 연관된 구조체들을 형성하기 위한 선택적 식각 화학물들 |
TW201207920A (en) * | 2007-07-26 | 2012-02-16 | Applied Materials Inc | Method and apparatus for cleaning a substrate surface |
US20140213016A1 (en) * | 2013-01-30 | 2014-07-31 | Applied Materials, Inc. | In situ silicon surface pre-clean for high performance passivation of silicon solar cells |
US20150170935A1 (en) * | 2013-12-18 | 2015-06-18 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
Family Cites Families (43)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5164330A (en) * | 1991-04-17 | 1992-11-17 | Intel Corporation | Etchback process for tungsten utilizing a NF3/AR chemistry |
US5413670A (en) * | 1993-07-08 | 1995-05-09 | Air Products And Chemicals, Inc. | Method for plasma etching or cleaning with diluted NF3 |
US5888906A (en) * | 1996-09-16 | 1999-03-30 | Micron Technology, Inc. | Plasmaless dry contact cleaning method using interhalogen compounds |
US5981398A (en) * | 1998-04-10 | 1999-11-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hard mask method for forming chlorine containing plasma etched layer |
US6255179B1 (en) * | 1999-08-04 | 2001-07-03 | International Business Machines Corporation | Plasma etch pre-silicide clean |
US6584987B1 (en) * | 2001-03-16 | 2003-07-01 | Taiwan Semiconductor Manufacturing Company | Method for improved cleaning in HDP-CVD process with reduced NF3 usage |
US6902629B2 (en) * | 2002-04-12 | 2005-06-07 | Applied Materials, Inc. | Method for cleaning a process chamber |
US7521000B2 (en) | 2003-08-28 | 2009-04-21 | Applied Materials, Inc. | Process for etching photomasks |
US7078312B1 (en) * | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US20050258137A1 (en) * | 2004-03-24 | 2005-11-24 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US20060016459A1 (en) * | 2004-05-12 | 2006-01-26 | Mcfarlane Graham | High rate etching using high pressure F2 plasma with argon dilution |
US7488689B2 (en) * | 2004-12-07 | 2009-02-10 | Tokyo Electron Limited | Plasma etching method |
KR100659579B1 (ko) * | 2004-12-08 | 2006-12-20 | 한국전자통신연구원 | 발광 소자 및 발광 소자의 제조방법 |
JP4145925B2 (ja) * | 2006-01-31 | 2008-09-03 | シャープ株式会社 | プラズマエッチング方法 |
US20090183771A1 (en) * | 2006-06-23 | 2009-07-23 | Hitoshi Sannomiya | Plasma processing apparatus, plasma processing method and photoelectric conversion element |
US7651948B2 (en) | 2006-06-30 | 2010-01-26 | Applied Materials, Inc. | Pre-cleaning of substrates in epitaxy chambers |
US7951683B1 (en) * | 2007-04-06 | 2011-05-31 | Novellus Systems, Inc | In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill |
JP5558480B2 (ja) * | 2008-10-31 | 2014-07-23 | アプライド マテリアルズ インコーポレイテッド | P3iチャンバにおける共形ドープの改善 |
US20100273291A1 (en) | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US20130045605A1 (en) * | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8679982B2 (en) * | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) * | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US9034773B2 (en) * | 2012-07-02 | 2015-05-19 | Novellus Systems, Inc. | Removal of native oxide with high selectivity |
US9449794B2 (en) | 2012-07-20 | 2016-09-20 | Applied Materials, Inc. | Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna |
US20140069459A1 (en) * | 2012-09-09 | 2014-03-13 | Novellus Systems, Inc. | Methods and apparatus for cleaning deposition chambers |
US8969212B2 (en) * | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) * | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US20140166618A1 (en) * | 2012-12-14 | 2014-06-19 | The Penn State Research Foundation | Ultra-high speed anisotropic reactive ion etching |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
KR102245729B1 (ko) | 2013-08-09 | 2021-04-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치 |
US9443728B2 (en) | 2013-08-16 | 2016-09-13 | Applied Materials, Inc. | Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing |
US9576809B2 (en) * | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) * | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9558928B2 (en) * | 2014-08-29 | 2017-01-31 | Lam Research Corporation | Contact clean in high-aspect ratio structures |
JP6362488B2 (ja) * | 2014-09-09 | 2018-07-25 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP6320282B2 (ja) * | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
US9881805B2 (en) * | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
KR20180085807A (ko) * | 2015-12-18 | 2018-07-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 세정 방법 |
WO2018052477A2 (en) * | 2016-09-15 | 2018-03-22 | Applied Materials, Inc. | An integrated method for wafer outgassing reduction |
US10319613B2 (en) * | 2016-12-13 | 2019-06-11 | Tokyo Electron Limited | Method of selectively etching first region made of silicon nitride against second region made of silicon oxide |
US10483118B2 (en) * | 2017-05-11 | 2019-11-19 | Tokyo Electron Limited | Etching method |
-
2016
- 2016-12-12 KR KR1020187020072A patent/KR20180085807A/ko not_active Application Discontinuation
- 2016-12-12 TW TW105141008A patent/TWI692799B/zh active
- 2016-12-12 CN CN201680064740.9A patent/CN108292602B/zh active Active
- 2016-12-12 WO PCT/US2016/066130 patent/WO2017106089A1/en active Application Filing
- 2016-12-12 US US15/375,683 patent/US10861693B2/en active Active
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6171974B1 (en) * | 1991-06-27 | 2001-01-09 | Applied Materials, Inc. | High selectivity oxide etch process for integrated circuit structures |
US6686292B1 (en) * | 1998-12-28 | 2004-02-03 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer |
US6110836A (en) * | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US20020124867A1 (en) * | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
US20050014375A1 (en) * | 2001-01-08 | 2005-01-20 | Kim Jeong-Ho | Method for cleaning substrate surface |
KR20090058005A (ko) * | 2006-08-31 | 2009-06-08 | 마이크론 테크놀로지, 인크. | 고 종횡비 피처들 및 연관된 구조체들을 형성하기 위한 선택적 식각 화학물들 |
TW201207920A (en) * | 2007-07-26 | 2012-02-16 | Applied Materials Inc | Method and apparatus for cleaning a substrate surface |
US20130068390A1 (en) * | 2007-07-26 | 2013-03-21 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
US20140213016A1 (en) * | 2013-01-30 | 2014-07-31 | Applied Materials, Inc. | In situ silicon surface pre-clean for high performance passivation of silicon solar cells |
US20150170935A1 (en) * | 2013-12-18 | 2015-06-18 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN112840039A (zh) * | 2018-10-05 | 2021-05-25 | 朗姆研究公司 | 处理室表面移除金属污染物 |
CN114975162A (zh) * | 2021-02-26 | 2022-08-30 | 格科微电子(上海)有限公司 | 外延-刻蚀一体机 |
CN115491655A (zh) * | 2022-10-05 | 2022-12-20 | 江苏筑磊电子科技有限公司 | 一种半导体技术中用于低温清洁和沉积的微波等离子辅助方法 |
Also Published As
Publication number | Publication date |
---|---|
US20170178894A1 (en) | 2017-06-22 |
WO2017106089A1 (en) | 2017-06-22 |
TWI692799B (zh) | 2020-05-01 |
KR20180085807A (ko) | 2018-07-27 |
CN108292602B (zh) | 2023-08-18 |
TW201732872A (zh) | 2017-09-16 |
US10861693B2 (en) | 2020-12-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN108292602A (zh) | 清洁方法 | |
TWI708322B (zh) | 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法 | |
TWI745390B (zh) | 減少晶圓釋氣的整合方法 | |
TWI719015B (zh) | 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積 | |
TWI553712B (zh) | 用以減少蝕刻時對於低k閘極間隔物之損壞的方法 | |
TWI604524B (zh) | 用於蝕刻低k及其它介電質膜的製程腔室 | |
TWI671787B (zh) | 清洗方法 | |
TWI514467B (zh) | 形成間隔物側壁上之含SiOCl的層以預防間隔物蝕刻時之臨界尺寸損失 | |
JP2022533388A (ja) | in-situ原子層堆積プロセス | |
TWI593014B (zh) | 表面介面工程方法 | |
CN114175215A (zh) | 用于干式蚀刻化合物材料的方法 | |
US9653282B2 (en) | Silicon-containing substrate cleaning procedure | |
US9355820B2 (en) | Methods for removing carbon containing films | |
TW202418371A (zh) | 使用循環沉積和蝕刻進行高深寬比間隙填充 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |