JP7385621B2 - イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ - Google Patents

イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ Download PDF

Info

Publication number
JP7385621B2
JP7385621B2 JP2021088140A JP2021088140A JP7385621B2 JP 7385621 B2 JP7385621 B2 JP 7385621B2 JP 2021088140 A JP2021088140 A JP 2021088140A JP 2021088140 A JP2021088140 A JP 2021088140A JP 7385621 B2 JP7385621 B2 JP 7385621B2
Authority
JP
Japan
Prior art keywords
chamber
plasma
gas
power
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021088140A
Other languages
English (en)
Other versions
JP2021153056A (ja
Inventor
ケネス エス コリンズ
カルティク ラマスワミー
ジェームズ ディー カルデュッチ
シャヒド ラウフ
レオニド ドルフ
ヤン ヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021153056A publication Critical patent/JP2021153056A/ja
Priority to JP2023192012A priority Critical patent/JP2024020348A/ja
Application granted granted Critical
Publication of JP7385621B2 publication Critical patent/JP7385621B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/85009Pre-treatment of the connector or the bonding area
    • H01L2224/8501Cleaning, e.g. oxide removal step, desmearing
    • H01L2224/85017Electron beam cleaning

Description

関連出願の相互参照
本出願は、2015年3月17日に出願された、Kenneth S. Collinsらによる「イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ」と題する米国特許出願第14/660,531号の優先権を主張する。
背景
(技術分野)
本開示は、オーバーヘッド電子ビーム源を使用してワークピース(例えば、半導体ウェハ)を処理するためのプラズマリアクタに関する。
(背景議論)
ワークピースを処理するためのプラズマ源は、プラズマリアクタの円筒対称軸に対して横方向にあるビーム経路を有する電子ビーム源を有することができる。そのような横方向配置は、処理に非対称性を導入する可能性があり、それに対して、そのような非対称性を避けるためには、特別な構成がリアクタ内で必要とされる可能性がある。
固有の非対称性がない電子ビームプラズマ源を有するプラズマリアクタが必要である。
概要
電子ビームプラズマリアクタは、(1)上側プラズマチャンバであって、(a)側壁と、(b)上部電極支持体であって、電気的に絶縁された静電チャックと、前記上部電極支持体に結合された熱制御装置とを含む上部電極支持体と、(c)前記上部電極支持体に熱的に結合され、上部電極面を有する上部電極と、(d)前記上部電極に又は前記上部電極支持体に又は前記上側チャンバの内部に結合されたRFソース電力発生器と、前記電気的に絶縁された静電チャックに結合されたD.C.チャッキング電圧源と、(e)ガス分配器と、(f)前記上部電極面に面するグリッドフィルタとを含む上側プラズマチャンバを含む。電子ビームプラズマリアクタは、(2)下側プラズマチャンバであって、前記グリッドフィルタは、前記上側プラズマチャンバを前記下側プラズマチャンバから分離し、前記下側プラズマチャンバは、(a)処理領域を囲む真空チャンバ本体と、(b)ワークピース支持台であって、前記ワークピース支持台に結合され、前記グリッドフィルタに面するワークピース支持面を有する、電気的に絶縁された静電チャック及び熱制御装置を含むワークピース支持台とを含む下側プラズマチャンバを更に含む。
一実施形態では、リアクタは、ワークピース支持台に結合されたバイアス電圧発生器を更に含む。
一実施形態では、前記上部電極は、珪素、炭素、炭化珪素、酸化珪素、酸化アルミニウム、酸化イットリウム、又は酸化ジルコニウムのうちの1つを含む。
一実施形態では、前記RFソース電力発生器は、VHF周波数の第1のRF電力発生器と、VHF未満の周波数の第2のRF電力発生器とを含む。
一実施形態では、前記グリッドフィルタは導電性であり、前記グリッドフィルタは、(a)電気的に浮いているか、(b)固定電位にあるかのいずれかである。
プラズマリアクタの一実施形態は、前記第1のRF電力発生器と前記上部電極との間に結合された折り畳み共振器を更に含む。一実施形態では、前記折り畳み共振器は、前記側壁と同軸である。
一実施形態では、前記RFソース電力発生器は、第1のVHF周波数を有する低VHF周波数発生器と、前記第1のVHF周波数より大きい第2のVHF周波数を有する高VHF周波数発生器とを含む。
一実施形態では、前記グリッドフィルタは、互いに対向する第1及び第2のグリッドを含み、前記プラズマリアクタは、前記第1及び第2のグリッドのうちの1つに接続された加速電圧源を更に含む。
一実施形態は、各々が円形に形作られ、前記チャンバの周りにそれぞれの軸方向位置に配置された前記上部及び下側チャンバのうちの1つに隣接する第1の磁石を更に含む。後者の実施形態では、リアクタは、第2の磁石を更に含み、前記第1及び第2の磁石は、前記上側及び下側チャンバのそれぞれに隣接しており、前記第1及び第2の磁石は、円形に形作られ、前記チャンバの周りのそれぞれの軸方向位置に配置され、前記第1及び第2の磁石は、(a)前記上側チャンバ内で主に軸方向であり、前記下側チャンバ内で主に半径方向であるカスプ形状の磁場、又は(b)軸方向磁場のうちの1つを生成する。後者の実施形態では、リアクタは、第3の磁石を更に含み、前記第1及び第2の磁石は、前記上側チャンバにカスプ面を有する第1のカスプ形状の磁場を生成し、前記第2及び第3の磁石は、前記下側チャンバにカスプ面を有する第2のカスプ形状の磁場を生成する。
一実施形態では、リアクタは、前記下側チャンバ内で横方向に磁場を有する磁石を更に含む。
一実施形態では、リアクタは、前記側壁内の窓と、前記窓の周りのコイルアンテナと、前記コイルアンテナに結合されたRF発生器とを更に含む。
一実施形態では、リアクタは、前記チャンバに結合された出力を有するリモートプラズマ源を更に含む。
更なる一実施形態によれば、電子ビームプラズマリアクタ内のワークピースを処理する方法は、グリッドフィルタによって前記リアクタのチャンバを上側チャンバと下側チャンバに分割し、前記下側チャンバ内のワークピースを軸に沿って前記グリッドフィルタに面する前記ワークピースの表面によって支持する工程と、前記チャンバ内にガスを供給する工程と、RFソース電力を前記上側チャンバ内に又は前記上側チャンバの電極に結合して、前記上側チャンバ内でビーム電子を含むプラズマを生成して、前記軸に対応するビーム伝搬方向を有する電子ビームを生成する工程と、前記上側チャンバから前記下側チャンバへの非ビーム電子及びプラズマイオンの少なくとも一部の流れを阻止しながら、前記上側チャンバから前記下側チャンバへの前記ビーム電子の少なくとも一部の流れを許容する工程と、前記電子ビームから前記下側チャンバ内でプラズマを生成工程とを含む。
一実施形態では、本方法は、実質的に不活性なガスを前記上側チャンバに供給し、分子プロセスガスを前記下側チャンバに供給する工程を更に含む。
一実施形態では、前記プラズマを生成する工程は、前記上側チャンバの天井の下にあるプラズマソース電極にRF電力を印加する工程を含み、前記方法は、前記プラズマソース電極を前記天井に静電チャックすることによって前記電極を支持する工程を更に含む。
一実施形態では、本方法は、前記天井の内部に熱伝導媒体を循環させることによって前記プラズマソース電極の温度を制御する工程を更に含む。
一実施形態では、前記方法は、前記ワークピースにバイアス電圧を結合する工程を更に含む。
一実施形態では、前記プラズマソース電極は、珪素、炭素、炭化珪素、酸化珪素、酸化アルミニウム、酸化イットリウム、酸化ジルコニウムのうちの1つを含む。
一実施形態では、前記プラズマを生成する工程は、前記上側チャンバの天井の下にあるプラズマソース電極にRFソース電力を印加する工程を含み、前記RFソース電力は、第1の周波数のRF電力及び第2の周波数のRF電力を含む。
一実施形態では、本方法は、永久磁石又は電磁石のいずれかを含む第1の磁石から前記チャンバ内に磁場を提供する工程を更に含む。一実施形態では、本方法は、第2の磁石を提供する工程を更に含み、前記第1及び第2の磁石は、カスプ磁場又は軸方向磁場のうちの1つを生成する。
一実施形態では、前記プラズマを生成する工程は、上側チャンバの側壁内の窓の周りのコイルアンテナにRFソース電力を印加する工程を更に含む。
更に別の一実施形態では、処理チャンバ内で電子ビームプラズマ源を用いて原子層エッチングを行う方法は、グリッドフィルタによって前記処理チャンバを上側及び下側チャンバに分割する工程と、前記上側チャンバは天井電極を有し、エッチングされる表面層を有する前記下側チャンバ内にワークピースを配置する工程と、前記チャンバに分子プロセスガスを供給する工程と、(I)パッシベーションプロセスを実施する工程であって、(A)次のうちの少なくとも1つの工程を実施する工程であって、(a)高電力レベルのVHF電力を前記上側チャンバ又は前記天井電極に結合する工程、又は(b)高レベルの誘導結合電力を前記上側チャンバに結合する工程のうちの少なくとも1つを実行する工程と、(B)前記ワークピースの前記表面層をエッチングするために前記ワークピース上のバイアス電圧をゼロ又は閾値以下に維持して、パッシベーションプロセスの間に表面層のエッチングを低減又は防止する工程とを含むパッシベーションプロセスを実施する工程と、(II)エッチングプロセスを実施する工程であって、(A)以下のうちの少なくとも1つを実施する工程であって、(a)高レベルの低周波RF電力を前記天井電極に印加する工程、又は(b)(1)前記VHF電力又は(2)前記誘導結合電力のうちの少なくとも1つの電力レベルを低減又は削減する工程のうちの少なくとも1つを実施する工程と、(B)前記表面層をエッチングするために前記ワークピース上のバイアス電圧を閾値以上に維持する工程とを含むエッチングプロセスを実施する工程と、(III)前記パッシベーションプロセス及びエッチングプロセスを交互に連続して繰り返す工程とを含む。
一実施形態では、分子プロセスガスを前記チャンバに供給する前記工程は、前記分子プロセスガスを前記下側チャンバ内に供給する工程を含む。この後者の実施形態では、本方法は、不活性ガスを前記上側チャンバ内に供給する工程を更に含むことができる。
一実施形態では、分子プロセスガスを前記チャンバに供給する前記工程は、前記分子プロセスガスを前記上側チャンバ内に供給する工程を含む。この後者の実施形態では、前記パッシベーションプロセスは、前記上側チャンバ内に不活性ガスを供給する工程を更に含むことができる。
一実施形態では、前記方法は、前記表面層の材料の選択された深さのパッシベーションに対応する期間の間、前記パッシベーションプロセスを実行する工程を更に含む。一実施形態では、前記選択された深さは、1原子層である。
一実施形態では、前記分子プロセスガスは、パッシベーション種を含む。
一実施形態では、前記エッチングプロセスの間に、前記解離を減少させる工程は、前記ワークピースの前記表面層のパッシベーションを実質的に停止又は減少させる。
一実施形態では、前記パッシベーションプロセスの間、前記解離を増強させる工程は、前記上側チャンバから前記下側チャンバに伝播する電子ビームを前記天井電極のイオン衝撃によって生成する工程を含む。
一実施形態では、(a)前記高レベル電力の前記VHF電力は300~10000ワットの範囲内にあり、(b)前記高レベルの誘導結合電力は、300~10000ワットの範囲内にあり、(c)前記高レベルの前記低周波RF電力は、300~10000ワットの範囲内にある。
本発明の例示的な実施形態が達成される方法を詳細に理解することができるように、上記に簡単に要約された本発明のより詳細な説明は、添付の図面に図示されるその実施形態を参照することによって行うことができる。本発明を不明瞭にしないために、いくつかの周知のプロセスは本明細書で論じられていないことを理解すべきである。
第1の実施形態に係るプラズマリアクタを示す。 一対のグリッドを有する図1のプラズマリアクタの変形例を示す。 第2の実施形態に係るプラズマリアクタを示す。 図2の実施形態で使用されるVHF共振器の部分的に切り取った立面図である。 図3に対応する平面図である。 図3のVHF共振器の第2の実施形態の正投影図である。 図5Aに対応する平面図である。 図5Aの一部の拡大図である。 磁気フィルタとしてカスプ型磁場を有する一実施形態を示す。 電子ビームを閉じ込めるための軸方向磁場を有する一実施形態を示す。 磁気フィルタとして横方向磁場を有する一実施形態を示す。 天井電極の近くにプラズマを閉じ込めるための上部カスプ型磁場と、磁気フィルタとしての下部カスプ型磁場とを有する一実施形態を示す。 一実施形態に係る方法を示すブロック図である。 一実施形態に係る原子層エッチング方法を示すブロック図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。しかしながら、添付図面は本発明の例示的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
詳細な説明
図1を参照すると、電子ビームプラズマリアクタは、円筒状の側壁102を含むチャンバ100を画定する真空チャンバ本体を有する。チャンバ100は、グリッドフィルタ104によって上側チャンバ100aと下側チャンバ100bに分割される。下側チャンバ100bは、バイアス電圧が印加されていないときに実質的な電界が内部にないため、ドリフト空間である。上側チャンバ100aの上に天井106があり、電極108を支持している。一実施形態では、電極108は、プロセス適合性材料(例えば、珪素、炭素、珪素炭素化合物、又は酸化珪素化合物)で形成される。代替の一実施形態では、電極108は、金属酸化物(例えば、酸化アルミニウム、酸化イットリウム、又は酸化ジルコニウム)で形成される。天井106及び電極108は、ディスク形状とすることができる。電極108の底面は、グリッドフィルタ104と対向しており、上側チャンバ100aの内部に露出している。一実施形態では、絶縁体又は誘電体リング109が電極108を取り囲んでいる。
下側チャンバ100b内でワークピース111を支持するワークピース支持台110は、グリッドフィルタ104に面するワーク支持面110aを有し、リフトサーボ(昇降サーボ)112によって軸方向に移動可能とすることができる。一実施形態では、ワークピース支持台110は、ワークピース支持面110aを形成する絶縁パック302と、絶縁パック302の内部のワークピース電極304と、ワークピース電極304に接続されたチャック電圧供給源305とを含む。更に、絶縁パック302の下にあるベース層306は、熱媒体(例えば、液体)を循環供給源310から循環させるための内部流路308を有する。循環供給源310は、ヒートシンク又はヒートソース(熱源)として機能することができる。
VHF周波数(例えば、160MHz)を有するRF電力発生器120及びVHF範囲未満又はHF範囲未満(例えば、MF又はLF範囲、例えば、2MHz)の周波数を有する低周波RF電力発生器122は、RF給電導体123を介してインピーダンス整合器124を介して電極108に結合される。一実施形態では、インピーダンス整合器124は、RF電力発生器120及び122の異なる周波数でのインピーダンス整合並びに電力発生器を互いに隔離するためのフィルタリングを提供するように適合される。RF電力発生器120、122の出力電力レベルは、コントローラ126によって独立して制御される。以下で詳細に説明されるように、RF電力発生器120、122からの電力は、電極108に結合される。一実施形態では、天井106は導電性であり、電極108と電気的に接触しており、インピーダンス整合器124からの電力は、天井106を通って電極108に伝導される。一実施形態では、側壁102は金属で形成され、接地される。一実施形態では、上側チャンバ100a内の接地された内面の表面積は、少なくとも電極108の表面積の2倍である。一実施形態では、チャンバ100内の接地された内面は、プロセス適合性材料(例えば、珪素、炭素、珪素炭素化合物、または酸化珪素化合物)でコーティングされてもよい。代替の一実施形態では、チャンバ100内の接地された内面は、酸化アルミニウム、酸化イットリウム、又は酸化ジルコニウムなどの材料でコーティングされてもよい。
一実施形態では、RF電力発生器120は、別個に制御される2つのVHF電力発生器120a及び120bで置き換えてもよい。VHF発生器120aは、VHF帯の下部(例えば、30MHz~150MHz)の出力周波数を有し、一方、VHF発生器120bは、VHF帯の上部(例えば、150MHz~300MHz)の出力周波数を有する。コントローラ126は、VHF発生器120a及び120bの出力電力レベル間の比を選択することによって、プラズマイオン密度を制御することができる。2つのVHF電力発生器120a及び120bにより、上側チャンバ100aのギャップ(電極108とグリッドフィルタ104との間の距離)を選択することによって、上側チャンバ100a内の半径方向のプラズマ均一性を制御することができ、これによってそれ自体により下部VHF周波数が上側チャンバ100a内でプラズマイオン密度のエッジ高の半径方向分布を生成し、それ自体により上部VHF周波数がプラズマイオン密度の中心高の半径方向分布を生成する。このような選択により、2つのVHF電力発生器120a、120bの電力レベルは、その後、プラズマイオン密度の半径方向分布の均一性が最適化される比率に設定される。
一実施形態では、天井106は、電極108のための支持体であり、電極108に面するチャッキング電極152を含む絶縁層150を含む。電極108を天井106に静電的にクランプするために、D.C.チャッキング電圧源154が給電導体155を介してチャッキング電極152に結合される。D.C.阻止コンデンサ156が、インピーダンス整合器124の出力と直列に接続されてもよい。コントローラ126は、D.C.チャッキング電圧供給源154を制御することができる。一実施形態では、インピーダンス整合器124からのRF給電導体123は、電極108に直接接続されるのではなく、電極支持体又は天井106に接続されてもよい。そのような一実施形態では、RF給電導体123からのRF電力は、電極支持体から電極108に容量結合することができる。
一実施形態では、上部ガスインジェクタ130は、第1バルブ132を介してプロセスガスを上側チャンバ100a内に供給する。一実施形態では、下部ガスインジェクタ134は、第2バルブ136を介してプロセスガスを下側チャンバ100b内に供給する。プロセスガス供給源138のアレイから、(例えば、第1及び第2バルブ132及び136を含むことができる)バルブ140のアレイを通って、ガスが供給される。一実施形態では、上側及び下側チャンバ100a、100b内へのガス種及びガス流量は、独立して制御可能である。コントローラ126は、バルブ140のアレイを制御することができる。一実施形態では、不活性ガスが上側チャンバ100a内に供給され、プロセスガスが下側チャンバ100b内に供給される。不活性ガスの流量は、下側100bから上側チャンバ100a内へのガスの対流又は拡散を実質的に防止するように選択され、上側チャンバ100aの実質的な化学的隔離を提供してもよい。
一実施形態では、プラズマは、上部電子放出電極108の内面の高エネルギーイオン衝突を含む様々なバルク及び表面プロセスによって上側チャンバ100a内で生成することができる。電極108のイオン衝撃エネルギー及びプラズマ密度は、両方のRF電力発生器120及び122の関数である。電極108のイオン衝撃エネルギーは、RF電力発生器122からの低周波電力によって実質的に制御することができ、上側チャンバ100a内のプラズマ密度は、RF電力発生器120からのVHF電力によって実質的に制御する(増強する)ことができる。高エネルギー二次電子が、電極108の内面から放出される可能性がある。放出面からの高エネルギー電子の束は、電子ビームを含むことができ、電極108の内面に対して実質的に垂直な方向を有することができ、ビームエネルギーは、電極108のほぼイオン衝撃エネルギーであり、これは典型的には、約10eV~5000eVの範囲とすることができる。異なるプロセスの衝突断面は、電子エネルギーに依存する。低エネルギーでは、励起(及び分子ガス中の解離)のための断面は、イオン化の場合よりも大きく、高エネルギーの場合はその逆が成り立つ。RF電力レベルは、様々な非弾性電子衝突プロセスを対象とするように有利に選択されてもよい。
オプションのRFソース発生器174及びコイルアンテナ172を有する別の一実施形態では、上側チャンバ100a内のプラズマ密度は、RF電力発生器174からのRF電力によって実質的に制御(増強)することができる。
一実施形態では、グリッドフィルタ104は平らなディスク形状であり、側壁102と同軸であってもよい。グリッドフィルタ104は、複数の開口部104-1のアレイによって形成される。一実施形態では、グリッドフィルタ104の軸方向厚さT及び複数の開口部104-1の直径dは、グリッドフィルタ104を通して非ビーム(低エネルギー)の電子及びプラズマイオンの流れを妨げながら、高エネルギーの指向性ビーム電子のグリッドフィルタ104を通る流れを促進するように選択され、グリッドフィルタの穴の面積の全グリッドフィルタ面積に対する比は、最大化することができる。高エネルギー電子束(電子ビーム)は、グリッドフィルタ104を通過して下側チャンバ100bに到達することができ、下側チャンバ100b内での様々な電子衝突プロセスによってプラズマを生成することができる。
下側チャンバ100b内で電子ビームによって生成されたプラズマは、上側チャンバ100a内のプラズマとは異なる特性を有することができる。グリッドフィルタ104は、上側及び下側チャンバ100a、100bを互いに実質的に電気的に絶縁するためのフィルタとして機能することができる。一実施形態では、グリッドフィルタ104は、導電性材料又は半導電性材料で形成され、グランドに接続されてもよく、又は電気的に浮いていてもよい。別の一実施形態では、グリッドフィルタ104は、非導電性材料で形成される。一実施形態では、グリッドフィルタ104は、プロセス適合性材料(例えば、珪素、炭素、珪素炭素化合物、又は酸化珪素化合物)でコーティングしてもよい。代替の一実施形態では、グリッドフィルタ104は、酸化アルミニウム、酸化イットリウム、又は酸化ジルコニウムなどの材料でコーティングしてもよい。一実施形態では、上側チャンバ100a内で生成されたプラズマは、高い電子密度及び/又は高い電子温度を有し、電極108に衝突する高エネルギーイオンを有することができる。
電極表面の高エネルギーイオン衝撃により電極108から放出された二次電子束から構成される電子ビームの少なくとも一部は、グリッドフィルタ104を通って下側チャンバ100b内に伝搬し、ビームエネルギー及びビーム束並びに他の要因(例えば、圧力及びガス組成)に依存するプラズマ密度を有する低電子温度のプラズマを下側チャンバ100b内に生成する。高エネルギービーム電子は、下側チャンバ100bのプラズマ領域を離れると、ワークピース111又はワークピース支持台110に衝突する可能性がある。残されたプラズマは、電子ビーム束によって引き起こされる結果的に生じる表面電荷を容易に放電することができる。
一実施形態では、電気陰性又は電子付着性ガス(例えば、塩素)がチャンバ内に供給され、RF及び/又はVHF電力が電極108に印加され、オプションでRF電力がコイルアンテナ172に印加され、オプションでリモートプラズマ源(RPS)280にRPS電力が印加され、上側チャンバ100a内にプラズマが生成され、グランドに対して及びプラズマに対して加速電圧が電極108上に発生する。結果として得られる電極108の高エネルギーイオン衝突は、電極表面からの二次電子放出を生成し、これは電極表面からの電子ビーム束を構成する。グリッドフィルタ104は、電子ビームの少なくとも一部を、グリッドフィルタ104を通って下側チャンバ100b内に伝播させ、一方、非ビーム電子及びプラズマイオンの少なくとも一部がグリッドフィルタ104を通過することを防止し、下側チャンバ100b内に低電子温度のプラズマを生成する。下側チャンバ100b内において電気陰性ガス(例えば、塩素)中で得られた低電子温度のプラズマは、電子密度及び正イオンの近づいている密度よりもはるかに高い負イオン密度を有する高い電気的陰性のプラズマを生成することができる。そのようなプラズマは、一般的にイオン-イオンプラズマと呼ばれる。
電子ビームに実質的に平行な実質的に軸方向に向けられた磁場がオプションとして使用され、これによって電子ビームを誘導するのを助け、上側チャンバ100a、グリッドフィルタ104、及び/又は下側チャンバ100bを通るビーム輸送を改善することができる。低周波バイアス電圧又は低繰返し周波数の任意の波形をワークピース支持台110に(例えば、ワークピース電極304に)印加して、これによって選択的に又は交互に前記プラズマから正及び/又は負のイオンを抽出し、これらのイオンを所望のエネルギーレベルに加速し、これによってエッチング、洗浄、堆積、又は他の材料改質のためにワークピース111の表面に衝突することができる。(a)上側チャンバ100a内で、(b)下側チャンバ100b内で電子ビームによって、(c)ワークピース支持台110へのバイアス電圧の印加によって、又は(d)リモートプラズマ源(RPS)280によって生成されたラジカルは、ワークピース111に対流又は拡散して、ワークピース表面上での反応に関与することができる。
別の一実施形態では、比較的不活性なガス(例えば、ヘリウム又はアルゴン)が上側チャンバ100a内に供給され、電気陰性又は電子付着性のガス(例えば、六フッ化硫黄)が下側チャンバ100bに流入され、RF及び/又はVHF電力が電極108に印加され、オプションでRF電力がコイルアンテナ172に印加され、オプションでRPS電力がRPS280に印加され、プラズマが上側チャンバ100a内で生成され、加速電圧がグランド及びプラズマに対して電極108上に生成される。結果として得られる電極108の高エネルギーイオン衝突は、電極表面からの二次電子放出を生成し、これは電極表面からの電子ビーム束を構成する。グリッドフィルタ104は、非ビーム電子及びプラズマイオンの少なくとも一部がグリッドフィルタ104を通過するのを防止しながら、グリッドフィルタ104を通って下側チャンバ100b内に電子ビームの少なくとも一部を伝搬させ、下側チャンバ100b内に低電子温度プラズマを生成する。
下側プラズマチャンバ内において電気的陰性ガス(例えば、六フッ化硫黄)中で結果として得られた低電子温度プラズマは、電子密度及び正イオンの近づいている密度よりもはるかに高い負イオン密度を有する高い電気的陰性のプラズマを生成することができ、一般的にイオン-イオンプラズマと呼ばれる。電子ビームに実質的に平行な実質的に軸方向に向けられた磁場がオプションとして使用され、これによって電子ビームを誘導するのを助け、上側チャンバ100a、グリッドフィルタ104、及び/又は下側チャンバ100bを通るビーム輸送を改善することができる。低周波バイアス電圧又は低繰返し周波数の任意の波形をワークピース支持台110に印加して、これによって選択的に又は交互にプラズマから正及び/又は負のイオンを抽出し、イオン種を所望のエネルギーレベルに加速し、これによってエッチング、洗浄、堆積、又は他の材料改質のためにワークピース表面に衝突することができる。(a)上側チャンバ100a内で、(b)下側チャンバ100b内で電子ビームによって、(c)ワークピース支持台110へのバイアス電圧の印加によって、又は(d)RPS280によって生成されたラジカルは、ワークピース111に対流又は拡散して、ワークピース表面上での反応に関与することができる。
一実施形態では、グリッドフィルタ104は、内部ガス流路105a及びガス噴射出口105bを有するガス分配プレートである。内部ガス流路105aは、バルブ140のアレイに結合することができる。
一実施形態では、RFバイアス電力発生器142は、インピーダンス整合器144を介してワークピース支持台110のワークピース電極304に結合される。更なる一実施形態では、波形調整プロセッサ147が、インピーダンス整合器144の出力とワークピース電極304との間に接続されてもよい。波形整形プロセッサ147は、RFバイアス電力発生器142によって生成された波形を所望の波形に変える。ワークピース111の近くのプラズマのイオンエネルギーは、波形調整プロセッサ147によって制御される。一実施形態では、波形整形プロセッサ147は、各RFサイクルの特定の部分の間、所望のイオンエネルギーレベルに対応するレベルに振幅が保持される波形を生成する。コントローラ126は、波形調整プロセッサ147を制御することができる。
一実施形態では、磁石160がチャンバ100を取り囲む。一実施形態では、磁石は、上側及び下側チャンバ100a、100bにそれぞれ隣接する一対の磁石160-1、160-2を含む。一実施形態では、一対の磁石160-1、160-2は、上側チャンバ100aから下側チャンバ100bに伝播している電子ビームを閉じ込めるのに適した軸方向磁場を提供する。
一実施形態では、側壁102内の側部窓170は、上側チャンバ100aに面し、それを通してRF電力が誘導結合可能な材料(例えば、石英又は酸化アルミニウム)で形成される。誘導コイルアンテナ172は、側部窓170を囲み、インピーダンス整合器176を介してRF電力発生器174によって駆動される。リモートプラズマ源280は、プラズマ種を下側チャンバ100b内に導入することができる。
一実施形態では、ワークピース111への高エネルギー電子の流れは、グリッドフィルタ104とワークピース111との間の領域において、主に半径方向の成分(すなわち、電子ビームの流れ方向に対して横方向)を有する磁場によって阻止される。この磁場は、磁石160-1又は160-2のうちの1つによって、又は別の磁石又は磁石の組によって生成されてもよい。
一実施形態では、天井106の内部に熱伝導性液体又は媒体を導くための内部流路178が、熱媒体循環供給源180に接続される。熱媒体循環供給源180は、ヒートシンク又はヒートソースとして機能する。電極108と天井106との間の機械的接触は、電極108と天井106との間の高い熱コンダクタンスを維持するのに十分である。図1の実施形態では、機械的接触の力は、D.C.チャッキング電圧供給源154によって提供される静電クランプ力によって調整される。
図1Aに示される一実施形態では、グリッドフィルタ104は、互いに離間した2つのグリッド、すなわち上側グリッドフィルタ104A及び下側グリッドフィルタ104Bによって置き換えられる。一実施形態では、上部及び下部グリッドフィルタ104A、104Bは導電性であり、異なる電圧に保持されてもよい。例えば、上側グリッドフィルタ104Aは接地させることができ、一方、加速電圧供給源300は下部グリッドフィルタ104Bに接続させることができる。
代替の一実施形態では、RF駆動コイルアンテナ290を天井106の上に設けてもよい。
図2は、図1の実施形態の変形例を示しており、(RF発生器120からの)VHF電力と(RF発生器122からの)より低い周波数の(低周波)RF電力とが別々の経路を介して電極108に供給される。図2の実施形態では、RF発生器120は、電極108の端部の上にある折り畳み共振器195を介して電極108に結合される。より低い周波数のRF発生器122は、RFインピーダンス整合器194を介してRF給電導体123を介して電極108に結合される。D.C.チャッキング電圧供給源154は、天井106内の通路を通って延びる給電導体155を介してチャッキング電極152に結合される。
図2の折り畳み共振器195の一実施形態は、ここで図3及び図4を参照して説明する。折り畳み同軸共振器195は、天井電極108と同軸の内側導電性中空円筒200を含む。内側導電性中空円筒200は、天井電極108の上面に電気的に接触する円形底端部200aを有する。折り畳み同軸共振器195は、電極108の周囲を取り囲む誘電体リング109の上面に接触する円形底端部205aを有する外側導電性中空円筒205を更に含む。誘電体リング109は、絶縁支持リング109aと、絶縁支持リング109aの下の絶縁クランプリング109bからなることができる。内側及び外側導電性円筒200、205は、少なくともほぼ同じ軸方向長さであり、これによってそれらの円形上端部200b、205bは天井電極108の上方で同じ高さにある。折り畳み同軸共振器195はまた、内側及び外側導電性中空円筒200、205の円形上端部200b、205b上に載置され、電気的に接続される平坦な導電性環帯210を含む。折り畳み同軸共振器195は、内側及び外側中空導電性円筒200、205と同軸であり、それらの間に位置する中央導電性中空円筒215を更に含む。好ましくは、中央導電性中空円筒215の半径は、内側及び外側中空導電性円筒200、205の半径の幾何平均とすることができる。中央導電性中空円筒215は、電極108の上面に載置され、電気的に接触される円形底端部215aを有する。
VHF電力カプラ220は、RF発生器120から中央中空導電性円筒215へVHF電力を導く。したがって、中央中空導電性円筒215は、折り畳み同軸共振器195のRF給電導体であり、一方、平面導電性環帯210と共に内側及び外側中空導電性円筒200、205は、簡単な同軸共振器の接地された外側導体に類似している。円形底端部200a、215aの天井電極108への電気的接続は、簡単な(折り畳まれていない)同軸チューニングスタブの端部のD.C.ショートに相当する必要なD.C.ショートを提供する。
VHF電力カプラ220は、中空内側円筒200の上部を貫通して中空内側円筒200の外側の上端部222aから内側円筒200の内部の底端部222bまで延びる軸方向導体222を含む。第1のスポーク導体224aは、軸方向導体の底端部222bから内側円筒200内の穴226aを通って中央円筒215まで半径方向に延びている。図4に示されるように、軸方向導体底端部222bから内側円筒200内のそれぞれの穴226a、226b、226cを通って、それらの外端部が電気的に接続されている中央円筒215まで対称配置され半径方向に延びる複数のスポーク導体224a、224b、224cがある。図示の実施形態では、120度の間隔で配置された3つのスポーク導体224があるが、任意の適切な数nのスポーク導体224が360/n度の間隔で設けられてもよい。
一実施形態では、VHF電力カプラ220は、軸方向導体222及び各々のスポーク導体224が、RFホットである中央導体を含む同軸伝送線であり、接地された外側導体又はシールドにより取り囲まれている同軸構造として提供される。この同軸構造は、図5A及び図5Bに示されており、内側中空導電性円筒200の内部の無磁場環境に適合している。図5A及び図5Bの実施形態では、軸方向導体222は、VHF発生器120の出力に接続された中央軸方向導体222-1と、中央軸方向導体222-1を取り囲む接地された外側軸方向導体222-2とからなる。図5Cは、軸方向導体222の断面図を示す。
図5A~図5Cの実施形態では、スポーク導体224a、224b、224cの各々は、同軸伝送線構造を具現化する。したがって、スポーク導体224aは、中央スポーク導体224a-1と、中央スポーク導体224a-1を取り囲む外側スポーク導体224a-2とからなる。中央スポーク導体224a-1は、軸方向中央導体222-1から半径方向に延び、中央円筒215で終端を迎え、中央円筒215に電気的に接続されている。中央スポーク導体224a-1は、軸方向中央導体222-1へのその接続のためにRFホットである。外側スポーク導体224a-2は、接地された軸方向外側導体222-2から延び、内側円筒200で終端を迎え(内側円筒200に電気的に接続され)る。中央スポーク導体224a-1は、(内側導電性円筒200に接触することなく)穴226aを通過し、中央導電性円筒215に接触する。
スポーク導体224a、224b、224cの各々の構造は同じである。したがって、スポーク導体224bは、中央スポーク導体224b-1と、中央スポーク導体224b-1を取り囲む外側スポーク導体224b-2とからなる。中央スポーク導体224b-1は、軸方向中央導体222-1から半径方向に延び、中央円筒215で終端を迎える。中央スポーク導体224b-1は、軸方向中央導体222-1へのその接続のためにRFホットである。外側スポーク導体224b-2は、接地された軸方向外側導体222-2から延び、内側円筒200で終端を迎え(内側円筒200と電気的に接続され)、一方、中央スポーク導体224b-1は、(内側導電性円筒200に接触することなく)穴226bを通過し、中央導電性円筒215に接触する。
同様に、スポーク導体224cは、中央スポーク導体224c-1と、中央スポーク導体224c-1を取り囲む外側スポーク導体224c-2とからなる。中央スポーク導体224c-1は、軸方向中央導体222-1から半径方向に延び、中央円筒215で終端を迎える。中央スポーク導体224c-1は、軸方向中央導体222-1へのその接続のためにRFホットである。外側スポーク導体224c-2は、接地された軸方向外側導体222-2から延び、内側円筒200で終端を迎え(内側円筒200と電気的に接続され)、一方、中央スポーク導体224c-1は、(内側導電性円筒200に接触することなく)穴226cを通過し、中央導電性円筒215に接触する。
複数のスポーク中央導体224a-1、224b-1、224c-1は、軸方向中央導体222-1から半径方向に延びて中央導電性円筒215に電気的に接触する。この接触領域は、円形平面を画定する。この円形平面の軸方向の位置は、この位置における電気的インピーダンス又はRFインピーダンスが、RF発生器120のVHF周波数における224a、224b、224cの特性インピーダンスとそれぞれ一致するように選択される。個々のスポーク導体224a、224b、224cの特性インピーダンスは、接合部(222b)におけるそれらの全インピーダンスが、VHF発生器120の周波数におけるVHF発生器120の出力インピーダンスと一致するように選択される。
図6は、一実施形態において磁石160-1及び160-2によって生成されるカスプ形状の磁場を示す。カスプ形状の磁場は、下側チャンバ100b内で主に放射状(半径方向)であり、したがって、電子がワークピース111に到達するのをそらす。カスプ形状の磁場は、中心の小さな領域において軸方向である。中心部での磁場の主に軸方向の形態に起因する磁場の中心部を通る高エネルギー電子の漏れを回避するために、中心シールド又はブロッカ400が設けられてもよい。
図7は、別の一実施形態における磁石160-1及び160-2によって生成される軸方向形状の磁場を示す。軸方向の磁場は、軸方向の経路に沿って電子ビームを閉じ込めるために有効である。
図8は、電子がワークピース111に到達するのをそらすために、磁石161によって下側チャンバ100b内に生成される横方向磁場Mを示す。磁石161は、例えば、ハルバッハ配列として実装することができる。磁石161は、電磁石の円形アレイであってもよく、これによって、横方向磁場Mがチャンバ100の円筒対称軸の周りを電気的に回転し、処理の均一性を高めることができる。
図9は、上側チャンバ100a内の磁石160-1及び160-2によって生成される上側カスプ形状磁場500と、下側チャンバ100b内の磁石160-2及び160-3によって生成される下側カスプ形状磁場504とを含む磁場を示す。上側及び下側カスプ形状磁場500、504は、グリッドフィルタ104の上下にそれぞれ対称面506、508をそれぞれ有する。上側カスプ形状磁場500は、電極108の近くにプラズマを閉じ込めるのを助ける。下側カスプ形状磁場504は、下側チャンバ100b内において主に放射状であり、したがって、電子がワークピース111に到達するのをそらす。カスプ磁場は、中心の小さな領域内では軸方向である。中心部での磁場の主に軸方向の形態に起因する磁場の中心部を通る高エネルギー電子の漏れを回避するために、中心シールド又はブロッカ400が下側チャンバ100b内に設けられてもよい。
図1、図1A、又は図2の電子ビームプラズマリアクタのいずれか1つを用いて、電子ビームプラズマリアクタ内でワークピースを処理する以下の方法を実行することができる。ここで図10を参照すると、グリッドフィルタ104が提供され、チャンバ100を上側チャンバ100aと下側チャンバ100bに分割し(図10のブロック610)、同時にグリッドフィルタ104と対向する下側チャンバ100b内のワークピース111を支持する。上側及び下側チャンバ100a、100bのうちの少なくとも1つにガスが供給される(図10のブロック612)。上側チャンバ100a内へ又は電極108へRFソース電力を導入して、上側チャンバ100a内でビーム電子を含むプラズマを生成して、対称軸に対応するビーム伝播方向を有する電子ビームを生成する(図10のブロック614)。本方法は、グリッドフィルタ104を通して上側チャンバ100aから下側チャンバ100bへのビーム電子の少なくとも一部の流れを可能にし(図10のブロック616)、同時に上側チャンバ100aから下側チャンバ100bへの非ビーム電子及びプラズマイオンの少なくとも一部のグリッドフィルタ104を通る流れを阻止する(図10のブロック618)ことを更に含む。本方法は、電子ビームが下側チャンバ100b内にプラズマを生成可能とすることを更に含む(図10のブロック620)。本方法は、上側チャンバ100a内に実質的に不活性なガスを供給し、下側チャンバ100b内に分子プロセスガスを供給することを更に含むことができる(図10のブロック622)。本方法は、バイアス電圧をワークピース111に結合することを更に含むことができる(図10のブロック624)。
(原子層エッチング)
図1、図1A、又は図2のリアクタを使用して、原子層エッチングプロセスを実行することができる。一例では、ワークピース111は、半導体バルク層(例えば、単結晶シリコン)、上層(例えば、シリコンの酸化物)、及び表面層(例えば、多結晶シリコン)を含み、これらは部分的にマスクすることができる。このプロセスでは、ガス供給源138のうちの1つは、エッチング種(例えば、アルゴンガス)の前駆体を含み、一方、ガス供給源138のうちの別の1つは、パッシベーション種(例えば、塩素ガス)の前駆体を含む。パッシベーション種は、パッシベーション前駆体種(例えば、塩素ガス)の(プラズマ中での)解離によって生成される。パッシベーションは、ワークピース111をパッシベーション種に曝露することによって行われる。一般的に、ワークピース111の表面層は、選択されたエネルギーのエッチング種によって容易にエッチングされない(又はエッチングの影響を受けない)。パッシベーションは、ワークピースの表面層をエッチング種によってエッチングしやすいようにする。表面層のパッシベーションされた部分の深さは、パッシベーション種への曝露時間によって決定される。このプロセスでは、パッシベーション種への曝露の時間は、1原子層がパッシベーションされる期間に設定される。次に、ワークピース111をエッチング種に曝露させ、1原子層を除去する。その後、前述のシーケンスを繰り返して、次の原子層を除去する。このサイクルは、表面層の所望の部分(例えば、100%)が除去されるまで、一度に1原子層ずつ繰り返される。このように、プロセスはパッシベーションとエッチングの交互のフェーズからなる。
ここで図1、図1A、又は図2を参照すると、一実施形態では、不活性ガス(例えば、アルゴン)が上側チャンバ100aに供給され、分子プロセスガス(例えば、塩素)が下側チャンバ100bに供給される。図1を参照して上述したように、プラズマは、プラズマイオンによる電極108の高エネルギーイオン衝突を含む、様々なバルク及び表面プロセスによって維持される。プラズマの密度は、主として、RF電力発生器120からのVHF電力の電力レベルによって、又はオプションのRFコイルアンテナ172に電力を供給するRF電力発生器174の電力レベルによって制御され、一方、電極108へのイオン衝撃エネルギー、したがって得られた二次電子ビームエネルギーは、主として、RF電力発生器122からの低周波電力の電力レベルによって制御される。パッシベーションフェーズの間、RF電力発生器120(又はオプションとして、オプションのRFコイルアンテナ172に電力を供給するRF電力発生器174)からのVHF電力の300~10000ワットの範囲内の高電力レベルは、上側チャンバ100a内にプラズマを生成する。
高出力レベルの上記の例は、直径200mm~300mmのワークピースを処理するリアクタ用であり、より大きな基板はより高い出力レベルを使用する。VHF電力発生器120bから電極108へのRF電力の付加的な印加によってオプションとして増加させることができる電極108の電圧は、グリッドフィルタ104を通って下側チャンバ100b内に伝搬する電子ビームを加速し、下側チャンバ100b内にプラズマを生成する。下側チャンバ100b内における高磁束、低エネルギー、電子ビーム条件は、分子塩素の少なくとも一部の原子塩素ラジカル、電子、及びイオンへの解離を促進させる。低電子温度プラズマのために、ワークピースバイアスが印加されていない場合、イオンエネルギーは、シリコンをエッチングするための閾値未満であり、ワークピース表面のパッシベーションは著しいエッチングなしに生じる。次に、エッチングフェーズにおいて、(A)高レベルの低周波RF電力(300~10000ワットの範囲内)を電極108に印加するか、又は(B)低いVHF電力を電極108に印加するか又はVHS電力を印加しないか、又は(C)低いRF電力をコイルアンテナ172に印加するか又はRF電力を印加しないかのうちの少なくとも1つである。この場合の低い電力は、300ワット未満の範囲にある。高出力レベルの前述の例は、直径200mm~300mmのワークピースを処理するリアクタ用であり、より大きな基板はより高い出力レベルを使用する。電極108のより高い電圧は、より高いエネルギーの電子ビームを加速し、これはグリッドフィルタ104を通って下側チャンバ100b内に伝播し、下側チャンバ100b内にプラズマを生成する。下側チャンバ100b内の高エネルギー電子ビーム条件は、イオン化を促進し、分子塩素の原子塩素ラジカル、電子、及びイオンへの解離を減少させる。更に、グリッドフィルタ104を通って下側チャンバ100bに流入したアルゴンニュートラルは、ビーム電子によってイオン化される可能性がある。表面塩素パッシベーション種の存在下でシリコンをエッチングするのに十分であるが、パッシベーション種の非存在下でシリコンをエッチングするには不十分であるエネルギーに対応する電圧でエッチングフェーズ中にバイアス電圧をオンにして(ワークピース支持台110に印加して)、イオン性エッチャント種(アルゴン又は塩素イオン)が抽出され、ワークピース表面に加速され、エッチングを促進することができる。その後、このサイクルが繰り返される。
代替的又は追加的に、リモートプラズマ源(RPS)280が、パッシベーションラジカルを提供してもよい。上記に詳細に説明した実施形態の一変形例では、塩素が上側チャンバ100aに供給され(オプションとして、アルゴンが上側及び/又は下側チャンバ100a、100bに供給され)、RF電力発生器120からの高出力レベルのVHF電力(又はオプションとして、オプションのRFコイルアンテナ172に電力を供給するRF電力発生器174からの高出力レベル)の印加によって、塩素ラジカルが上側チャンバ100a内で生成される。電極108への高いVHF電力又はコイルアンテナ172へのオプションの電力は、パッシベーションの間に使用されるが、一方、電極108への低周波RF電力(VHF電力又はコイル電力が低いか又はない)をエッチングフェーズの間、ワークピースバイアス電圧と共に使用する。
上述のエッチングフェーズの間に、電極108に結合されるRF電力は、低周波RF電力とすることができるか、又は周波数は、より高い周波数(例えば、VHF)とすることができる。
図1、図1A、又は図2を参照して上述したタイプの電子ビームプラズマリアクタを用いて原子層エッチングを行う方法が提供される。本方法は、図11に示されている。図11を参照すると、グリッドフィルタ104が処理チャンバ100を上側及び下側チャンバ100a、100bに分割し、ワークピースを下側チャンバ100b内に配置する(図11のブロック632)。分子プロセスガスがチャンバ100に供給される(図11のブロック634)。パッシベーションプロセスが実行され(図11のブロック636)、パッシベーションプロセスは、(A)(a)高出力レベルのVHF電力を前記上側チャンバ100a内に又は電極108に結合する(図11のブロック638)、又は(b)高レベルの誘導結合電力を上側チャンバ100a内に結合する(図11のブロック640)のうちの少なくとも1つを実行することと、(B)ワークピースのバイアス電圧をゼロ又は前記ワークピースの前記表面層をエッチングするための閾値未満に維持してパッシベーションプロセス中に表面層のエッチングを低減又は防止すること(図11のブロック642)からなる。
パッシベーションプロセスの後、以下のようにしてエッチングプロセスが実行される(図11のブロック644):(A)以下のうちの少なくとも一方を実行する(a)前記天井電極に高レベルの低周波RF電力を印加する(図11のブロック646)又は(b)(1)前記VHF電力又は(2)前記誘導結合電力のうちの少なくとも1つの出力レベルを低減又は除去する(図11のブロック648)、及び(B)前記表面層をエッチングするための閾値を超えて前記ワークピースのバイアス電圧を維持する(図11のブロック650)。
その後、本方法は、パッシベーションプロセス及びエッチングプロセスを交互に連続して繰り返すことからなる(図11のブロック652)。
分子プロセスガスは、下側チャンバ100b内に供給されてもよい。更に、本方法は、不活性ガスを上側チャンバ100a内に供給することを更に含むことができる。あるいはまた、分子プロセスガスを上側チャンバ100aに供給してもよい。
(利点)
天井へのVHF及びRF電力の流れの円筒対称性及び円形ワークピース上の電子ビーム分布の円筒対称性は、加工における方位角方向の均一性を最適化する。RF又はVHF給電電極は、電子ビームを生成するためのプラズマ源を提供し、電極又はグランドリターンのための非絶縁面を必要としない。電極108は、特定のプラズマプロセスで消耗する可能性があり、こうして静電チャックを含むことができる支持構造は、プロセス安定性にとって重要な繰り返し可能な電気的及び熱的電極性能を確保しつつ、高速な電極交換及びチャンバのメンテナンス回復を可能にする。グリッドフィルタ104は、上側チャンバ100aのプラズマ源環境とは無関係に、下側チャンバ100b内でのワークピース処理環境の制御を可能にする、上側及び下側チャンバ100a、100b間の分離を提供する。上部及び下部ガスインジェクタ130及び134は、上側及び下側チャンバ100a、100bへの異なるガス又はガス種の独立した分配を可能にする。例えば、上側チャンバ100a内で所望の種を生成するのに特に有用な1つのガス(例えば、「ソース」ガス)が上側チャンバ100a内に注入され、一方、ワークピース111を処理するために必要な別のガス(例えば、「プロセス」ガス)が下側チャンバ100b内に注入される。グリッドフィルタ104のガス噴射口105bを介してガスを噴射してもよい。例えば、グリッドフィルタ104のガス噴射口105bから不活性ガスを噴射して、これによって下側チャンバ100b内のプロセスガスが、上側チャンバ100a内に対流又は拡散するのを実質的に防止することができる。分子ガスが上側チャンバ100aに供給される一実施形態では、上側チャンバ100a内での種の解離は、より高いVHF電力を電極108に印加することによって、又は誘導コイルアンテナ172にRF電力を印加することによって高めることができ、ワークピース111を高いイオン密度を有するプラズマに曝す必要はない。電子ビームエネルギー及び磁束は、相対的な解離及びイオン化プロセスを制御するように調整することができる。不活性ガスを上側チャンバ100a内に流し、分子ガスを下側チャンバ100b内に流す一実施形態では、電極108へのRF及び/又はVHF電力及び/又はコイルアンテナ172へのRF電力を調整して、下側チャンバ100b内での解離プロセス及びイオン化プロセスの相対的制御のために、下側チャンバ100bへの電子ビームエネルギー及び磁束を調整することができる。ラジカル又は解離した種の数(集団)は、リモートプラズマ源280によって増強することができる。低電子温度プラズマが連続的に生成されない(パルスDC又はRF CCP/ICP放電のオフ時間の間に断続的に生成される)可能性のある一般的に使用される直流放電、RF容量結合プラズマ、又は誘導結合プラズマとは異なり、上記の実施形態は、下側チャンバ100b内でワークピース111上に低電子温度プラズマを高い均一性で連続的に生成することができる。更に、電気陰性ガスを用いて、電子不足の高い電気陰性度の「イオン-イオン」プラズマをワークピース上に高い均一性で連続的に生成することができ、ワークピース支持台110に印加される低周波バイアス電圧又は低繰り返し周波数の任意の電圧波形の印加により、正及び/又は負イオンをプラズマから選択的に又は交互に抽出し、エッチング、洗浄、堆積、又は他の材料改質プロセスのためにワークピース表面内に所望のエネルギーレベルで加速させることができる。
上記は本発明の実施形態を対象としているが、他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (7)

  1. 電子ビームプラズマリアクタであって、
    側壁、上部、及び下部を有するプラズマチャンバと、
    プラズマチャンバの上部にある上部電極と、
    ワークピースを上部電極に向けて、プラズマチャンバの下部でワークピースを保持するためのワークピース支持台と、
    上部電極に接続された第1RF電源と、
    プラズマチャンバにガスを供給するガス供給源と、
    チャンバに結合されて、チャンバを排気する真空ポンプと、
    コントローラであって、
    第1RF電源を動作させて、上部電極にRF電力を印加し、
    真空ポンプと連携してガス供給源を動作させて、上部電極に衝突するイオンを生成する第1プラズマをチャンバの上部に発生させることで、
    上部電極はワークピースに向かって電子ビームを放出し、
    電子ビームの一部がプラズマチャンバの下部においてガスに衝突して、第1プラズマよりも低い電子温度を有する下部に第2電気陰性イオン-イオンプラズマを発生させるように構成され、
    第1RF電源及びガス供給源を動作させることで、電子ビームとチャンバの下部のガスとの間に非弾性電子衝突が提供され、電子ビームの一部がワークピースに衝突するように構成されたコントローラと、
    プラズマチャンバの上部で第1プラズマの密度を調整し、プラズマチャンバ内の電子ビームを調整するコイルアンテナを備える電子ビームプラズマリアクタ。
  2. RFソース電力発生器は、
    第1周波数を有する第1RF電力発生器と、
    第2周波数を有する第2RF電力発生器とを備えている、請求項1に記載のプラズマリアクタ。
  3. チャンバの上部に第1ガスを供給する第1ガス供給源と、
    チャンバの下部に第2ガスを供給する第2ガス供給源とを備える、請求項1に記載のプラズマリアクタ。
  4. 第1ガス供給源は、不活性ガスをチャンバに供給するように構成され、
    第2ガス供給源は、プロセスガスをチャンバに供給するように構成されている、請求項3に記載のプラズマリアクタ。
  5. 電子ビームプラズマリアクタ内でワークピースを処理する方法であって、
    ワークピースが上部電極を向くようにプラズマリアクタのチャンバ内でワークピースを支持する工程と、
    チャンバの上部にガスを導入する工程と、
    第1RF電力を上部電極に印加してチャンバの上部に第1プラズマを生成することで、プラズマのイオンが上部電極に衝突して、上部電極からワークピースへ向かう二次電子の電子ビームを発生させる工程であって、電子ビームの一部がプラズマチャンバの下部においてガスに衝突して、第1プラズマよりも低い電子温度を有する下部に第2電気陰性イオン-イオンプラズマを発生させており、電子ビームの電子がチャンバの下部においてガスと非弾性衝突しており、電子ビームの一部がワークピースに衝突している工程と、
    コイルアンテナによりチャンバの上部で第1プラズマの密度を調整し、プラズマチャンバ内の電子ビームを調整する工程を含む方法。
  6. ガスを導入する工程は、
    実質的に不活性なガスをチャンバの上部に供給する工程と、
    分子プロセスガスをチャンバの下部に供給する工程とを含んでいる、請求項5に記載の方法。
  7. 第1RF電力を印加する工程は、
    第1周波数のRF電力を印加する工程と、
    第2周波数のRF電力を印加する工程とを含んでいる、請求項5に記載の方法。

JP2021088140A 2015-03-17 2021-05-26 イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ Active JP7385621B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023192012A JP2024020348A (ja) 2015-03-17 2023-11-10 イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/660,531 US10475626B2 (en) 2015-03-17 2015-03-17 Ion-ion plasma atomic layer etch process and reactor
US14/660,531 2015-03-17
JP2017548944A JP6891123B2 (ja) 2015-03-17 2016-01-15 電子ビームプラズマリアクタおよびワークピース処理方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017548944A Division JP6891123B2 (ja) 2015-03-17 2016-01-15 電子ビームプラズマリアクタおよびワークピース処理方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023192012A Division JP2024020348A (ja) 2015-03-17 2023-11-10 イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ

Publications (2)

Publication Number Publication Date
JP2021153056A JP2021153056A (ja) 2021-09-30
JP7385621B2 true JP7385621B2 (ja) 2023-11-22

Family

ID=56919264

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017548944A Active JP6891123B2 (ja) 2015-03-17 2016-01-15 電子ビームプラズマリアクタおよびワークピース処理方法
JP2021088140A Active JP7385621B2 (ja) 2015-03-17 2021-05-26 イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ
JP2023192012A Pending JP2024020348A (ja) 2015-03-17 2023-11-10 イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017548944A Active JP6891123B2 (ja) 2015-03-17 2016-01-15 電子ビームプラズマリアクタおよびワークピース処理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023192012A Pending JP2024020348A (ja) 2015-03-17 2023-11-10 イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ

Country Status (6)

Country Link
US (3) US10475626B2 (ja)
JP (3) JP6891123B2 (ja)
KR (1) KR102478896B1 (ja)
CN (1) CN107636793B (ja)
TW (1) TWI713076B (ja)
WO (1) WO2016148769A1 (ja)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8887683B2 (en) * 2008-01-31 2014-11-18 Plasma Igniter LLC Compact electromagnetic plasma ignition device
US8920597B2 (en) 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9734991B2 (en) * 2015-07-28 2017-08-15 Varian Semiconductor Equipment Associates, Inc. Negative ribbon ion beams from pulsed plasmas
JP6753678B2 (ja) * 2016-03-25 2020-09-09 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置及びプラズマ点火方法
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11742187B2 (en) * 2016-12-27 2023-08-29 Evatec Ag RF capacitive coupled etch reactor
US20180274100A1 (en) 2017-03-24 2018-09-27 Applied Materials, Inc. Alternating between deposition and treatment of diamond-like carbon
US11222769B2 (en) 2017-05-26 2022-01-11 Applied Materials, Inc. Monopole antenna array source with gas supply or grid filter for semiconductor process equipment
WO2018218160A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Monopole antenna array source for semiconductor process equipment
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
JP6937644B2 (ja) * 2017-09-26 2021-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10269574B1 (en) * 2017-10-03 2019-04-23 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
JP7002921B2 (ja) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20190186369A1 (en) 2017-12-20 2019-06-20 Plasma Igniter, LLC Jet Engine with Plasma-assisted Combustion
WO2019143474A1 (en) * 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US11469097B2 (en) 2018-04-09 2022-10-11 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
WO2019199922A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US11049697B2 (en) 2018-06-20 2021-06-29 Board Of Trustees Of Michigan State University Single beam plasma source
US10790153B2 (en) * 2018-06-29 2020-09-29 Applied Materials, Inc. Methods and apparatus for electron beam etching process
WO2020051064A1 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
WO2020081226A1 (en) 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
JP2020092195A (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
CN113196442A (zh) * 2018-12-17 2021-07-30 应用材料公司 用于光学设备制造的离子束源
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
JP7346269B2 (ja) * 2019-01-17 2023-09-19 東京エレクトロン株式会社 静電吸着部の制御方法、及びプラズマ処理装置
CN111446144B (zh) * 2019-01-17 2024-04-19 东京毅力科创株式会社 静电吸附部的控制方法和等离子体处理装置
CN113474483A (zh) * 2019-02-07 2021-10-01 朗姆研究公司 能时间和/或空间上调制一或更多等离子体的衬底处理
JP7282910B2 (ja) * 2019-03-14 2023-05-29 ラム リサーチ コーポレーション 高アスペクト比エッチングのためのプラズマエッチングツール
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
KR20220037456A (ko) 2019-07-01 2022-03-24 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 결합 재료들을 최적화하는 것에 의한 막 특성들의 조절
US11195718B2 (en) * 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
US11043362B2 (en) * 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
KR20210061846A (ko) * 2019-11-20 2021-05-28 삼성전자주식회사 기판 처리 장치 및 이를 이용한 반도체 소자의 제조 방법
US11721542B2 (en) 2019-11-27 2023-08-08 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
KR102362893B1 (ko) * 2019-11-27 2022-02-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20210159052A1 (en) * 2019-11-27 2021-05-27 Applied Materials, Inc. Processing Chamber With Multiple Plasma Units
CN111463094B (zh) * 2020-04-16 2023-08-18 北京北方华创微电子装备有限公司 原子层刻蚀设备和原子层刻蚀方法
CN113903644A (zh) * 2020-06-22 2022-01-07 江苏鲁汶仪器有限公司 一种用于离子束刻蚀腔的挡件
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
JP2022064042A (ja) * 2020-10-13 2022-04-25 株式会社Kelk 基板処理装置
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
JP2023161689A (ja) * 2022-04-26 2023-11-08 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、およびリモートプラズマ源

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010512031A (ja) 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極
US20110174606A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties Using a Quasi-Neutral Beam
JP2012507143A (ja) 2008-10-23 2012-03-22 ラム リサーチ コーポレーション フォトレジストを除去するための方法および装置
JP2014209622A (ja) 2013-04-05 2014-11-06 ラム リサーチ コーポレーションLam Research Corporation 半導体製造用の内部プラズマグリッドの適用
JP2014531753A (ja) 2011-09-07 2014-11-27 ラム リサーチ コーポレーションLam Research Corporation デュアルチャンバ構成のパルスプラズマチャンバ
JP2014513427A5 (ja) 2012-04-10 2015-05-28

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US6184150B1 (en) * 1992-09-08 2001-02-06 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5561298A (en) * 1994-02-09 1996-10-01 Hughes Aircraft Company Destruction of contaminants using a low-energy electron beam
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
KR100301066B1 (ko) * 1999-08-16 2001-11-01 윤종용 비금속 도전물질로 구성된 음극판을 갖는 전자빔 조사장비
JP4414518B2 (ja) * 1999-09-10 2010-02-10 株式会社日立製作所 表面処理装置
JP4323021B2 (ja) * 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP2004281230A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US6903511B2 (en) * 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
KR101001743B1 (ko) 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
KR100555849B1 (ko) * 2003-11-27 2006-03-03 주식회사 셈테크놀러지 중성입자빔 처리장치
US20050224722A1 (en) * 2004-03-30 2005-10-13 Applied Materials, Inc. Method and apparatus for reducing charge density on a dielectric coated substrate after exposure to large area electron beam
US20050281958A1 (en) * 2004-06-22 2005-12-22 Walton Scott G Electron beam enhanced nitriding system (EBENS)
CN101771613B (zh) * 2004-06-30 2012-11-07 松下电器产业株式会社 通信装置以及通信方法
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
JP2006114614A (ja) * 2004-10-13 2006-04-27 Canon Anelva Corp プラズマ処理装置および方法
US7777197B2 (en) * 2005-06-02 2010-08-17 Applied Materials, Inc. Vacuum reaction chamber with x-lamp heater
AU2006259381B2 (en) 2005-06-17 2012-01-19 Perkinelmer Health Sciences, Inc. Boost devices and methods of using them
US7351264B2 (en) * 2005-07-27 2008-04-01 Wilson Michael T Liner for prosthesis
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US20070119375A1 (en) * 2005-11-30 2007-05-31 Darrin Leonhardt Dual large area plasma processing system
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
KR100835355B1 (ko) 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
US7829469B2 (en) * 2006-12-11 2010-11-09 Tokyo Electron Limited Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US8080479B2 (en) 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
TW200845197A (en) 2007-03-28 2008-11-16 Matsushita Electric Ind Co Ltd Plasma etching apparatus
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US20110024366A1 (en) * 2007-10-15 2011-02-03 M-I Swaco Norge As Method of inhibiting salt precipitation from aqueous streams
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
US8263499B2 (en) * 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
JP2010116596A (ja) * 2008-11-13 2010-05-27 Shinmaywa Industries Ltd 基板支持装置およびこれを備えるプラズマ処理装置
US20100190098A1 (en) * 2009-01-27 2010-07-29 Applied Materials, Inc. Infrared endpoint detection for photoresist strip processes
KR101285265B1 (ko) * 2009-02-06 2013-07-12 캐논 아네르바 가부시키가이샤 플라즈마 처리장치, 플라즈마 처리방법 및 피처리 기판을 포함한 소자 제조방법
KR101572100B1 (ko) * 2009-05-31 2015-11-26 위순임 복합 주파수를 이용한 대면적 플라즈마 반응기
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
KR101900527B1 (ko) * 2011-04-11 2018-09-19 램 리써치 코포레이션 반도체 프로세싱을 위한 e-빔 강화된 디커플링 소스
JP6016339B2 (ja) * 2011-08-12 2016-10-26 東京エレクトロン株式会社 カーボンナノチューブの加工方法及び加工装置
US9129777B2 (en) * 2011-10-20 2015-09-08 Applied Materials, Inc. Electron beam plasma source with arrayed plasma sources for uniform plasma generation
US20130098873A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Overhead electron beam source for plasma ion generation in a workpiece processing region
SG11201402447TA (en) * 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US20140360670A1 (en) * 2013-06-05 2014-12-11 Tokyo Electron Limited Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9362131B2 (en) * 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010512031A (ja) 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極
JP2012507143A (ja) 2008-10-23 2012-03-22 ラム リサーチ コーポレーション フォトレジストを除去するための方法および装置
US20110174606A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties Using a Quasi-Neutral Beam
JP2014531753A (ja) 2011-09-07 2014-11-27 ラム リサーチ コーポレーションLam Research Corporation デュアルチャンバ構成のパルスプラズマチャンバ
JP2014513427A5 (ja) 2012-04-10 2015-05-28
JP2014209622A (ja) 2013-04-05 2014-11-06 ラム リサーチ コーポレーションLam Research Corporation 半導体製造用の内部プラズマグリッドの適用

Also Published As

Publication number Publication date
CN107636793A (zh) 2018-01-26
US10475626B2 (en) 2019-11-12
KR102478896B1 (ko) 2022-12-19
US11101113B2 (en) 2021-08-24
TW201705185A (zh) 2017-02-01
US20160276134A1 (en) 2016-09-22
JP6891123B2 (ja) 2021-06-18
KR20170130467A (ko) 2017-11-28
WO2016148769A1 (en) 2016-09-22
US20200035454A1 (en) 2020-01-30
CN107636793B (zh) 2019-10-25
US20180261429A1 (en) 2018-09-13
JP2018510470A (ja) 2018-04-12
JP2021153056A (ja) 2021-09-30
JP2024020348A (ja) 2024-02-14
TWI713076B (zh) 2020-12-11

Similar Documents

Publication Publication Date Title
JP7385621B2 (ja) イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ
TWI704845B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
US7837826B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP3128239B2 (ja) クラスタツールのソフトエッチングモジュールおよびそのecrプラズマ発生装置
KR100342014B1 (ko) 플라즈마처리장치
US9564297B2 (en) Electron beam plasma source with remote radical source
US7491649B2 (en) Plasma processing apparatus
US10395903B2 (en) Self-sustained non-ambipolar direct current (DC) plasma at low power
KR20190124323A (ko) 플라즈마 반응기 및 플라즈마 반응기에서의 다이아몬드-유사 탄소의 증착 또는 처리
KR20060090745A (ko) 플라즈마 처리 장치용 측면 rf 코일 및 측면 히터
US20130098873A1 (en) Overhead electron beam source for plasma ion generation in a workpiece processing region
JPH09289193A (ja) プラズマ発生装置及びその方法、並びにプラズマ処理装置及びその方法
JPH06216078A (ja) ウェハの容量結合放電処理装置および方法
CN117133648A (zh) 基板处理方法和基板处理装置
JPS6276627A (ja) ドライエツチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230509

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230530

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231018

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231110

R150 Certificate of patent or registration of utility model

Ref document number: 7385621

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150