KR102478896B1 - 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기 - Google Patents

이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기 Download PDF

Info

Publication number
KR102478896B1
KR102478896B1 KR1020177029223A KR20177029223A KR102478896B1 KR 102478896 B1 KR102478896 B1 KR 102478896B1 KR 1020177029223 A KR1020177029223 A KR 1020177029223A KR 20177029223 A KR20177029223 A KR 20177029223A KR 102478896 B1 KR102478896 B1 KR 102478896B1
Authority
KR
South Korea
Prior art keywords
plasma
electron beam
chamber
top electrode
gas
Prior art date
Application number
KR1020177029223A
Other languages
English (en)
Other versions
KR20170130467A (ko
Inventor
케네스 에스. 콜린스
카르틱 라마스와미
제임스 디. 카르듀치
샤히드 라우프
레오니드 도르프
양 양
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170130467A publication Critical patent/KR20170130467A/ko
Application granted granted Critical
Publication of KR102478896B1 publication Critical patent/KR102478896B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/85009Pre-treatment of the connector or the bonding area
    • H01L2224/8501Cleaning, e.g. oxide removal step, desmearing
    • H01L2224/85017Electron beam cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

오버헤드 전자 빔 소스를 갖는 반응기는 원자 층 에칭 프로세스를 수행하기 위해 이온-이온 플라즈마를 발생시킬 수 있다.

Description

이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기
관련 출원들에 대한 상호 참조
본 출원은 2015년 3월 17일자로 출원되고, 발명의 명칭이 "이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기(ION-ION PLASMA ATOMIC LAYER ETCH PROCESS AND REACTOR)"인 Kenneth S. Collins 등에 의한 미국 특허 출원 제14/660,531호의 우선권을 주장한다.
기술 분야
본 개시내용은 오버헤드 전자 빔 소스를 이용하여 반도체 웨이퍼와 같은 워크피스를 처리하기 위한 플라즈마 반응기에 관한 것이다.
워크피스를 처리하기 위한 플라즈마 소스들은 플라즈마 반응기의 원통 대칭축(cylindrical axis of symmetry)을 횡단하는(transverse) 빔 경로를 갖는 전자 빔 소스를 가질 수 있다. 그러한 횡단 배열(transverse arrangement)은 처리에 비대칭을 도입할 수 있고, 그로 인해, 그러한 비대칭을 방지하기 위해 특수한 피쳐들이 반응기 내에 필요할 수 있다.
내재적 비대칭이 존재하지 않는 전자 빔 플라즈마 소스를 갖는 플라즈마 반응기가 필요하다.
전자 빔 플라즈마 반응기는 (1) 상부 플라즈마 챔버를 포함하고, 상부 플라즈마 챔버는, (a) 측벽, (b) 최상부 전극 지지체 - 상기 최상부 전극 지지체는 전기 절연된 정전 척, 및 상기 최상부 전극 지지체에 결합된 열 제어 장치를 포함함 - , (c) 상기 최상부 전극 지지체에 열 결합되고, 최상부 전극 표면을 갖는 최상부 전극, (d) 상기 최상부 전극에, 또는 상기 최상부 전극 지지체에, 또는 상기 상부 챔버의 내부에 결합된 RF 소스 전력 발생기, 및 상기 전기 절연된 정전 척에 결합된 DC 척킹 전압 소스, (e) 가스 분산기, 및 (f) 상기 최상부 전극 표면을 향하는 그리드 필터를 포함한다. 전자 빔 플라즈마 반응기는 (2) 하부 플라즈마 챔버를 더 포함하고, 상기 그리드 필터는 상기 상부 플라즈마 챔버를 상기 하부 플라즈마 챔버로부터 분리하고, 상기 하부 플라즈마 챔버는 (a) 처리 영역을 둘러싸는 진공 챔버 바디, 및 (b) 워크피스 지지 페디스털 - 상기 워크피스 지지 페디스털은 전기 절연된 정전 척, 및 상기 워크피스 지지 페디스털에 결합된 열 제어 장치를 포함하고, 상기 그리드 필터를 향하는 워크피스 지지 표면을 가짐 - 을 포함한다.
일 실시예에서, 반응기는 워크피스 지지 페디스털에 결합된 바이어스 전압 발생기를 더 포함한다.
일 실시예에서, 상기 최상부 전극은 실리콘, 탄소, 실리콘 탄화물, 실리콘 산화물, 알루미늄 산화물, 이트륨 산화물, 또는 지르코늄 산화물 중 하나를 포함한다.
일 실시예에서, 상기 RF 소스 전력 발생기는 VHF 주파수의 제1 RF 전력 발생기, 및 VHF 미만 주파수(below-VHF frequency)의 제2 RF 전력 발생기를 포함한다.
일 실시예에서, 상기 그리드 필터는 전도체이고, 상기 그리드 필터는 (a) 전기적으로 부동(electrically floating)인 것, 또는 (b) 고정된 전위에 있는 것 중 하나이다.
플라즈마 반응기의 일 실시예는 상기 제1 RF 전력 발생기와 상기 최상부 전극 사이에 결합된 폴드형 공진기(folded resonator)를 더 포함한다. 일 실시예에서, 상기 폴드형 공진기는 상기 측벽과 동축이다.
일 실시예에서, 상기 RF 소스 전력 발생기는 제1 VHF 주파수를 갖는 저 VHF 주파수 발생기, 및 상기 제1 VHF 주파수보다 높은 제2 VHF 주파수를 갖는 고 VHF 주파수 발생기를 포함한다.
일 실시예에서, 상기 그리드 필터는 서로를 향하는 제1 및 제2 그리드를 포함하고, 상기 플라즈마 반응기는 상기 제1 그리드 및 제2 그리드 중 하나에 연결된 가속 전압 소스를 더 포함한다.
일 실시예는 각각 원 형상(circularly shaped)이고 상기 챔버 주위의 각각의 축방향 위치들(axial locations)에 배치되는, 상기 상부 챔버 및 상기 하부 챔버 중 하나에 인접한 제1 자석을 더 포함한다. 후자의 실시예에서, 반응기는 제2 자석을 더 포함하고, 상기 제1 자석 및 상기 제2 자석은 상기 상부 챔버 및 상기 하부 챔버 중의 각각의 챔버에 인접하고, 상기 제1 자석 및 상기 제2 자석은 원 형상이고 상기 챔버 주위의 각각의 축방향 위치들에 배치되고, 상기 제1 자석 및 상기 제2 자석은 (a) 상기 상부 챔버 내에서 주로 축방향(predominantly axial)이고 상기 하부 챔버 내에서 주로 반경방향인 커스프 형상 필드(cusp-shaped field), 또는 (b) 축방향 필드(axial field) 중 하나를 생성한다. 후자의 실시예에서, 반응기는 제3 자석을 더 포함하고, 상기 제1 자석 및 상기 제2 자석은 상기 상부 챔버에서 커스프 평면을 갖는 제1 커스프 형상 필드를 생성하고, 상기 제2 자석 및 상기 제3 자석은 상기 하부 챔버에서 커스프 평면을 갖는 제2 커스프 형상 필드를 생성한다.
일 실시예에서, 반응기는 상기 하부 챔버 내에서 횡단 방향의 자기장을 갖는 자석을 더 포함한다.
일 실시예에서, 반응기는 상기 측벽 내의 윈도우; 상기 윈도우 주위의 코일 안테나; 및 상기 코일 안테나에 결합된 RF 발생기를 더 포함한다.
일 실시예에서, 반응기는 상기 챔버에 결합된 출력을 갖는 원격 플라즈마 소스를 더 포함한다.
추가의 실시예에 따르면, 전자 빔 플라즈마 반응기 내에서 워크피스를 처리하는 방법은 상기 반응기의 챔버를 그리드 필터에 의해 상부 챔버 및 하부 챔버로 분할하고, 상기 하부 챔버 내의 워크피스를 지지하는 단계 - 상기 워크피스의 표면은 축을 따라 상기 그리드 필터를 향함 - ; 상기 챔버 내에 가스를 공급하는 단계; 상기 상부 챔버 내에서 빔 전자들을 포함하는 플라즈마를 발생시켜 상기 축에 대응하는 빔 전파 방향을 갖는 전자 빔을 생성하기 위해, RF 소스 전력을 상기 상부 챔버 내로, 또는 상기 상부 챔버의 전극에 결합하는 단계; 논-빔(non-beam) 전자들 및 플라즈마 이온들의 적어도 일부가 상기 상부 챔버로부터 상기 하부 챔버로 유동하는 것을 방지하면서, 상기 빔 전자들의 적어도 일부가 상기 상부 챔버로부터 상기 하부 챔버로 유동하는 것을 허용하는 단계; 및 상기 하부 챔버 내에서 상기 전자 빔으로부터 플라즈마를 생성하는 단계를 포함한다.
일 실시예에서, 방법은 실질적으로 불활성인 가스를 상기 상부 챔버 내로 공급하고, 분자 프로세스 가스를 상기 하부 챔버 내로 공급하는 단계를 더 포함한다.
일 실시예에서, 상기 플라즈마를 발생시키는 단계는 상기 상부 챔버의 천장(ceiling) 아래에 있는 플라즈마 소스 전극에 RF 전력을 인가하는 단계를 포함하고, 방법은 상기 플라즈마 소스 전극을 상기 천장에 정전 척킹함으로써 상기 전극을 지지하는 단계를 더 포함한다.
일 실시예에서, 방법은 상기 천장 내부에 열 전도성 매질(thermally conductive medium)을 순환시킴으로써 상기 플라즈마 소스 전극의 온도를 제어하는 단계를 더 포함한다.
일 실시예에서, 방법은 바이어스 전압을 상기 워크피스에 결합시키는 단계를 더 포함한다.
일 실시예에서, 상기 플라즈마 소스 전극은 실리콘, 탄소, 실리콘 탄화물, 실리콘 산화물, 알루미늄 산화물, 이트륨 산화물, 또는 지르코늄 산화물 중 하나를 포함한다.
일 실시예에서, 상기 플라즈마를 발생시키는 단계는 상기 상부 챔버의 천장 아래에 있는 플라즈마 소스 전극에 RF 소스 전력을 인가하는 단계를 포함하고, 상기 RF 소스 전력은 제1 주파수의 RF 전력 및 제2 주파수의 RF 전력을 포함한다.
일 실시예에서, 방법은 상기 챔버 내에서 영구 자석 또는 전자석을 포함하는 제1 자석으로부터 자기장을 제공하는 단계를 더 포함한다. 일 실시예에서, 방법은 제2 자석을 제공하는 단계를 더 포함하고, 상기 제1 자석 및 상기 제2 자석은 커스프 자기장 또는 축방향 자기장 중 하나를 생성한다.
일 실시예에서, 상기 플라즈마를 발생시키는 단계는 상부 챔버의 측벽 내의 윈도우 주위의 코일 안테나에 RF 소스 전력을 인가하는 단계를 더 포함한다.
또 다른 실시예에서, 프로세스 챔버 내에서 전자 빔 플라즈마 소스를 이용하여 원자 층 에칭을 수행하는 방법은 상기 프로세스 챔버를 그리드 필터에 의해 상부 챔버 및 하부 챔버로 분할하고 - 상기 상부 챔버는 천장 전극을 가짐 - , 에칭될 표면 층을 갖는 워크피스를 상기 하부 챔버 내에 배치하는 단계; 분자 프로세스 가스를 상기 챔버에 공급하는 단계; (Ⅰ) 패시베이션 프로세스를 수행하는 단계 - 상기 패시베이션 프로세스를 수행하는 단계는, (A) (a) 높은 전력 레벨의 VHF 전력을 상기 상부 챔버 내로 또는 상기 천장 전극에 결합시키는 것, 또는 (b) 높은 레벨의 유도 결합된 전력을 상기 상부 챔버 내로 결합시키는 것 중 적어도 하나를 수행하는 단계; 및 (B) 패시베이션 프로세스 동안 상기 워크피스의 상기 표면 층의 에칭을 감소시키거나 방지하기 위해, 상기 워크피스 상의 바이어스 전압을 제로 또는 상기 표면 층을 에칭하기 위한 임계값 미만으로 유지하는 단계를 포함함 - ; (Ⅱ) 에칭 프로세스를 수행하는 단계 - 상기 에칭 프로세스를 수행하는 단계는, (A) (a) 상기 천장 전극에 높은 레벨의 저 주파수 RF 전력을 인가하는 것, 또는 (b) (1) 상기 VHF 전력 또는 (2) 상기 유도 결합된 전력 중 적어도 하나의 전력 레벨을 감소시키거나 제거하는 것 중 적어도 하나를 수행하는 단계; 및 (B) 상기 워크피스 상의 바이어스 전압을 상기 표면 층을 에칭하기 위한 임계값보다 높게 유지하는 단계; 및 (Ⅲ) 상기 패시베이션 프로세스 및 상기 에칭 프로세스를 교대로 연속하여(in alternating succession) 반복하는 단계를 포함한다.
일 실시예에서, 상기 분자 프로세스 가스를 상기 챔버에 공급하는 단계는 상기 분자 프로세스 가스를 상기 하부 챔버 내로 공급하는 단계를 포함한다. 이러한 후자의 실시예에서, 방법은 불활성 가스를 상기 상부 챔버 내로 공급하는 단계를 더 포함할 수 있다.
일 실시예에서, 상기 분자 프로세스 가스를 상기 챔버에 공급하는 단계는 상기 분자 프로세스 가스를 상기 상부 챔버 내로 공급하는 단계를 포함한다. 이러한 후자의 실시예에서, 상기 패시베이션 프로세스는 불활성 가스를 상기 상부 챔버 내로 공급하는 단계를 더 포함할 수 있다.
일 실시예에서, 방법은 상기 표면 층의 재료의 선택된 깊이의 패시베이션에 대응하는 지속기간 동안 상기 패시베이션 프로세스를 수행하는 단계를 더 포함한다. 일 실시예에서, 상기 선택된 깊이는 하나의 원자 층이다.
일 실시예에서, 상기 분자 프로세스 가스는 패시베이션 종들(passivation species)을 포함한다.
일 실시예에서, 상기 에칭 프로세스 동안, 상기 해리(dissociation)를 감소시키는 것은 상기 워크피스의 상기 표면 층의 패시베이션을 실질적으로 중단시키거나 축소한다.
일 실시예에서, 상기 패시베이션 프로세스 동안, 상기 해리를 증강시키는 것은 상기 천장 전극의 이온 충격(ion bombardment)에 의해 전자 빔을 발생시키는 단계를 포함하고, 상기 전자 빔은 상기 상부 챔버로부터 상기 하부 챔버로 전파된다.
실시예들에서, (a) 상기 VHF 전력의 높은 레벨 전력은 300 내지 10,000 와트 범위이고; (b) 상기 높은 레벨의 유도 결합된 전력은 300 내지 10,000 와트 범위이고; (c) 상기 높은 레벨의 저주파수 RF 전력은 300 내지 10,000 와트 범위이다.
본 발명의 예시적인 실시예들이 달성되는 방식이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 발명의 실시예들의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들은 첨부 도면들에 도시되어 있다. 본 발명을 모호하게 하지 않기 위해, 특정한 공지된 프로세스들은 본 명세서에서 논의되지 않는다는 점을 알아야 한다.
도 1은 제1 실시예에 따른 플라즈마 반응기를 도시한다.
도 1a는 한 쌍의 그리드를 갖는 도 1의 플라즈마 반응기의 수정을 도시한다.
도 2는 제2 실시예에 따른 플라즈마 반응기를 도시한다.
도 3은 도 2의 실시예에서 이용되는 VHF 공진기의 부분 절단 정면도이다.
도 4는 도 3에 대응하는 평면도이다.
도 5a는 도 3의 VHF 공진기의 제2 실시예의 직교 투영도이다.
도 5b는 도 5a에 대응하는 평면도이다.
도 5c는 도 5a의 일부분의 확대도이다.
도 6은 자기 필터로서 커스프 형상 자기장을 갖는 실시예를 도시한다.
도 7은 전자 빔을 국한시키기 위한 축방향 자기장을 갖는 실시예를 도시한다.
도 8은 자기 필터로서 횡방향 자기장을 갖는 실시예를 도시한다.
도 9는 천장 전극 부근에 플라즈마를 국한시키기 위한 상부 커스프 형상 자기장, 및 자기 필터로서의 하부 커스프 형성 자기장을 갖는 실시예를 도시한다.
도 10은 실시예에 따른 방법을 도시하는 블록도이다.
도 11은 실시예에 따른 원자 층 에칭 방법을 도시하는 블록도이다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예의 요소들 및 특징들은 추가 언급 없이도 다른 실시예들에 유익하게 통합될 수 있을 것으로 예상된다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 예시적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1을 참조하면, 전자 빔 플라즈마 반응기는 원통 형상의 측벽(102)을 포함하는 챔버(100)를 정의하는 진공 챔버 바디를 갖는다. 챔버(100)는 그리드 필터(104)에 의해 상부 챔버(100a) 및 하부 챔버(100b)로 분할된다. 하부 챔버(100b)는 인가되는 바이어스 전압의 부재 시에 내부에서 실질적인 전기장이 결핍되는 것으로 인해 유동 공간(drift space)이다. 천장(106)은 상부 챔버(100a) 위에 놓이고, 전극(108)을 지지한다. 일 실시예에서, 전극(108)은 실리콘, 탄소, 실리콘 탄소 복합체, 또는 실리콘 산화물 복합체와 같은 프로세스 호환가능 재료로 형성된다. 대안적인 실시예에서, 전극(108)은 알루미늄 산화물, 이트륨 산화물, 또는 지르코늄 산화물과 같은 금속 산화물로 형성된다. 천장(106) 및 전극(108)은 디스크 형상일 수 있다. 전극(108)의 최하부면은 그리드 필터(104)를 향하고, 상부 챔버(100a)의 내부에 노출된다. 일 실시예에서, 절연체 또는 유전체 링(109)은 전극(108)을 둘러싼다.
하부 챔버(100b) 내에서 워크피스(111)를 지지하기 위한 워크피스 지지 페디스털(110)은 그리드 필터(104)를 향하는 워크피스 지지 표면(110a)을 갖고, 상승 서보(112)에 의해 축 방향으로 이동가능할 수 있다. 일 실시예에서, 워크피스 지지 페디스털(110)은 워크피스 지지 표면(110a)을 형성하는 절연 퍽(insulating puck)(302), 절연 퍽(302) 내부의 워크피스 전극(304), 및 워크피스 전극(304)에 연결되는 척킹 전압 공급부(305)를 포함한다. 추가로, 절연 퍽(302) 아래에 있는 베이스 층(306)은 순환 공급부(circulation supply)(310)로부터의 열 매질(thermal medium)(예를 들어, 액체)을 순환시키기 위한 내부 통로들(308)을 갖는다. 순환 공급부(310)는 열 싱크로서 또는 열 소스로서 기능할 수 있다.
VHF 주파수(예를 들어, 160MHz)를 갖는 RF 전력 발생기(120), 및 VHF 범위 미만 또는 HF 범위 미만의(예를 들어, 2MHz와 같은 MF 또는 LF 범위 내의) 주파수를 갖는 저주파수 RF 전력 발생기(122)는 임피던스 정합부(124)를 통해 RF 피드 컨덕터(123)를 경유하여 전극(108)에 결합된다. 일 실시예에서, 임피던스 정합부(124)는 RF 전력 발생기들(120 및 122)의 상이한 주파수들에서의 임피던스 정합은 물론, 전력 발생기들을 서로로부터 고립시키기 위한 필터링을 제공하도록 적응된다. RF 발생기들(120, 122)의 출력 전력 레벨들은 제어기(126)에 의해 독립적으로 제어된다. 아래에 상세하게 설명되는 바와 같이, RF 전력 발생기들(120, 122)로부터의 전력은 전극(108)에 결합된다. 일 실시예에서, 천장(106)은 전기 전도성이고, 전극(108)과 전기 접촉하며, 임피던스 정합부(124)로부터의 전력은 천장(106)을 통해 전극(108)에 전도된다. 일 실시예에서, 측벽(102)은 금속으로 형성되고 접지된다. 일 실시예에서, 상부 챔버(100a) 내부의 접지된 내부 표면들의 표면적은 전극(108)의 표면적의 적어도 2배이다. 일 실시예에서, 챔버(100) 내부의 접지된 내부 표면들은 실리콘, 탄소, 실리콘 탄소 복합체(silicon carbon compound), 또는 실리콘 산화물 복합체(silicon-oxide compound)와 같은 프로세스 호환가능 재료로 코팅될 수 있다. 대안적인 실시예에서, 챔버(100) 내부의 접지된 내부 표면들은 알루미늄 산화물, 이트륨 산화물, 또는 지르코늄 산화물과 같은 재료로 코팅될 수 있다.
일 실시예에서, RF 전력 발생기(120)는 분리되어 제어되는 2개의 VHF 전력 발생기(120a 및 120b)로 교체될 수 있다. VHF 발생기(120a)는 VHF 대역의 낮은 부분 내의 출력 주파수(예를 들어, 30MHz 내지 150MHz)를 갖는 한편, VHF 발생기(120b)는 VHF 대역의 높은 부분 내의 출력 주파수(예를 들어, 150MHz 내지 300MHz)를 갖는다. 제어기(126)는 VHF 발생기들(120a 및 120b)의 출력 전력 레벨들 사이의 비율을 선택함으로써 플라즈마 이온 밀도를 관리할 수 있다. 2개의 VHF 전력 발생기(120a 및 120b)를 이용하면, 상부 챔버(100a) 내의 반경방향 플라즈마 균일성은 낮은 VHF 주파수가 단독으로 상부 챔버(100a) 내의 플라즈마 이온 밀도의 에지-고 반경방향 분포(edge-high radial distribution)를 생성하고, 높은 VHF 주파수가 단독으로 플라즈마 이온 밀도의 중심-고 반경방향 분포를 생성하도록, 상부 챔버(100a)의 갭[전극(108)과 그리드 필터(104) 사이의 거리]을 선택함으로써 제어될 수 있다. 그러한 선택을 이용하면, 2개의 VHF 전력 발생기(120a, 120b)의 전력 레벨들은 플라즈마 이온 밀도의 반경방향 분포의 균일성이 최적화되는 비율로 설정된다.
일 실시예에서, 천장(106)은 전극(108)에 대한 지지체이고, 전극(108)을 향하는 척킹 전극(152)을 포함하는 절연 층(150)을 포함한다. DC 척킹 전압 공급부(154)는 전극(108)을 천장(106)에 정전 클램핑하기 위해 피드 컨덕터(155)를 경유하여 척킹 전극(152)에 결합된다. DC 블록킹 캐패시터(156)는 임피던스 정합부(124)의 출력과 직렬로 연결될 수 있다. 제어기(126)는 DC 척킹 전압 공급부(154)를 제어할 수 있다. 일 실시예에서, 임피던스 정합부(124)로부터의 RF 피드 컨덕터(123)는 전극(108)에 직접 연결되기보다는 전극 지지체 또는 천장(106)에 연결될 수 있다. 그러한 실시예에서, RF 피드 컨덕터(123)로부터의 RF 전력은 전극 지지체로부터 전극(108)에 용량 결합될 수 있다.
일 실시예에서, 상부 가스 주입기들(130)은 프로세스 가스를 제1 밸브(132)를 통해 상부 챔버(100a) 내로 제공한다. 일 실시예에서, 하부 가스 주입기들(134)은 프로세스 가스를 제2 밸브(136)를 통해 하부 챔버(100b) 내로 제공한다. 가스는 프로세스 가스 공급부들의 어레이(138)로부터, 예를 들어 제1 및 제2 밸브(132 및 136)를 포함할 수 있는 밸브들의 어레이(140)를 통해 공급될 수 있다. 일 실시예에서, 상부 및 하부 챔버들(100a, 100b) 내로의 가스 유량들, 및 가스 종들은 독립적으로 제어가능하다. 제어기(126)는 밸브들의 어레이(140)를 관리할 수 있다. 일 실시예에서, 불활성 가스는 상부 챔버(100a) 내로 공급되고, 프로세스 가스는 하부 챔버(100b) 내로 공급된다. 불활성 가스 유량은 하부 챔버(100b)로부터 상부 챔버(100a) 내로의 가스들의 대류 또는 확산을 실질적으로 방지하여, 상부 챔버(100a)의 실질적인 화학적 고립을 제공하도록 선택될 수 있다.
일 실시예에서, 플라즈마는 최상부 전자 방출 전극(top electron-emitting electrode)(108)의 내부 표면의 활성 이온 충격을 포함하여, 다양한 벌크 및 표면 프로세스들에 의해 상부 챔버(100a) 내에서 생성될 수 있다. 전극(108)의 이온 충격 에너지 및 플라즈마 밀도는 RF 전력 발생기들(120 및 122) 둘 다의 함수이다. 전극(108)의 이온 충격 에너지는 RF 전력 발생기(122)로부터의 더 낮은 주파수 전력에 의해 실질적으로 제어될 수 있고, 상부 챔버(100a) 내의 플라즈마 밀도는 RF 전력 발생기(120)로부터의 VHF 전력에 의해 실질적으로 제어(증강)될 수 있다. 활성 2차 전자들은 전극(108)의 내부 표면으로부터 방출될 수 있다. 방출 표면으로부터의 활성 전자들의 플럭스는 전자 빔을 포함할 수 있고, 전극(108)의 내부 표면에 실질적으로 수직한 방향, 및 전형적으로 약 10eV 내지 5000eV 범위일 수 있는 전극(108)의 이온 충격 에너지와 대략 동일한 빔 에너지를 가질 수 있다. 상이한 프로세스들에 대한 충돌 단면적들(collision cross-sections)은 전자 에너지에 의존한다. 낮은 에너지들에서는 여기(및 분자 가스들에서의 해리)를 위한 단면들이 이온화를 위한 것보다 큰 한편, 높은 에너지들에서는 그 반대가 성립한다. RF 전력 레벨(들)은 유리하게는 다양한 비탄성 전자 충돌 프로세스들(inelastic electron collision processes)을 대상으로 하도록 선택될 수 있다.
선택적인 RF 소스 발생기(174) 및 코일 안테나(172)를 갖는 다른 실시예에서, 상부 챔버(100a) 내의 플라즈마 밀도는 RF 전력 발생기(174)로부터의 RF 전력에 의해 실질적으로 제어(증강)될 수 있다.
일 실시예에서, 그리드 필터(104)는 평평한 디스크 형상을 갖고, 측벽(102)과 동축일 수 있다. 그리드 필터(104)는 복수의 개구(104-1)의 어레이로 형성된다. 일 실시예에서, 그리드 필터(104)의 축방향 두께 T, 및 복수의 개구(104-1)의 직경 d는 그리드 필터(104)를 통한 논-빔(저 에너지) 전자들 및 플라즈마 이온들의 유동을 방해하면서, 활성의 지향된 빔 전자들의 그리드 필터(104)를 통한 유동을 촉진하도록 선택되고, 전체 그리드 필터 영역에 대한 그리드 필터 홀 영역의 비율은 최대화될 수 있다. 활성 전자 플럭스(전자 빔)는 그리드 필터(104)를 통해 하부 챔버(100b)로 전달될 수 있고, 하부 챔버(100b) 내의 다양한 전자 충격 프로세스들(electron impact processes)에 의해 플라즈마를 생성할 수 있다.
하부 챔버(100b) 내에서 전자 빔에 의해 생성되는 플라즈마는 상부 챔버(100a) 내의 플라즈마와는 상이한 특성들을 가질 수 있다. 그리드 필터(104)는 상부 및 하부 챔버(100a, 100b)를 서로로부터 실질적으로 전기적으로 고립시키기 위한 필터로서 기능할 수 있다. 일 실시예에서, 그리드 필터(104)는 전도체 또는 반도체 재료로 형성되고, 접지에 연결될 수 있거나 전기적으로 부동(floating)일 수 있다. 다른 실시예에서, 그리드 필터(104)는 비-전도체 재료로 형성된다. 일 실시예에서, 그리드 필터(104)는 실리콘, 탄소, 실리콘 탄소 복합체, 또는 실리콘 산화물 복합체와 같은 프로세스 호환가능 재료로 코팅될 수 있다. 대안적인 실시예에서, 그리드 필터(104)는 알루미늄 산화물, 이트륨 산화물, 또는 지르코늄 산화물과 같은 재료로 코팅될 수 있다. 일 실시예에서, 상부 챔버(100a) 내에서 생성되는 플라즈마는 높은 전자 밀도 및/또는 높은 전자 온도를 가질 수 있고, 전극(108)에 충돌하는 고 에너지 이온들을 가질 수 있다.
전극 표면의 활성 이온 충격으로 인해 전극(108)으로부터 방출되는 2차 전자 플럭스로 구성되는 전자 빔의 적어도 일부분은 그리드 필터(104)를 통해 하부 챔버(100b) 내로 전파되어, 하부 챔버(100b) 내에서 빔 에너지 및 플럭스는 물론, 압력 및 가스 조성과 같은 다른 인자들에 의존하는 플라즈마 밀도를 갖는 저 전자 온도 플라즈마(low electron temperature plasma)를 생성한다. 활성 빔 전자들은 하부 챔버(100b)의 플라즈마 영역을 떠나면서 워크피스(111) 또는 워크피스 지지 페디스털(110)에 충돌할 수 있다. 뒤에 남겨진 플라즈마는 전자 빔 플럭스에 의해 야기되는 임의의 결과적인 표면 전하를 용이하게 방전시킬 수 있다.
일 실시예에서, 염소와 같은 음전기(electronegative) 또는 전자 결합(electron-attaching) 가스가 챔버 내로 공급되고, RF 및/또는 VHF 전력이 전극(108)에 인가되고, RF 전력은 코일 안테나(172)에 선택적으로 인가되고, RPS 전력은 원격 플라즈마 소스(remote plasma source: RPS)(280)에 선택적으로 인가되고, 플라즈마는 상부 챔버(100a) 내에서 발생되며, 접지에 대해, 그리고 플라즈마에 대해, 가속 전압이 전극(108) 상에서 전개된다. 전극(108)의 결과적인 활성 이온 충격은 전극 표면으로부터 2차 전자 방출을 생성하며, 그러한 방출은 전극 표면으로부터의 전자 빔 플럭스를 구성한다. 그리드 필터(104)는 논-빔 전자들 및 플라즈마 이온들의 적어도 일부분이 그리드 필터(104)를 통과하는 것을 방지하면서, 전자 빔의 적어도 일부분이 그리드 필터(104)를 통해 하부 챔버(100b) 내로 전파되는 것을 허용하여, 하부 챔버(100b) 내에서 저 전자 온도 플라즈마를 생성한다. 염소와 같은 음전기 가스 내에서의 하부 챔버(100b) 내의 결과적인 저 전자 온도 플라즈마는 고도로 음전성인 플라즈마(highly electronegative plasma)를 생성할 수 있고, 여기서 음 이온 밀도들은 전자 밀도들보다는 훨씬 더 높고 양 이온들의 밀도들에 근접하다. 그러한 플라즈마는 통상적으로 이온-이온 플라즈마로 지칭된다.
전자 빔에 실질적으로 평행한, 실질적으로 축방향으로 지향된 자기장은 전자 빔의 안내를 도와서 상부 챔버(100a), 그리드 필터(104), 및/또는 하부 챔버(100b)를 통한 빔 이송을 개선하기 위해 선택적으로 이용될 수 있다. 저 주파수 바이어스 전압, 또는 저 반복 주파수(low repetition frequency)의 임의의 파형이 워크피스 지지 페디스털(110)에[예를 들어, 워크피스 전극(304)에] 인가되어, 상기 플라즈마로부터 양 이온들 및/또는 음 이온들을 선택적으로 또는 교대로 추출하고, 그러한 이온들을 에칭, 세정, 퇴적, 또는 다른 재료 수정을 위해 워크피스(111)의 표면에 영향을 주기 위해 요구되는 에너지 레벨들로 가속할 수 있다. (a) 상부 챔버(100a) 내에서, (b) 하부 챔버(100b) 내에서 전자 빔에 의해서, (c) 워크피스 지지 페디스털(110)에 대한 바이어스 전압의 인가에 의해서, 또는 (d) 원격 플라즈마 소스(RPS)(280)에 의해서 생성되는 라디칼들은 워크피스(111)로 대류 또는 확산될 수 있고, 워크피스 표면 상에서의 반응에 참여할 수 있다.
다른 실시예에서, 헬륨 또는 아르곤과 같은 비교적 불활성인 가스(relatively inert gas)가 상부 챔버(100a) 내로 공급되고, 6불화 유황(Sulfur Hexafluoride)과 같은 음전기 또는 전자 결합 가스가 하부 챔버(100b) 내로 유동되고, RF 및/또는 VHF 전력이 전극(108)에 인가되고, RF 전력은 코일 안테나(172)에 선택적으로 인가되고, RPS 전력은 RPS(280)에 선택적으로 인가되고, 플라즈마는 상부 챔버(100a) 내에서 발생되며, 접지에 대해, 그리고 플라즈마에 대해 가속 전압이 전극(108) 상에서 전개된다. 전극(108)의 결과적인 활성 이온 충격은 전극 표면으로부터 2차 전자 방출을 생성하며, 그러한 방출은 전극 표면으로부터의 전자 빔 플럭스를 구성한다. 그리드 필터(104)는 논-빔 전자들 및 플라즈마 이온들의 적어도 일부분이 그리드 필터(104)를 통과하는 것을 방지하면서, 전자 빔의 적어도 일부분이 그리드 필터(104)를 통해 하부 챔버(100b) 내로 전파되는 것을 허용하여, 하부 챔버(100b) 내에서 저 전자 온도 플라즈마를 생성한다.
6불화 유황과 같은 음전기 가스 내에서의 하부 플라즈마 챔버 내의 결과적인 저 전자 온도 플라즈마는 고도로 음전성인 플라즈마를 생성할 수 있고, 그러한 플라즈마는 전자 밀도들보다 훨씬 높고 양 이온들의 밀도들에 근접하는 음 이온 밀도들을 가지며, 통상적으로 이온-이온 플라즈마라고 지칭된다. 전자 빔에 실질적으로 평행한, 실질적으로 축방향으로 지향된 자기장은 전자 빔의 안내를 도와서 상부 챔버(100a), 그리드 필터(104), 및/또는 하부 챔버(100b)를 통한 빔 이송을 개선하기 위해 선택적으로 이용될 수 있다. 저 주파수 바이어스 전압, 또는 저 반복 주파수의 임의의 파형이 워크피스 지지 페디스털(110)에 인가되어, 플라즈마로부터 양 이온들 및/또는 음 이온들을 선택적으로 또는 교대로 추출하고, 이온 종들을 에칭, 세정, 퇴적, 또는 다른 재료 수정을 위해 워크피스의 표면에 영향을 주기 위해 요구되는 에너지 레벨들로 가속할 수 있다. (a) 상부 챔버(100a) 내에서, (b) 하부 챔버(100b) 내에서 전자 빔에 의해서, (c) 워크피스 지지 페디스털(110)에 대한 바이어스 전압의 인가에 의해서, 또는 (d) RPS(280)에 의해서 생성되는 라디칼들은 워크피스(111)로 대류 또는 확산될 수 있고, 워크피스 표면 상에서의 반응에 참여할 수 있다
일 실시예에서, 그리드 필터(104)는 내부 가스 통로들(105a) 및 가스 주입 배출구들(105b)을 갖는 가스 분배 플레이트이다. 내부 가스 통로들(105a)은 밸브들의 어레이(140)에 결합될 수 있다.
일 실시예에서, RF 바이어스 전력 발생기(142)는 임피던스 정합부(144)를 통해 워크피스 지지 페디스털(110)의 워크피스 전극(304)에 결합된다. 추가의 실시예에서, 파형 조정 프로세서(waveform tailoring processor)(147)는 임피던스 정합부(144)의 출력과 워크피스 전극(304) 사이에 연결될 수 있다. 파형 조정 프로세서(147)는 RF 바이어스 전력 발생기(142)에 의해 생성되는 파형을 요구되는 파형으로 변경한다. 워크피스(111) 부근의 플라즈마의 이온 에너지는 파형 조정 프로세서(147)에 의해 제어된다. 일 실시예에서, 파형 조정 프로세서(147)는 진폭이 각각의 RF 사이클의 특정 부분 동안 요구되는 이온 에너지 레벨에 대응하는 레벨로 유지되는 파형을 생성한다. 제어기(126)는 파형 조정 프로세서(147)를 제어할 수 있다.
일 실시예에서, 자석(160)은 챔버(100)를 둘러싼다. 일 실시예에서, 자석은 상부 및 하부 챔버들(100a, 100b) 각각에 인접하는 한 쌍의 자석(160-1, 160-2)을 포함한다. 일 실시예에서, 한 쌍의 자석(160-1, 160-2)은 상부 챔버(100a)로부터 하부 챔버(100b)로 전파되고 있는 전자 빔을 국한(confining)시키는 데에 적합한 축방향 자기장을 제공한다.
일 실시예에서, 측벽(102) 내의 측면 윈도우(170)는 상부 챔버(100a)를 향하고, 그를 통해 RF 전력이 유도 결합될 수 있는 재료(예를 들어, 석영 또는 알루미늄 산화물)로 형성된다. 유도 코일 안테나(172)는 측면 윈도우(170)를 둘러싸고, 임피던스 정합부(176)를 통해 RF 전력 발생기(174)에 의해 구동된다. 원격 플라즈마 소스(280)는 플라즈마 종들을 하부 챔버(100b) 내로 도입할 수 있다.
일 실시예에서, 그리드 필터(104)와 워크피스(111) 사이의 영역 내에서, 워크피스(111)에 대한 활성 전자들의 유동은 주로 반경방향인(즉, 전자 빔 유동 방향을 횡단하는) 성분을 갖는 자기장에 의해 차단된다. 이러한 자기장은 자석들(160-1 또는 160-2) 중 하나에 의해, 또는 다른 자석 또는 자석들의 집합에 의해 생성될 수 있다.
일 실시예에서, 천장(106) 내부에서 열 전도성 액체 또는 매질을 전도하기 위한 내부 통로들(178)은 열 매질 순환 공급부(180)에 연결된다. 열 매질 순환 공급부(180)는 열 싱크로서 또는 열 소스로서 기능할 수 있다. 전극(108)과 천장(106) 사이의 기계적 접촉은 전극(108)과 천장(106) 사이에 높은 열 전도도를 유지하기에 충분하다. 도 1의 실시예에서, 기계적 접촉의 힘은 DC 척킹 전압 공급부(154)에 의해 제공되는 정전 클램핑 힘에 의해 조절된다.
도 1a에 도시된 일 실시예에서, 그리드 필터(104)는 2개의 그리드, 즉 서로로부터 이격된 상부 그리드 필터(104A) 및 하부 그리드 필터(104B)로 교체된다. 일 실시예에서, 상부 및 하부 그리드 필터(104A, 104B)는 전도성이고, 상이한 전압들로 유지될 수 있다. 예를 들어, 상부 그리드 필터(104A)는 접지될 수 있는 한편, 가속 전압 공급부(300)는 하부 그리드 필터(104B)에 연결될 수 있다.
대안적인 실시예에서, RF 구동 코일 안테나(290)가 천장(106) 위에 제공될 수 있다.
도 2는 [RF 발생기(120)로부터의] VHF 전력 및 [RF 발생기(122)로부터의] 저 주파수 RF 전력이 별개의 경로들을 통해 전극(108)에 전달되는 도 1의 실시예의 수정을 도시한다. 도 2의 실시예에서, RF 발생기(120)는 전극(108)의 에지 위에 놓인 폴드형 공진기(folded resonator)(195)를 통해 전극(108)에 결합된다. 저 주파수 RF 발생기(122)는 RF 임피던스 정합부(194)를 통해 RF 피드 컨덕터(123)를 경유하여 전극(108)에 결합된다. DC 척킹 전압 공급부(154)는 천장(106) 내의 통로를 통해 연장되는 피드 컨덕터(155)를 통해 척킹 전극(152)에 결합된다.
이하에서는, 도 2의 폴드형 공진기(195)의 일 실시예가 도 3 및 도 4를 참조하여 설명된다. 폴드형 동축 공진기(folded coaxial resonator)(195)는 천장 전극(108)과 동축인 속이 빈 내부 전도체 실린더(200)를 포함한다. 속이 빈 내부 전도체 실린더(200)는 천장 전극(108)의 최상부면에 전기 접촉하는 원형 최하부 에지(200a)를 갖는다. 폴드형 동축 공진기(195)는 전극(108)의 주변부를 둘러싸는 유전체 링(109)의 최상부면에 접촉하는 원형 최하부 에지(205a)를 갖는 속이 빈 외부 전도체 실린더(205)를 더 포함한다. 유전체 링(109)은 절연성 지지 링(109a), 및 절연성 지지 링(109a) 아래의 절연성 클램프 링(109b)으로 구성될 수 있다. 내부 및 외부 전도체 실린더들(200, 205)은 적어도 거의 동일한 축방향 길이를 갖고, 그에 의해 실린더들의 원형 최상부 에지들(200b, 205b)은 천장 전극(108) 위에서 동일한 높이에 있다. 폴드형 동축 공진기(195)는 또한 속이 빈 내부 및 외부 전도체 실린더들(200, 205)의 원형 최상부 에지들(200b, 205b)에 놓이고 거기에 전기 연결되는 평면 전도체 고리(planar conductive annulus)(210)를 포함한다. 폴드형 동축 공진기(195)는 속이 빈 내부 및 외부 전도체 실린더들(200, 205)과 동축이며 내부 및 외부 전도체 실린더들 사이에 위치되는 속이 빈 중심 전도체 실린더(215)를 더 포함한다. 바람직하게는, 속이 빈 중심 전도체 실린더(215)의 반경은 속이 빈 내부 및 외부 전도체 실린더들(200, 205)의 반경들의 기하학적 평균일 수 있다. 속이 빈 중심 전도체 실린더(215)는 전극(108)의 최상부면에 놓이며 그와 전기 접촉하는 원형 최하부 에지(215a)를 갖는다.
VHF 전력 결합기(220)는 VHF 전력을 RF 발생기(120)로부터 속이 빈 중심 전도체 실린더(215)로 전도한다. 따라서, 속이 빈 중심 전도체 실린더(215)는 폴드형 동축 공진기(195)의 RF 피드 컨덕터(RF-fed conductor)인 한편, 속이 빈 내부 및 외부 전도체 실린더들(200, 205)은 평면 전도체 고리(210)와 함께 단순 동축 공진기의 접지된 외측 컨덕터와 유사하다. 천장 전극(108)에 대한 최하부 원형 에지들(200a, 215a)의 전기 연결은 단순한(폴드형이 아닌) 동축 튜닝 스텁(coaxial tuning stub)의 단부에서의 DC 단락과 등가인 필수 DC 단락을 제공한다.
VHF 전력 결합기(220)는 속이 빈 내부 실린더(200) 바깥쪽의 최상측 단부(222a)로부터 속이 빈 내부 실린더(200)의 최상부를 통해 내부 실린더(200) 안쪽의 최하측 단부(222b)로 연장되는 축방향 컨덕터(222)를 포함한다. 제1 스포크 컨덕터(spoke conductor)(224a)는 축방향 컨덕터의 최하측 단부(222b)로부터 내부 실린더(200) 내의 홀(226a)을 통해 중심 실린더(215)로 반경방향으로 연장된다. 도 4에 도시된 바와 같이, 대칭으로 배열되고, 축방향 컨덕터의 최하측 단부(222b)로부터 내부 실린더(200) 내의 각각의 홀들(226a, 226b, 226c)을 통해 중심 실린더(215)로 반경방향으로 연장되는 복수의 스포크 컨덕터(224a, 224b, 224c)가 존하며, 스포크 컨덕터들의 외측 단부들은 중심 실린더에 전기 연결된다. 도시된 실시예에서, 120도 간격으로 배치된 3개의 스포크 컨덕터(224)가 존재하지만, 임의의 적절한 개수 n의 스포크 컨덕터(224)가 360/n도 간격으로 제공될 수 있다.
일 실시예에서, VHF 전력 결합기(220)는 동축 구조물로서 제공되고, 그러한 구조물 내에서 축방향 컨덕터(222), 및 스포크 컨덕터들(224) 각각은 접지된 외부 컨덕터 또는 쉴드에 의해 둘러싸인, RF 핫(RF hot)인 중심 컨덕터를 포함하는 동축 전송 라인이다. 이러한 동축 구조물은 도 5a 및 도 5b에 도시되어 있고, 속이 빈 내부 전도체 실린더(200)의 내부의 필드-프리 환경(field-free environment)과 호환가능하다. 도 5a 및 도 5b의 실시예에서, 축방향 컨덕터(222)는 VHF 발생기(120)의 출력에 연결된 중심 축방향 컨덕터(222-1), 및 중심 축방향 컨덕터(222-1)를 둘러싸는 접지된 외부 축방향 컨덕터(222-2)로 구성된다. 도 5c는 축방향 컨덕터(222)의 단면도를 도시한다.
도 5a - 도 5c의 실시예에서, 스포크 컨덕터들(224a, 224b, 224c) 각각은 동축 전송 라인 구조물을 구현한다. 따라서, 스포크 컨덕터(224a)는 중심 스포크 컨덕터(224a-1), 및 중심 스포크 컨덕터(224a-1)를 둘러싸는 외부 스포크 컨덕터(224a-2)로 구성된다. 중심 스포크 컨덕터(224a-1)는 축방향 중심 컨덕터(222-1)로부터 반경방향으로 연장되고, 중심 실린더(215)에서 종단되고 중심 실린더에 전기 연결된다. 중심 스포크 컨덕터(224a-1)는 축방향 중심 컨덕터(222-1)에 대한 연결로 인해 RF 핫이다. 외부 스포크 컨덕터(224a-2)는 접지된 축방향 외부 컨덕터(222-2)로부터 연장되고, 내부 실린더(200)에서 종단된다(그리고 내부 실린더에 전기 연결된다). 중심 스포크 컨덕터(224a-1)는 홀(226a)을 통과하여 [내부 전도체 실린더(200)에 접촉하지 않고서] 중심 전도체 실린더(215)에 접촉한다.
스포크 컨덕터들(224a, 224b, 224c) 각각의 구조는 동일하다. 따라서, 스포크 컨덕터(224b)는 중심 스포크 컨덕터(224b-1), 및 중심 스포크 컨덕터(224b-1)를 둘러싸는 외부 스포크 컨덕터(224b-2)로 구성된다. 중심 스포크 컨덕터(224b-1)는 축방향 중심 컨덕터(222-1)로부터 반경방향으로 연장되고, 중심 실린더(215)에서 종단된다. 중심 스포크 컨덕터(224b-1)는 축방향 중심 컨덕터(222-1)에 대한 연결로 인해 RF 핫이다. 외부 스포크 컨덕터(224b-2)는 접지된 축방향 외부 컨덕터(222-2)로부터 연장되고, 내부 실린더(200)에서 종단되는 한편(내부 실린더에 전기 연결되는 한편), 중심 스포크 컨덕터(224b-1)는 [내부 전도체 실린더(200)에 접촉하지 않고서] 홀(226b)을 통과하여 중심 컨덕터 실린더(215)에 접촉한다.
마찬가지로, 스포크 컨덕터(224c)는 중심 스포크 컨덕터(224c-1), 및 중심 스포크 컨덕터(224c-1)를 둘러싸는 외부 스포크 컨덕터(224c-2)로 구성된다. 중심 스포크 컨덕터(224c-1)는 축방향 중심 컨덕터(222-1)로부터 반경방향으로 연장되고, 중심 실린더(215)에서 종단된다. 중심 스포크 컨덕터(224c-1)는 축방향 중심 컨덕터(222-1)에 대한 연결로 인해 RF 핫이다. 외부 스포크 컨덕터(224c-2)는 접지된 축방향 외부 컨덕터(222-2)로부터 연장되고, 내부 실린더(200)에서 종단되는 한편(그리고 내부 실린더에 전기 연결되는 한편), 중심 스포크 컨덕터(224c-1)는 [내부 전도체 실린더(200)에 접촉하지 않고서] 홀(226c)을 통과하여 중심 전도체 실린더(215)에 접촉한다.
복수의 스포크 중심 컨덕터(224a-1, 224b-1 및 224c-1)는 축방향 중심 컨덕터(222-1)로부터 반경방향으로 연장되어, 중심 전도체 실린더(215)에 전기 접촉한다. 이러한 접촉의 영역은 원형 평면을 정의한다. 이러한 원형 평면의 축방향 위치는 이러한 위치에서의 전기 또는 RF 임피던스가 RF 발생기(120)의 VHF 주파수에서 224a, 224b, 및 224c의 특성 임피던스(characteristic impedance)에 각각 일치하도록 선택된다. 개별 스포크 컨덕터들(224a, 224b 및 224c)의 특성 임피던스는 접합부(222b)에서의 전체 임피던스가 VHF 발생기(120)의 주파수에서의 VHF 발생기(120)의 출력 임피던스와 일치하도록 선택된다.
도 6은 일 실시예에서 자석들(160-1 및 160-2)에 의해 생성되는 커스프 형상 자기장(cusp-shaped magnetic field)을 도시한다. 커스프 형상 자기장은 하부 챔버(100b)에서는 주로 반경방향이고, 따라서 전자들이 워크피스(111)에 도달하지 못하게 한다. 커스프 형상 자기장은 중심 내의 작은 영역에서 축방향이다. 중심에서의 필드가 주로 축방향 형태인 것으로 인한 필드의 중심 부분을 통한 활성 전자들의 누설을 회피하기 위해, 중심 쉴드 또는 블록커(400)가 제공될 수 있다.
도 7은 다른 실시예에서 자석들(160-1 및 160-2)에 의해 생성되는 축방향 형상의 자기장(axial-shaped magnetic field)을 도시한다. 축방향 자기장은 축방향 경로를 따라 전자 빔을 국한시키는 데에 효과적이다.
도 8은 전자들이 워크피스(111)에 도달하는 것을 막기 위해, 하부 챔버(100b) 내에서 자석(161)에 의해 생성되는 횡방향 자기장 M을 도시한다. 자석(161)은 예를 들어 Halbach 어레이로서 구현될 수 있다. 자석(161)은 전자석들의 원형 어레이일 수 있고, 그에 의해 횡방향 자기장 M은 챔버(100)의 원통 대칭의 축에 대해 전기적으로 회전되어 프로세스 균일성을 증강시킬 수 있다.
도 9는 상부 챔버(100a) 내에서 자석들(160-1 및 160-2)에 의해 생성되는 상부 커스프 형상 자기장(500), 및 하부 챔버(100b) 내에서 자석들(160-2 및 160-3)에 의해 생성되는 하부 커스프 형상 자기장(504)을 포함하는 자기장을 도시한다. 상부 및 하부 커스프 형상 자기장들(500, 504)은 각각 그리드 필터(104)의 위와 아래에 있는 각각의 대칭 평면(506, 508)을 갖는다. 상부 커스프 형상 필드(500)는 플라즈마를 전극(108) 부근에 국한시키는 것을 돕는다. 하부 커스프 형상 자기장(504)은 하부 챔버(100b)에서는 주로 반경방향이고, 따라서 전자들이 워크피스(111)에 도달하지 못하게 한다. 커스프 필드는 중심 내의 작은 영역에서 축방향이다. 중심에서의 필드가 주로 축방향 형태인 것으로 인한 필드의 중심 부분을 통한 활성 전자들의 누설을 회피하기 위해, 중심 쉴드 또는 블록커(400)가 하부 챔버(100b) 내에 제공될 수 있다.
도 1, 도 1a 또는 도 2의 전자 빔 플라즈마 반응기들 중 임의의 반응기는 전자 빔 플라즈마 반응기 내에서 워크피스를 처리하는 이하의 방법을 수행하기 위해 이용될 수 있다. 이제 도 10을 참조하면, 그리드 필터(104)는 챔버(100)를 상부 챔버(100a) 및 하부 챔버(100b)로 분할하면서, 하부 챔버(100b) 내에서 그리드 필터(104)를 향하여 워크피스(111)를 지지하기 위해 제공된다[도 10의 블록(610)]. 가스는 상부 및 하부 챔버들(100a, 100b) 중 적어도 하나에 공급된다[도 10의 블록(612)]. 상부 챔버(100a) 내에 빔 전자들을 포함하는 플라즈마를 발생시키기 위한 상부 챔버(100a) 내로의 또는 전극(108)으로의 RF 소스 전력은 대칭 축에 대응하는 빔 전파 방향을 갖는 전자 빔을 생성한다[도 10의 블록(614)]. 방법은 빔 전자들의 적어도 일부가 그리드 필터(104)를 통해 상부 챔버(100a)로부터 하부 챔버(100b)로 유동하는 것을 허용하면서[도 10의 블록(616)], 논-빔 전자들 및 플라즈마 이온들의 적어도 일부가 그리드 필터(104)를 통해 상부 챔버(100a)로부터 하부 챔버(100b)로 유동하는 것은 방지하는 단계를 더 포함한다[도 10의 블록(618)]. 방법은 전자 빔이 하부 챔버(100b) 내에서 플라즈마를 생성하는 것을 허용하는 단계를 포함한다[도 10의 블록(620)]. 방법은 실질적으로 불활성인 가스를 상부 챔버(100a) 내로 공급하고, 분자 프로세스 가스를 하부 챔버(100b) 내로 공급하는 단계를 더 포함할 수 있다[도 10의 블록(622)]. 방법은 바이어스 전압을 워크피스(111)에 결합시키는 단계를 더 포함할 수 있다[도 10의 블록(624)].
원자 층 에칭:
도 1 또는 도 1a 또는 도 2의 반응기는 원자 층 에칭 프로세스를 수행하기 위해 이용될 수 있다. 일례에서, 워크피스(111)는 반도체 벌크 층(예를 들어, 단결정질 실리콘), 상부 층(overlying layer)(예를 들어, 실리콘의 산화물), 및 부분적으로 마스킹될 수 있는 표면 층(예를 들어, 다결정질 실리콘)을 포함한다. 이러한 프로세스에서, 가스 공급부들(138) 중 하나는 에칭 종들(예를 들어, 아르곤 가스)의 프리커서를 포함하는 한편, 가스 공급부들(138) 중 다른 하나는 패시베이션 종들(예를 들어, 염소 가스)의 프리커서를 포함한다. 패시베이션 종들은 패시베이션 프리커서 종들(예를 들어, 염소 가스)의 (플라즈마 내에서의) 해리에 의해 생성된다. 패시베이션은 워크피스(111)를 패시베이션 종들에 노출시킴으로써 수행된다. 일반적으로, 워크피스(111)의 표면 층은 선택된 에너지에서 에칭 종들에 의해 쉽게 에칭되지 않는다(또는 에칭에 민감하지 않다). 패시베이션은 워크피스의 표면 층이 에칭 종들에 의한 에칭에 민감하게 한다. 표면 층의 패시베이션된 부분의 깊이는 패시베이션 종들에 대한 노출 시간에 의해 결정된다. 이러한 프로세스에서, 패시베이션 종들에 대한 노출 시간은 하나의 원자 층이 패시베이션되는 지속기간으로 설정된다. 다음으로, 워크피스(111)는 하나의 원자 층을 제거하기 위해 에칭 종들에 노출된다. 다음으로, 다음 원자 층을 제거하기 위해 상술한 시퀀스가 반복된다. 이러한 사이클은 한 번에 하나의 원자 층씩, 표면 층의 요구되는 부분(예를 들어, 100%)이 제거될 때까지 반복된다. 따라서, 프로세스는 교대하는 패시베이션 위상들 및 에칭 위상들로 구성된다.
이제 도 1, 도 1a, 또는 도 2를 참조하면, 일 실시예에서, 아르곤과 같은 불활성 가스가 상부 챔버(100a) 내로 공급되고, 염소와 같은 분자 프로세스 가스가 하부 챔버(100b) 내로 공급된다. 도 1을 참조하여 위에서 설명된 바와 같이, 플라즈마는 플라즈마 이온들에 의한 전극(108)의 활성 이온 충격을 포함하여, 다양한 벌크 및 표면 프로세스들에 의해 지속된다. 플라즈마의 밀도는 RF 전력 발생기(120)로부터의 VHF 전력의 전력 레벨에 의해, 또는 선택적인 RF 코일 안테나(172)에 급전하는 RF 전력 발생기(174)의 전력 레벨에 의해 주로 제어되는 한편, 전극(108) 상에서의 이온 충격 에너지, 및 그에 따른 결과적인 2차 전자 빔 에너지는 RF 전력 발생기(122)로부터의 저 주파수 전력의 전력 레벨에 의해 주로 제어된다. 패시베이션 위상 동안, RF 전력 발생기(120)로부터의[또는 선택적으로는 선택적인 RF 코일 안테나(172)에 급전하는 RF 전력 발생기(174)로부터의] VHF 전력의300 내지 10,000 와트 범위의 높은 전력 레벨은 상부 챔버(100a) 내에 플라즈마를 생성한다.
높은 전력 레벨들의 상술한 예들은 200mm 내지 300mm 직경의 워크피스들을 처리하는 반응기를 위한 것인 한편, 더 큰 기판들은 더 높은 전력 레벨들을 이용할 것이다. VHF 전력 발생기(120b)로부터 전극(108)으로의 RF 전력의 추가 인가에 의해 선택적으로 증가될 수 있는 전극(108) 상의 전압은 전자 빔을 가속하고, 그러한 전자 빔은 그리드 필터(104)를 통해 하부 챔버(100b) 내로 전파되어, 하부 챔버(100b) 내에 플라즈마를 생성한다. 하부 챔버(100b) 내의 높은 플럭스 및 낮은 에너지의 전자 빔 조건들은 분자 염소의 적어도 일부가 원자 염소 라디칼들, 전자들 및 이온들로 해리되는 것을 증강시킨다. 저 전자 온도 플라즈마로 인해, 인가되는 워크피스 바이어스의 부재 시에, 이온 에너지는 실리콘을 에칭하기 위한 임계값 미만이고, 워크피스 표면의 패시베이션은 상당한 에칭 없이 발생한다. 다음으로, 에칭 위상에서는, (A) 전극(108)에 높은 레벨의 저 주파수 RF 전력(300 내지 10,000 와트 범위)이 인가되는 것, 또는 (B) 전극(108)에 낮은 VHF 전력이 인가되거나 VHF 전력이 인가되지 않는 것, 또는 (C) 코일 안테나(172)에 낮은 RF 전력이 인가되거나 RF 전력이 인가되지 않는 것 중 적어도 하나로 된다. 이러한 사례에서의 낮은 전력은 300 와트 미만의 범위에 있다. 높은 전력 레벨의 상술한 예는 200mm 내지 300mm 직경의 워크피스들을 처리하는 반응기를 위한 것인 한편, 더 큰 기판들은 더 높은 전력 레벨들을 이용할 것이다. 전극(108) 상의 더 높은 전압은 고 에너지 전자 빔을 가속하며, 그러한 전자 빔은 그리드 필터(104)를 통해 하부 챔버(100b) 내로 전파되어, 하부 챔버(100b) 내에 플라즈마를 생성한다. 하부 챔버(100b)에서의 고 에너지 전자 빔 조건들은 이온화를 증강시키고, 분자 염소가 원자 염소 라디칼들, 전자들, 및 이온들로 해리되는 것을 감소시킨다. 추가로, 그리드 필터(104)를 통해 하부 챔버(100b)로 유동된 아르곤 중립자들(Argon neutrals)은 빔 전자들에 의해 이온화될 수 있다. 바이어스 전압은 표면 염소 패시베이션 종들의 존재 시에는 실리콘을 에칭하기에 충분하지만 패시베이션 종들의 부재 시에는 실리콘을 에칭하기에 불충분한 에너지에 대응하는 전압에서 에칭 위상 동안 턴온되고[워크피스 지지 페디스털(110)에 인가되고], 이온 에천트 종들(ionic etchant species)(아르곤 또는 염소 이온들)은 추출되고 워크피스 표면 내로 가속되어 에칭을 촉진한다. 다음으로, 사이클이 반복된다.
대안적으로, 또는 추가적으로, 원격 플라즈마 소스(RPS)(280)는 패시베이션 라디칼들을 제공할 수 있다. 위에서 상세하게 설명되는 실시예들의 대안적인 변형에서, 염소는 상부 챔버(100)a) 내로 공급되고[그리고, 아르곤은 상부 및/또는 하부 챔버들(100a 및 100b)에 선택적으로 공급되고], 염소 라디칼들은 RF 전력 발생기(120)로부터의 고 전력 레벨 VHF 전력의 인가에 의해 상부 챔버(100a) 내에서 발생된다[또는 선택적으로는 RF 전력 발생기(174)로부터의 높은 전력 레벨이 선택적인 RF 코일 안테나(172)에 급전된다]. 패시베이션 동안은 전극(108)에 대한 높은 VHF 전력, 또는 코일 안테나(172)에 대한 선택적인 전력이 이용되는 한편, 에칭 위상 동안은 워크피스 바이어스 전압과 함께 (낮은 또는 제로인 VHF 전력 또는 코일 전력에서) 전극(108)에 대한 저 주파수 RF 전력이 이용된다.
위에서 설명된 에칭 위상 동안, 전극(108)에 결합되는 RF 전력은 저 주파수 RF 전력일 수 있고, 또는 주파수는 더 높은 주파수, 예를 들어 VHF일 수 있다.
도 1, 도 1a, 또는 도 2를 참조하여 위에서 설명된 유형의 전자 빔 플라즈마 반응기를 이용하여 원자 층 에칭을 수행하기 위한 방법이 제공된다. 방법은 도 11에 도시되어 있다. 도 11을 참조하면, 그리드 필터(104)는 프로세스 챔버(100)를 상부 및 하부 챔버(100a, 100b)로 분할하고, 워크피스는 하부 챔버(100b) 내에 배치된다[도 11의 블록(632)]. 분자 프로세스 가스가 챔버(100)에 공급된다[도 11의 블록(634)]. 패시베이션 프로세스가 수행되며[도 11의 블록(636)], 그러한 패시베이션 프로세스는 (A) (a) 높은 전력 레벨의 VHF 전력을 상기 상부 챔버(100a) 내로 또는 전극(108)에 결합시키는 것[도 11의 블록(638)], 또는 (b) 높은 레벨의 유도 결합된 전력을 상부 챔버(100a) 내로 결합시키는 것[도 11의 블록(640)] 중 적어도 하나를 수행하는 단계; 및 (B) 패시베이션 프로세스 동안 표면 층의 에칭을 감소시키거나 방지하기 위해, 워크피스 상의 바이어스 전압을 상기 워크피스의 상기 표면 층을 에칭하기 위한 임계값 미만으로 또는 제로로 유지하는 단계[도 11의 블록(642)]로 구성된다.
패시베이션 프로세스 이후에, 아래와 같이 에칭 프로세스가 수행된다[도 11의 블록(644)]: (A) (a) 높은 레벨의 저 주파수 RF 전력을 상기 천장 전극에 인가하는 것[도 11의 블록(646)], 또는 (b) (1) 상기 VHF 전력 또는 (2) 상기 유도 결합된 전력 중 적어도 하나의 전력 레벨을 감소시키거나 제거하는 것[도 11의 블록(648)] 중 적어도 하나를 수행하는 단계; 및 (B) 상기 워크피스 상의 바이어스 전압을 상기 표면 층을 에칭하기 위한 임계값보다 높게 유지하는 단계[도 11의 블록(650)].
다음으로, 방법은 패시베이션 프로세스 및 에칭 프로세스를 교대로 연속하여 반복하는 단계로 구성된다[도 11의 블록(652)].
분자 프로세스 가스가 하부 챔버(100b) 내로 공급될 수 있다. 추가로, 방법은 불활성 가스를 상부 챔버(100a) 내로 공급하는 단계를 더 포함할 수 있다. 대안적으로, 분자 프로세스 가스가 상부 챔버(100a) 내로 공급될 수 있다.
이점들:
천장으로의 VHF 및 RF 전력 유동의 원통형 대칭, 및 원형 워크피스에 걸친 전자 빔 분포의 원통형 대칭은 처리에서의 방위각 균일성을 최적화한다. RF 또는 VHF 급전 전극은 전자 빔을 발생시키기 위한 플라즈마 소스를 제공하고, 전극 또는 접지 복귀를 위한 비-절연 표면들을 필요로 하지 않는다. 전극(108)은 특정 플라즈마 프로세스들에서 소모가능할 수 있고, 그러한 것으로서, 정전 척을 포함할 수 있는 지지 구조물은 신속한 전극 교체 및 챔버 유지보수 복구를 허용하는 한편, 프로세스 안정성에 결정적인 반복가능한 전기 및 열 전극 성능을 보장한다. 그리드 필터(104)는 상부 챔버(100a)와 하부 챔버(100b) 사이의 분리를 제공하여, 하부 챔버(100b) 내의 워크피스 처리 환경을 상부 챔버(100a)의 플라즈마 소스 환경에 독립하여 제어하는 것을 가능하게 한다. 상부 및 하부 가스 주입기들(130 및 134)은 상부 및 하부 챔버들(100a, 100b)에 대한 상이한 가스들 또는 가스 종들의 독립적인 분산을 가능하게 한다. 예를 들어, 상부 챔버(100a) 내에서 요구되는 종들을 생성하는 데에 특히 유용한 하나의 가스(예를 들어, "소스" 가스)가 상부 챔버(100a) 내로 주입되는 한편, 워크피스(111)를 처리하는 데에 필요한 다른 가스(예를 들어, "프로세스" 가스)가 하부 챔버(100b) 내로 주입된다. 또한, 가스는 그리드 필터(104)의 가스 주입 배출구들(105b)을 통해 주입될 수 있다. 예를 들어, 불활성 가스는 하부 챔버(100b) 내의 프로세스 가스가 상부 챔버(100a) 내로 대류 또는 확산하는 것을 실질적으로 방지하기 위해 그리드 필터(104)의 가스 주입 배출구들(105b)에 주입될 수 있다. 분자 가스가 상부 챔버(100a)에 제공되는 실시예에서, 상부 챔버(100a) 내에서의 종들의 해리는 워크피스(111)를 높은 이온 밀도의 플라즈마에 노출시킬 필요 없이, 더 높은 VHF 전력을 전극(108)에 인가하는 것에 의해, 또는 RF 전력을 유도 코일 안테나(172)에 인가하는 것에 의해 증강될 수 있다. 전자 빔 에너지 및 플럭스는 상대적인 해리 및 이온화 프로세스들을 제어하도록 조절될 수 있다: 불활성 가스가 상부 챔버(100a) 내로 유동되고 분자 가스가 하부 챔버(100b)에 유동되는 실시예에서, 전극(108)에 대한 RF 및/또는 VHF 전력, 및/또는 코일 안테나(172)에 대한 RF 전력은 하부 챔버(100b) 내에서의 해리 및 이온화 프로세스들의 상대적인 제어를 위해, 하부 챔버(100b)에 대한 전자 빔 에너지 및 플럭스를 조절하도록 조절될 수 있다. 라디칼들 또는 해리된 종들의 파퓰레이션(population)은 원격 플라즈마 소스(280)에 의해 증강될 수 있다. 저 전자 온도 플라즈마가 연속적으로 생성되지 않을 수 있게 하는(펄스화된 dc 또는 RF CCP/ICP 방전의 오프 시간 동안 간헐적으로 생성될 수 있게 하는) 흔하게 이용되는 dc 방전의 RF 용량 결합된 플라즈마 또는 유도 결합된 플라즈마와는 달리, 상술한 실시예들은 저 전자 온도 플라즈마를 하부 챔버(100b) 내의 워크피스(111)에 걸쳐서 높은 균일성을 갖고서 연속적으로 생성할 수 있다. 더욱이, 음전기 가스를 이용하면, 전자 결핍의 고도로 음전성인 "이온-이온" 플라즈마가 워크피스에 걸쳐서 높은 균일성을 갖고서 연속적으로 생성될 수 있고, 워크피스 지지 페디스털(110)에 저 주파수 바이어스 전압 또는 저 반복 주파수의 임의의 전압 파형이 인가되면, 양 이온들 및/또는 음 이온들을 선택적으로 또는 교대로 플라즈마로부터 추출되어, 에칭, 세정, 퇴적, 또는 다른 재료 수정 프로세스들을 위한 요구되는 에너지 레벨들에서 워크피스 표면 내로 가속될 수 있다.
상술한 것은 본 발명의 실시예들에 관한 것이지만, 다른 실시예들 및 추가의 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 전자 빔 플라즈마 반응기로서,
    (1) 상부 플라즈마 챔버; 및
    (2) 하부 플라즈마 챔버
    를 포함하고,
    상기 상부 플라즈마 챔버는,
    측벽,
    최상부 전극 지지체,
    상기 최상부 전극 지지체에 열 결합되고, 최상부 전극 표면을 갖는 최상부 전극,
    상기 최상부 전극에, 또는 상기 최상부 전극 지지체에, 또는 상기 상부 플라즈마 챔버의 내부에 결합된 RF 소스 전력 발생기 - 상기 RF 소스 전력 발생기는, 상기 상부 플라즈마 챔버 내에 플라즈마를 생성하여 상기 최상부 전극의 내부 표면의 이온 충격을 야기하는 전력을 인가하도록 구성되어, 상기 최상부 전극에 의해 2차 전자들이 방출되어 상기 최상부 전극의 상기 내부 표면에 실질적으로 수직인 전자 빔 방향을 갖는 전자 빔을 형성하도록 함 -;
    상기 상부 플라즈마 챔버에 제1 가스를 공급하기 위한 제1 가스 분산기,
    상기 최상부 전극 표면을 향하는 그리드 필터
    를 포함하고,
    상기 그리드 필터는 상기 상부 플라즈마 챔버를 상기 하부 플라즈마 챔버로부터 분리하고, 상기 그리드 필터는 상기 전자 빔의 적어도 일부가 상기 그리드 필터를 통해 상기 하부 플라즈마 챔버로 전파되도록 구성되며, 상기 그리드 필터는 복수의 개구를 포함하고,
    상기 개구들은, 상기 개구들이 상기 상부 플라즈마 챔버 내의 상기 플라즈마로부터의 플라즈마 이온과 전자들에 비하여 상기 전자 빔으로부터의 2차 전자들을 우선적으로 통과시키도록, 상기 상부 플라즈마 챔버 내의 상기 플라즈마로부터의 플라즈마 이온과 전자들의 적어도 일부가 상기 그리드 필터를 통해 유동하는 것을 방지하도록 구성되며,
    상기 하부 플라즈마 챔버는,
    처리 영역을 둘러싸는 진공 챔버 바디,
    전기 절연된 정전 척을 포함하는 워크피스 지지 페디스털, 및
    상기 하부 플라즈마 챔버에 제2 음전기(electronegative) 프로세스 가스를 공급하기 위한 제2 가스 분산기
    를 포함하고,
    상기 RF 소스 전력 발생기는 전력을 인가하도록 구성되고,
    상기 개구들은 상기 전자 빔의 일부를 통과시키도록 구성되어, 상기 2차 전자들이 상기 하부 플라즈마 챔버 내의 상기 제2 음전기 프로세스 가스와 충돌하여, 상기 상부 플라즈마 챔버 내의 상기 플라즈마보다 낮은 전자 온도를 갖고 음전기 이온-이온 플라즈마를 제공하는 플라즈마를 상기 하부 플라즈마 챔버 내에 형성하도록하는, 전자 빔 플라즈마 반응기.
  2. 제1항에 있어서, 상기 워크피스 지지 페디스털에 결합된 바이어스 전압 발생기를 더 포함하는 전자 빔 플라즈마 반응기.
  3. 제1항에 있어서, 상기 최상부 전극은 실리콘, 탄소, 실리콘 탄화물, 실리콘 산화물, 알루미늄 산화물, 이트륨 산화물, 지르코늄 산화물 중 하나를 포함하는, 전자 빔 플라즈마 반응기.
  4. 제1항에 있어서, 상기 RF 소스 전력 발생기는 제1 주파수를 갖는 제1 RF 전력 발생기, 및 제2 주파수를 갖는 제2 RF 전력 발생기를 포함하는, 전자 빔 플라즈마 반응기.
  5. 제1항에 있어서, 상기 RF 소스 전력 발생기와 상기 최상부 전극 사이에 결합된 폴드형 공진기(folded resonator)를 더 포함하는 전자 빔 플라즈마 반응기.
  6. 제1항에 있어서, 상기 그리드 필터는 서로를 향하는 제1 및 제2 그리드를 포함하고, 상기 플라즈마 반응기는 상기 제1 그리드 및 상기 제2 그리드 중 하나에 연결된 가속 전압 소스를 더 포함하는 전자 빔 플라즈마 반응기.
  7. 제1항에 있어서,
    상기 측벽 내의 윈도우;
    상기 윈도우 주위의 코일 안테나; 및
    상기 코일 안테나에 결합된 RF 발생기
    를 더 포함하는 전자 빔 플라즈마 반응기.
  8. 제1항에 있어서,
    상기 제1 가스는 실질적으로 불활성인 가스인, 전자 빔 플라즈마 반응기.
  9. 제1항에 있어서,
    상기 제1 가스 분산기는 상기 측벽을 통해 연장되는 가스 주입기를 포함하는, 전자 빔 플라즈마 반응기.
  10. 제9항에 있어서,
    상기 최상부 전극은 가스 분배를 위해 구성되지 않는, 전자 빔 플라즈마 반응기.
  11. 제1항에 있어서,
    상기 최상부 전극 지지체는 전기 절연된 정전 척을 포함하고,
    상기 전기 절연된 정전 척에 결합된 DC 척킹 전압 소스를 포함하는 전자 빔 플라즈마 반응기.
  12. 제1항에 있어서,
    상기 최상부 전극 지지체에 결합된 제1 열 제어 장치, 및 상기 워크피스 지지 페디스털에 결합되며 상기 그리드 필터를 향하는 워크피스 지지 표면을 갖는 제2 열 제어 장치를 포함하고,
    상기 제1 열 제어 장치는 상기 최상부 전극 지지체 내의 제1 복수의 통로 및 상기 제1 복수의 통로에 결합되며 상기 최상부 전극 지지체를 위한 열 싱크를 제공하는 제1 순환 공급부를 포함하며,
    상기 제2 열 제어 장치는 상기 워크피스 지지 페디스털 내의 제2 복수의 통로 및 상기 제2 복수의 통로에 결합되며 상기 워크피스 지지 페디스털을 위한 열 싱크를 제공하는 제2 순환 공급부를 포함하는,
    전자 빔 플라즈마 반응기.
  13. 제1항에 있어서,
    상기 상부 플라즈마 챔버에 인접한 제1 자석 및 상기 하부 플라즈마 챔버에 인접한 제2 자석을 포함하고, 상기 제1 및 제2 자석 각각은 상기 전자 빔 플라즈마 반응기의 상기 전자 빔 방향에 평행한 자기장 방향을 갖는, 전자 빔 플라즈마 반응기.
  14. 제13항에 있어서,
    상기 제1 자석은 영구 자석 또는 전자석인, 전자 빔 플라즈마 반응기.
  15. 삭제
KR1020177029223A 2015-03-17 2016-01-15 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기 KR102478896B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/660,531 2015-03-17
US14/660,531 US10475626B2 (en) 2015-03-17 2015-03-17 Ion-ion plasma atomic layer etch process and reactor
PCT/US2016/013604 WO2016148769A1 (en) 2015-03-17 2016-01-15 Ion-ion plasma atomic layer etch process and reactor

Publications (2)

Publication Number Publication Date
KR20170130467A KR20170130467A (ko) 2017-11-28
KR102478896B1 true KR102478896B1 (ko) 2022-12-19

Family

ID=56919264

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177029223A KR102478896B1 (ko) 2015-03-17 2016-01-15 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기

Country Status (6)

Country Link
US (3) US10475626B2 (ko)
JP (3) JP6891123B2 (ko)
KR (1) KR102478896B1 (ko)
CN (1) CN107636793B (ko)
TW (1) TWI713076B (ko)
WO (1) WO2016148769A1 (ko)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8887683B2 (en) * 2008-01-31 2014-11-18 Plasma Igniter LLC Compact electromagnetic plasma ignition device
US8920597B2 (en) 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9734991B2 (en) * 2015-07-28 2017-08-15 Varian Semiconductor Equipment Associates, Inc. Negative ribbon ion beams from pulsed plasmas
JP6753678B2 (ja) * 2016-03-25 2020-09-09 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置及びプラズマ点火方法
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP7069159B2 (ja) * 2016-12-27 2022-05-17 エヴァテック・アーゲー 高周波容量結合エッチング反応器
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
WO2018218160A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Monopole antenna array source for semiconductor process equipment
US11222769B2 (en) 2017-05-26 2022-01-11 Applied Materials, Inc. Monopole antenna array source with gas supply or grid filter for semiconductor process equipment
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
JP6937644B2 (ja) * 2017-09-26 2021-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10269574B1 (en) * 2017-10-03 2019-04-23 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
JP7002921B2 (ja) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20190186369A1 (en) 2017-12-20 2019-06-20 Plasma Igniter, LLC Jet Engine with Plasma-assisted Combustion
WO2019143474A1 (en) * 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
KR20200130490A (ko) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
WO2019199922A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US11049697B2 (en) 2018-06-20 2021-06-29 Board Of Trustees Of Michigan State University Single beam plasma source
US10790153B2 (en) * 2018-06-29 2020-09-29 Applied Materials, Inc. Methods and apparatus for electron beam etching process
WO2020051064A1 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
JP2020092195A (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
JP7447118B2 (ja) 2018-12-17 2024-03-11 アプライド マテリアルズ インコーポレイテッド 光学装置製造のためのイオンビーム源
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
CN111446144B (zh) * 2019-01-17 2024-04-19 东京毅力科创株式会社 静电吸附部的控制方法和等离子体处理装置
JP7346269B2 (ja) * 2019-01-17 2023-09-19 東京エレクトロン株式会社 静電吸着部の制御方法、及びプラズマ処理装置
CN113474483A (zh) * 2019-02-07 2021-10-01 朗姆研究公司 能时间和/或空间上调制一或更多等离子体的衬底处理
US20220165546A1 (en) * 2019-03-14 2022-05-26 Lam Research Corporation Plasma etch tool for high aspect ratio etching
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
US11195718B2 (en) 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
US11043362B2 (en) * 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
KR20210061846A (ko) * 2019-11-20 2021-05-28 삼성전자주식회사 기판 처리 장치 및 이를 이용한 반도체 소자의 제조 방법
KR20220108111A (ko) 2019-11-27 2022-08-02 어플라이드 머티어리얼스, 인코포레이티드 선택적 갭 충전을 위한 듀얼 플라즈마 사전-세정
KR102362893B1 (ko) * 2019-11-27 2022-02-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP2023503578A (ja) * 2019-11-27 2023-01-31 アプライド マテリアルズ インコーポレイテッド 複数のプラズマユニットを有する処理チャンバ
CN111463094B (zh) * 2020-04-16 2023-08-18 北京北方华创微电子装备有限公司 原子层刻蚀设备和原子层刻蚀方法
CN113903644A (zh) * 2020-06-22 2022-01-07 江苏鲁汶仪器有限公司 一种用于离子束刻蚀腔的挡件
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
JP2022064042A (ja) * 2020-10-13 2022-04-25 株式会社Kelk 基板処理装置
KR20220097202A (ko) * 2020-12-31 2022-07-07 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
JP2023161689A (ja) * 2022-04-26 2023-11-08 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、およびリモートプラズマ源

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085398A (ja) * 1999-09-13 2001-03-30 Kobe Steel Ltd プラズマ処理装置
JP2006114614A (ja) * 2004-10-13 2006-04-27 Canon Anelva Corp プラズマ処理装置および方法
JP2010116596A (ja) * 2008-11-13 2010-05-27 Shinmaywa Industries Ltd 基板支持装置およびこれを備えるプラズマ処理装置
JP2014239210A (ja) * 2013-04-05 2014-12-18 ラム リサーチ コーポレーションLam Research Corporation 半導体製造用の内部プラズマグリッド

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US6184150B1 (en) * 1992-09-08 2001-02-06 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5561298A (en) * 1994-02-09 1996-10-01 Hughes Aircraft Company Destruction of contaminants using a low-energy electron beam
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
KR100301066B1 (ko) * 1999-08-16 2001-11-01 윤종용 비금속 도전물질로 구성된 음극판을 갖는 전자빔 조사장비
JP4414518B2 (ja) * 1999-09-10 2010-02-10 株式会社日立製作所 表面処理装置
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP2004281230A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US6903511B2 (en) * 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
KR101001743B1 (ko) 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
KR100555849B1 (ko) * 2003-11-27 2006-03-03 주식회사 셈테크놀러지 중성입자빔 처리장치
US20050224722A1 (en) * 2004-03-30 2005-10-13 Applied Materials, Inc. Method and apparatus for reducing charge density on a dielectric coated substrate after exposure to large area electron beam
US20050281958A1 (en) * 2004-06-22 2005-12-22 Walton Scott G Electron beam enhanced nitriding system (EBENS)
WO2006003874A1 (ja) * 2004-06-30 2006-01-12 Matsushita Electric Industrial Co., Ltd. 通信装置、通信設定方法、通信設定プログラム及び通信設定プログラムを記録した記録媒体
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
US7777197B2 (en) * 2005-06-02 2010-08-17 Applied Materials, Inc. Vacuum reaction chamber with x-lamp heater
EP1891407A4 (en) 2005-06-17 2009-09-23 Perkinelmer Inc ACCELERATION DEVICES AND METHODS OF USE THEREOF
US7351264B2 (en) * 2005-07-27 2008-04-01 Wilson Michael T Liner for prosthesis
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US20070119375A1 (en) * 2005-11-30 2007-05-31 Darrin Leonhardt Dual large area plasma processing system
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
KR100835355B1 (ko) 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7829469B2 (en) * 2006-12-11 2010-11-09 Tokyo Electron Limited Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7884025B2 (en) 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
TW200845197A (en) 2007-03-28 2008-11-16 Matsushita Electric Ind Co Ltd Plasma etching apparatus
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
MX2010004068A (es) * 2007-10-15 2010-08-09 M I Swaco Norge As Metodo para incrementar la adsorcion de un inhibidor en una region de perforacion.
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
US8263499B2 (en) * 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US20100190098A1 (en) * 2009-01-27 2010-07-29 Applied Materials, Inc. Infrared endpoint detection for photoresist strip processes
CN102396052B (zh) * 2009-02-06 2014-06-18 佳能安内华股份有限公司 等离子体处理装置、等离子体处理方法以及包括待处理基板的元件的制造方法
KR101572100B1 (ko) * 2009-05-31 2015-11-26 위순임 복합 주파수를 이용한 대면적 플라즈마 반응기
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8343371B2 (en) * 2010-01-15 2013-01-01 Tokyo Electron Limited Apparatus and method for improving photoresist properties using a quasi-neutral beam
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
SG193943A1 (en) * 2011-04-11 2013-11-29 Lam Res Corp E-beam enhanced decoupled source for semiconductor processing
JP6016339B2 (ja) * 2011-08-12 2016-10-26 東京エレクトロン株式会社 カーボンナノチューブの加工方法及び加工装置
US20130098873A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Overhead electron beam source for plasma ion generation in a workpiece processing region
US9129777B2 (en) * 2011-10-20 2015-09-08 Applied Materials, Inc. Electron beam plasma source with arrayed plasma sources for uniform plasma generation
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140360670A1 (en) * 2013-06-05 2014-12-11 Tokyo Electron Limited Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9362131B2 (en) * 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085398A (ja) * 1999-09-13 2001-03-30 Kobe Steel Ltd プラズマ処理装置
JP2006114614A (ja) * 2004-10-13 2006-04-27 Canon Anelva Corp プラズマ処理装置および方法
JP2010116596A (ja) * 2008-11-13 2010-05-27 Shinmaywa Industries Ltd 基板支持装置およびこれを備えるプラズマ処理装置
JP2014239210A (ja) * 2013-04-05 2014-12-18 ラム リサーチ コーポレーションLam Research Corporation 半導体製造用の内部プラズマグリッド

Also Published As

Publication number Publication date
TW201705185A (zh) 2017-02-01
US20180261429A1 (en) 2018-09-13
TWI713076B (zh) 2020-12-11
US10475626B2 (en) 2019-11-12
CN107636793A (zh) 2018-01-26
JP2018510470A (ja) 2018-04-12
JP2021153056A (ja) 2021-09-30
JP6891123B2 (ja) 2021-06-18
CN107636793B (zh) 2019-10-25
WO2016148769A1 (en) 2016-09-22
KR20170130467A (ko) 2017-11-28
US20200035454A1 (en) 2020-01-30
JP2024020348A (ja) 2024-02-14
JP7385621B2 (ja) 2023-11-22
US11101113B2 (en) 2021-08-24
US20160276134A1 (en) 2016-09-22

Similar Documents

Publication Publication Date Title
JP7385621B2 (ja) イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ
US11476093B2 (en) Plasma etching systems and methods with secondary plasma injection
JP2648235B2 (ja) イオン銃
US7837826B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7863582B2 (en) Ion-beam source
JP3381916B2 (ja) 低周波誘導型高周波プラズマ反応装置
US6250250B1 (en) Multiple-cell source of uniform plasma
US7491649B2 (en) Plasma processing apparatus
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
US9564297B2 (en) Electron beam plasma source with remote radical source
US10395903B2 (en) Self-sustained non-ambipolar direct current (DC) plasma at low power
EP0396398B1 (en) Plasma etching apparatus with surface magnetic fields
JP2005514762A (ja) 加工物をプラズマ処理するための磁気フィルタを備える方法および装置
KR20060090745A (ko) 플라즈마 처리 장치용 측면 rf 코일 및 측면 히터
US20130098873A1 (en) Overhead electron beam source for plasma ion generation in a workpiece processing region
US20130287963A1 (en) Plasma Potential Modulated ION Implantation Apparatus
US20200006036A1 (en) Methods and apparatus for electron beam etching process
KR20020092482A (ko) 이온 플럭스가 향상된 이온빔 소오스

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant