JP7069159B2 - 高周波容量結合エッチング反応器 - Google Patents

高周波容量結合エッチング反応器 Download PDF

Info

Publication number
JP7069159B2
JP7069159B2 JP2019528819A JP2019528819A JP7069159B2 JP 7069159 B2 JP7069159 B2 JP 7069159B2 JP 2019528819 A JP2019528819 A JP 2019528819A JP 2019528819 A JP2019528819 A JP 2019528819A JP 7069159 B2 JP7069159 B2 JP 7069159B2
Authority
JP
Japan
Prior art keywords
electrode configuration
electrode
supply signal
plasma
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019528819A
Other languages
English (en)
Other versions
JP2020503667A (ja
Inventor
ヨハンネス・ヴァイヒャルト
ユルゲン・ヴァイヒャルト
Original Assignee
エヴァテック・アーゲー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エヴァテック・アーゲー filed Critical エヴァテック・アーゲー
Publication of JP2020503667A publication Critical patent/JP2020503667A/ja
Application granted granted Critical
Publication of JP7069159B2 publication Critical patent/JP7069159B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Description

本発明は、2電極容量結合RFエッチング反応器又は装置を対象としており、例えば米国特許第6,248,219号明細書に示されているように、実質的にケーニッヒの法則に従う。
このような反応器又は装置では、プラズマ空間は、第1の電極構成と、第1の電極構成に面する第2の電極配置とからなる電極構成に単に作動的に接触している。ケーニッヒの法則は、Rfプラズマ放電が発生する電極表面に隣接する時間平均電位降下の比が、それぞれの電極表面積の4乗に反比例することで定義されることを示している。前述のように、ケーニッヒの法則が有効である条件も当該特許で扱われている。その結果、Rfプラズマに露出される、より小さい電極表面が、主にスパッタ除去され、言い換えれば、エッチングされ、大きい方が、主にスパッタ被覆されると、当業者は結論付ける。
米国特許第6,248,219号明細書
本発明の目的は、指定されたタイプの改良されたエッチング反応器又は装置を提供することである。
これは、所定の圧力条件を含む所定の条件下でのエッチング動作用に構成された容量結合RF真空エッチング装置によって実現される。このような装置は、筐体とも呼ばれる真空容器を含む。
真空容器内には、第1の電極構成と第1の電極構成に対向する第2の電極構成とからなる1つの電極構成が単に接触するプラズマ空間が設けられている。
導電性ではない、又は電気的に浮遊するように動作する他の部材は、プラズマ空間と接触していてもよいが、電極とは見なされない。
プラズマが2つの電極のみによって操作され、影響を受けるという事実は、ケーニッヒ型反応器にとって不可欠である。
第1の電極構成は、プラズマ空間に露出される第1の電極表面を画定する。
第2の電極構成は、プラズマ空間に露出される第2の電極表面を画定し、支持体表面を有する加工対象物支持体の表面を含む。
第1の電極表面は、第2の電極表面よりも大きい。
第1の電極構成は、整合器装置を介してRf発生装置の出力装置に電気的に接続され、そこから供給される。
第1の電極構成は、プラズマ空間に自由に露出され、浸される周囲表面を有するこの体を含み、それによって、周囲表面は、第1の電極表面の一部である。
この金属体の周囲表面が自由にプラズマ空間に露出されると我々が述べるとき、その表面のいくつかの軽微で無視できる部分が自由には露出されないが、プラズマ空間内のこの体の機械的載置を確立するために必ず用いられることは明らかである。
このような体によって、第1の電極構成の有効表面は、著しく拡大される。
本発明による装置の一実施形態では、金属体は、動作中にプラズマが所定の条件において貫通開口内で燃焼するように調整された貫通開口及び/又は貫通スリットのパターンを含む。
このような貫通開口及び/又は貫通スリットによって、プラズマ空間内のプラズマ分布は制御され、従って、加工対象物又は基板へのエッチング効果の分布は制御されることができる。
本発明による装置の一実施形態では、第1の電極表面は、第1の平面に沿って延びる第1の表面領域と、第2の平面に沿って延びる前記体の第2の表面領域とを含み、前記第1及び第2の表面領域は、動作中、プラズマが所定の条件で間隙に沿って間隙の中で燃焼するように調整される。
このような体が、プラズマがその中で燃焼することを可能にするのに丁度十分に大きく、大き過ぎない幅を有する間隙を形成するように構成され、取り付けられる場合、第1の電極の有効表面は、プラズマ空間の全容積、従って、真空受容器の全容積を大幅に増大させることなく、大幅に拡大する。
本発明による装置の一実施形態では、第2の電極表面は、第3の平面に沿って延びる表面領域を含み、前記第1、第2及び第3の平面は、平行な平面である。
従って、反応器は、一実施形態において、主に、平行電極反応器である。
一実施形態では、指定された金属体は、プレートである。
本発明による容量結合RF真空エッチング装置の一実施形態では、Rf発生器装置は、少なくとも1つの第1のプラズマ供給信号を超高周波数で出力装置に発生させ、少なくとも1つの第2のプラズマ供給信号を超高周波数より低い高周波で出力装置に発生させ、第1の電極構成は、整合器装置を介して出力装置に電気的に接続されており、動作中、第1及び第2のプラズマ供給信号によって電気的に供給される。
第2の電極構成は、少なくともエッチング動作中に、システム接地タブに電気的に接続されている。
プラズマ空間内でのプラズマの二重又は多重でさえあるRf周波数供給により、プラズマ密度、従ってエッチング効率は、著しく向上する。
それにもかかわらず、反応器又は装置の全体的な構造は、第1の電極構成のみが複数Rf供給され、整合器装置を備えているのに対して、第2の電極構成は、接地電位に保たれるという事実によって著しく容易にされる。加工対象物支持体を提供するのがこの第2の電極構成であるので、加工対象物取扱装置の構造もそれによって実質的に単純化される。
本発明による装置の一実施形態では、第1のプラズマ供給信号及び第2のプラズマ供給信号は、整流器装置を介して、局所的に異なる接点で第1の電極構成に接続される。
本発明による装置の一実施形態では、Rf発生器装置は、整流器装置を介して、局所的に異なる2つ以上の接点で第1の電極構成に接続される。
直前に述べた両方の実施形態は、プラズマ空間内のプラズマ分布を改善することができ、特に大きな加工対象物又は基板をエッチングするために、定常波の発生を減らすことに寄与することができる。
上述したように、少なくとも第1の超高周波数供給信号及び第2の高周波供給信号が第1の電極構成に印加される本発明による装置の実施形態では、一実施形態において、
10 MHz ≦ fvhf ≦ 400 MHz、
又は、
10 MHz ≦ fvhf ≦ 300 MHz、
又は、
20 MHz ≦ fvhf ≦ 300 MHz、
又は、
20 MHz ≦ fvhf ≦ 100 MHz、
及び、
0.01 fvhf ≦ fhf ≦ 0.5 fvhf
又は、
0.05 fvhf ≦ fhf ≦ 0.5 fvhf
が成立する。
ここで、fhfは、高周波供給信号の周波数を表し、fvhfは、超高周波供給信号の周波数を表す。
本発明による装置の一実施形態では、加工対象物支持体は、第1の電極構成に向かって、及び、そこから、駆動可能である。
本発明による装置の一実施形態では、加工対象物支持体は、第1の電極構成に向かって、及び、そこから、移動可能ではない。
本発明による装置の一実施形態では、加工対象物支持体は、第1の電極構成に向かって、及び、そこから、移動可能ではなく、第1の電極構成は、加工対象物を搬入/搬出するための駆動可能な扉を備える。
本発明による装置の一実施形態では、筐体は、ポンピングポートを含むポンピング室と、第1の電極構成を含むエッチング室とに細分される。これらの室は、動作中にプラズマが所定のエッチング条件においてその中で燃焼しないように調整された貫通開口及び/又は貫通スリットのパターンを有する側板又はリムによって分離される。
このような2つの室構造により、エッチング室を小さく、特定の加工対象物支持体又は基板及び電極構成に丁度適応するように保ちながら、大きなポンピングポートを介して高いポンピング効率を実現することが可能になる。ポンピングポートの要件を考慮せずに、エッチング室の容量を電極構成に十分に活用することができる。
本発明による装置の一実施形態では、筐体は、ポンピングポートを含むポンピング室と、第1の電極構成を含むエッチング室とに細分される。これらの室は、側板又はリムで分けられる。側板又はリムは、エッチング室への加工対象物のアクセス開口を画定するフレームを保持する。フレームは、ポンピング室とエッチング室との間の隙間を相互に画定する多数のスポークを用いて側板又はリムによって保持される。動作中にプラズマがその中で燃焼しないように、隙間が調整される。さらなる実施形態では、フレームは、それによって、フレームが熱負荷の下で自由に伸縮することができるような方法でスポークを用いてリム又は側板で保持される。
本発明による装置の一実施形態では、筐体は、再び、ポンピングポートを含むポンピング室と、第1の電極構成を含むエッチング室とに細分される。これらの室は、動作中にプラズマが所定のエッチング条件でそこで燃焼しないように調整された貫通開口又は貫通スリットのパターンを有する側板又はリムによって分離される。側板又はリムは、エッチング室への加工対象物のアクセス開口を画定するフレームを保持する。加工対象物支持体は、搬入/搬出位置から処理位置へ、及び、その逆方向へ、駆動可能である。フレームは、処理位置で加工対象物支持体上の加工対象物又は基板の下降保持部材として機能する。
当業者は、いつ真空プラズマがボイド内で燃焼するか又は燃焼しないか、所定の処理条件が適用された場合、例えば、穴の中、隙間のスリットの中で燃焼するか又は燃焼しないかについて知っている。
本発明による装置の一実施形態では、筐体は、再び、ポンピングポートを含むポンピング室と、第1の電極構成を含むエッチング室とに細分される。これらの室は、側板又はリムで分けられる。側板又はリムは、エッチング室への加工対象物のアクセス開口を画定するフレームを保持する。加工対象物支持体は、搬入/搬出位置から処理位置へ、及び、その逆方向へ、駆動可能である。フレームは、処理位置で加工対象物支持体上の加工支持体又は基板の下降保持部材として機能するように構成される。側板又はリムは、ポンピング室とエッチング室との間に隙間を相互に画定する多数のスポークによってフレームを保持する。隙間は、動作中にプラズマが所定のエッチング条件においてそこで燃焼しないように調整される。フレームは、熱負荷の下でも自由に伸縮できるように、スポークを用いてリム又は側部によって保持されている。
本発明による装置の一実施形態では、スポークは、圧縮可能及び/又は屈曲可能な部材として構成されているので、フレームを弾性的に自由に伸縮させることができる。
本発明による装置の一実施形態では、スポークの少なくとも一部は、それぞれ長さ範囲の方向を定義し、長さ範囲のそれぞれの方向は、それぞれのスポーク固定の中心でフレーム上の接線と角度αで交差し、90°>α≧0°が成立するようになる。
本発明による装置の一実施形態では、加工対象物支持体は、液体の加熱媒体又は冷却媒体を受け取るように構成された流路構成を備える。
本発明による装置の一実施形態では、筐体は、ポンピングポートを含むポンピング室と、第1の電極構成を含むエッチング室とに細分される。これらの室は、動作中にプラズマが所定のエッチング条件においてそこで燃焼しないように調整された貫通開口及び/又は貫通スリットのパターンを有する側板又はリムによって分離される。加工対象物支持体は、搬入/搬出位置から処理位置へ、及び、その逆方向へ、駆動可能である。エッチング室に露出される加工対象物又は基板の表面の周囲にそれに沿った処理位置において加工対象物支持体上で加工対象物又は基板を機械的に下方に保持するように調整された下降保持部材が設けられる。加工対象物支持体は、液体の加熱媒体又は冷却媒体を受け取るように構成された流路構成と、熱伝導気体を受け取るように構成された更なる流路構成とを備える。更なる流路構成は、加工対象物支持体の支持体表面に穴及び/又はスリットのパターンで放電する。
本発明による装置の一実施形態では、さらなる流路構成、並びに、支持体表面で放電する穴及び/又はスリットは、前記支持体表面と基板又は加工対象物との間の間隙の周囲に沿って、前記間隙のより中央部圧力に少なくとも等しい熱伝導気体の圧力を確立するように調整される。
本発明による装置の一実施形態では、筐体は、ポンピングポートを含むポンピング室と、第1の電極構成を含むエッチング室とに細分される。これらの室は、動作中に前記所定のエッチング条件においてプラズマがその中で燃焼しないように調整された貫通開口及び/又は貫通スリットのパターンを有する側板又はリムによって分離される。側板又はリムは、筐体の一部であるか、又は、筐体の一部及び第1の電極構成の一部を含む。
本発明による装置の一実施形態では、筐体は、ポンピングポートを含むポンピング室と、第1の電極構成を含むエッチング室とに細分される。これらの室は、貫通開口及び/又は貫通スリットのパターンを有する側板又はリムによって分離される。様々な文脈で述べられているように、これらの開口及び/又は貫通スリットは、動作中にプラズマが所定のエッチング条件においてそこで燃焼しないように調整される。加工対象物支持体は、搬入/搬出位置から処理位置へ、及び、その逆方向へ、駆動可能である。側板又はリムは、その処理位置で、個別の分散型の弾性接触部材によって加工対象物支持体に電気的に接続される。
本発明による装置の一実施形態では、Rf発生器装置は、上述のように、少なくとも1つの第1のプラズマ供給信号を超高周波数で出力装置に発生させ、同時に、少なくとも1つの第2のプラズマ供給信号を超高周波数より低い高周波で出力装置に発生させる。第1の電極構成は、整合器装置を介して出力装置に電気的に接続されており、動作中、第1及び第2のプラズマ供給信号によって電気的に供給される。第2の電極構成は、システム接地タブに電気的に接続され、第1のプラズマ供給信号の周波数は、約60MHzであり、第2のプラズマ供給信号の周波数は、約13Mhzである。
超高周波の供給信号及び高周波の供給信号が第1の電極構成に印加される全ての実施形態において、これらの少なくとも2つの供給信号が、少なくともエッチング動作の時間間隔の間に同時に印加されることに留意されたい。
本発明による装置の一実施形態では、エッチングのための所定の圧力条件は、0.1から0.5Paであり、両方の限界値が含まれる。
本発明による装置の一実施形態では、プラズマ空間に自由に露出され、浸される金属体の前記周囲表面の第1の部分と、第1の部分に面する、第1の電極表面の第2の部分との間の空間は、10mmから40mm、好ましくは20mmである。
本発明による装置の一実施形態では、加工対象物支持体に面する第1の電極表面の主要部分と加工対象物支持体の表面の主要部分との間の間隔は、40mmから80mmであり、両方の限界値が含まれ、好ましくは65mmである。
本発明による装置の一実施形態は、長方形又は正方形の基板用に成形されている。
本発明による装置の一実施形態では、Rf発生器装置は、動作中に少なくとも1つのRF供給信号を周波数変調及び/又は電力変調するように構成される。
本発明による装置の一実施形態では、以下の特徴のうちの少なくとも1つが優勢である:
-前記Rf発生器装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給するように調整され、前記超高周波数の供給信号の周波数が、前記高周波数の供給信号の周波数の整数倍である。
-前記Rf発生器装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、前記供給信号を位相ロックするように調整されている。
-前記Rf発生器装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、前記供給信号の相互位相を調整するように調整されている。
-前記Rf発生器装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、動作中に前記供給信号の相互位相を変えるように調整されている。
本発明はさらに、本発明による少なくとも1つの容量結合Rf装置又はその実施形態の1つ又は複数のものを含む、加工対象物又は基板処理プラントに関する。一実施形態では、プラントは、コイルトゥコイルフォイル処理プラントを含むインラインプラントである。インラインプラントでは、加工対象物は、ある処理部から次の処理部に一定のリズムで連続して搬送される。さらなる実施形態では、プラントは、処理部が、例えば中央ハンドラーのようなハンドラーのように、選択可能なリズムで少なくとも1つの加工対象物又は基板が搬入され、搬出されるタイプのものである。
本発明はさらに、その発明による、又は、1つ若しくは複数のその実施形態による容量結合RF真空エッチング装置、又は、本発明によるプラントを使用することによって、加工対象物又は基板をエッチングする方法、又は、エッチングされた加工対象物又は基板を製造する方法に関する。
本発明による方法の一変形形態では、エッチングは、好ましくは酸素又は酸素及びフッ素を含む反応性気体雰囲気中で行われる。それによって、フッ素に加えて酸素も、それぞれ、酸素を含む気体、例えば酸素、NOによって、及び、フッ素、フッ素を含む気体によって、例えば、CF、SF、NF、C等によって供給され得る。
矛盾しない限り、容量結合RF真空装置の実施形態のうちの1つ又は複数を組み合わせることができる。
以下に図面を参照して実施例によって本発明をさらに説明する。
本発明による装置の実施形態を最も概略的かつ単純化した図である。 図1のA-Aから見た、図1の実施形態の図面による本発明による装置の実施形態を再び概略的かつ単純化して示す図である。 図2の実施形態のスポークのフレームへのリンクを斜視図で概略的かつ単純化した図である。 本発明による装置の一実施形態による、第1の電極構成への重畳供給信号のRf供給を概略的かつ単純化した図である。 本発明による装置の一実施形態による、第1の電極構成への供給信号のRf供給を概略的かつ単純化した図である。 本発明による装置の一実施形態のリム又は側板の一部を概略的かつ単純化した図である。 概略的かつ単純化された、基板又は加工対象物支持体が第1の電極構成に向かって持ち上げられたり、そこから引き込まれたりしていない、本発明による装置の一実施形態の図である。 本発明による装置の実施形態を最も簡略化して概略的に示す図である。 本発明による装置の一実施形態における第1の電極構成の有効表面を拡大するという特徴を概略的かつ単純化した図である。 本発明による装置の一実施形態の第2の電極配置を接地電位に接続する1つの変形例を概略的かつ単純化した図である。 本発明による装置の一実施形態において加工対象物又は基板支持体と側板又はリムとの協働を実現する一変形例を概略的かつ単純化した図である。 本発明による装置の一実施形態に適用される側板又はリム構造の原理を概略的かつ単純化した図である。 本発明による装置の一実施形態に適用され、図12の助けを借りて説明された原理に従った側板又はリム構造の一実施形態を概略的かつ単純化した図である。 図13の線B-Bに沿った部分断面図で、概略的かつ単純化された、図13のリム又は側板及びフレーム配置を有する、基板又は加工対象物との昇降可能で格納できる加工対象物支持体の相互作用を示す図である。 本発明による装置の一実施形態において提供される加工対象物支持体の部分図を概略的かつ簡略化した図である。 本発明による1つ以上の装置を有する本発明によるインラインプラントを概略的かつ単純化した図である。 本発明によるプラントのさらなる実施形態を概略的かつ単純化した図である。 本発明によるプラントのさらなる別の実施形態を概略的かつ単純化したものである。 本発明によるプラントのさらなる別の実施形態を概略的かつ単純化したものである。
我々は、本明細書を通じて説明し、以下が有効であれば、周波数fを超高周波数fvhfとして主張する:
10 MHz ≦ fvhf ≦ 400 MHz、
又は、
10 MHz ≦ fvhf ≦ 300 MHz、
又は、
20 MHz ≦ fvhf ≦ 300 MHz、
又は、
20 MHz ≦ fvhf ≦ 100 MHz。
我々は、本明細書を通じて説明し、以下が有効であれば、周波数fを高周波fhfとして主張する:
0.01 fvhf ≦ fhf ≦ 0.5 fvhf
又は、
0.05 fvhf ≦ fhf ≦ 0.5 fvhf
反応器とも呼ばれる、本発明による、図1の実施形態の装置1は、金属筐体3内に真空チャンバを備える。筐体3内では、ポンピング室5は、密集したパターンの貫通孔及び/又は貫通スリット11を有する分離側板又はリム9によってエッチング室7から分離されている。下部室であるポンピング室5は、ポンプ装置15が接続可能な大きなポンピングポート13を含む。
基板支持体とも呼ばれる金属の加工対象物支持体19は、金属筐体3に堅固に取り付けられ電気的に接続された第1の金属部分19aと、二重矢印Wで示すように、部分19aに対して上下に駆動可能である可動部分19bとを有する。可動部分19bは、金属製の加工対象物又は基板支持体19cを支持する。部分19b及び19cの駆動は、図1には示されていない。
部分19cは、特にその縁が上の位置にあり、金属ベローズ21を介して部分19aに電気的に接地されている。
概略的に示されているように、金属筐体3は、図1に符号23で示されるように、例えば、システム接地-G-コネクタに電気的に接続可能である。
筐体3は、さらに符号25の位置で、側板又はリム9と電気的に接続され、例えば符号27の位置で、部分19aに電気的に接続されている。部分19bは、符号28の位置で、基板支持体19cに電気的に接続されている。
エッチング室7内には、第1の電極構成29が設けられている。反応器1のより大きな電極表面、従って主にスパッタ被覆されている電極表面を提供する第1の電極構成29は、プレート状の基部33及び枠状の側壁35を有する瓶型又はポット型の電極体31を含む。瓶型又はポット型の電極体31は、筐体3の近くにそれに沿って離れて存在する。それは、例えば、電気絶縁層を介して又は電気絶縁部材(図示せず)を介して筐体3に取り付けられてもよい。
第1の電極構成29は、線38で示すように、整合器装置39を介して供給発生器装置37に電気的に接続されている。それによって、電極体31の基部33は、例えば、本発明の一実施形態によれば、実質的に中央で、整合器装置39の出力装置の少なくとも2つの出力41vhf及び41hfに接続される。出力41vhfから周波数fvhfの第1のプラズマ供給信号が第1の電極装置29に供給され、出力41hfから周波数fhfの第2のプラズマ供給信号が第1のプラズマ供給信号に重畳されて第1の電極装置29に供給される。第1及び第2のプラズマ供給信号は、例えば、第1のプラズマ供給信号用の発生器と第2のプラズマ供給信号用の第2の発生器とを備える供給発生器装置37によって発生される。発生器装置37は、整合器への出力40hfと同様に出力40vhfを有する。
第1及び第2のプラズマ供給信号は、少なくともエッチング処理時間幅内の時間間隔の間、それによってエッチング処理時間幅内の優勢な時間間隔の間、さらには全エッチング処理時間幅の間でさえも同時に第1の電極構成29に印加-重畳-される。
整合器装置39は、プラズマ供給信号の重ね合わせのために、vhfプラズマ供給信号がhf発生器の出力をロードすること、及びその逆を妨げるように構成される。図1に概略的に示すように、出力40vhfで生成されたfvhfで調整されたバンドブロックフィルタ43vhfは、出力40vhfからの信号をブロックして出力40hfをロードする。同様に、出力40hfで生成されたfhfで調整されたバンドブロックフィルタ43hfは、出力40hfからの信号をブロックして出力40vhfをロードする。これは、バンドパスフィルタを介して電極構成29をそれぞれ供給することと等価である。
第2の電極構成45は、加工対象物支持物19の加工対象物支持体19cを持ち上げ位置(b)に有し、この位置は、加工対象物支持体19c上にある板状の加工対象物又は基板のエッチング位置である。
上述したように、加工対象物支持体19cは、システム接地電位にある。これは、例えば、反応器への及び反応器からの基板の取り扱いに目を向けて、反応器の全体構造を著しく単純化する。従って、基板が接地電位で動作すると、電極構成29は、浮遊DC電位で動作し、例えば通常、整合器装置39では、コンデンサ34で概略的に示されているように、電源発生器装置37への容量結合によってDCから切り離される。
一般的に言えば、この実施形態では、大きい方の電極には種々のRf周波数が供給され、小さい方の電極である基板支持体は、接地電位で動作する。
接地電位で作動する側板9は、電気的には第2の電極構成45の一部である。RFプラズマPLは、電極体31の内面31iと、側板9の上面9iと、エッチング室7に露出される加工対象物支持体19cの表面19ciとの間に閉じ込められる。
例えば、この空間が所定の動作エッチング条件において暗部間隔よりも小さくなるように、筐体3と電極体31の外表面31oとの間の間隙がそれぞれ考えられるという事実によって、又は、例えば、それぞれの間隙が、誘電材料空間層で埋められるという事実によって、電極体31の外表面31oと筐体3との間にプラズマが発生しない。
側板9の貫通開口又は貫通スリット11は、非常に小さい寸法になっているので、指定された条件ではプラズマがそこで燃焼することはない。貫通スリットは、指定された暗空間距離よりも狭い。貫通孔の直径も、指定された暗空間距離よりも小さい。それにもかかわらず、貫通孔又は貫通スリットの密度は、エッチング室7からポンピング室5への非常に低い気体流抵抗を保証するのに十分に高く、エッチングされた材料の非常に効率的な排気を保証する。側板9内の貫通穴又はスリット11は、プラズマがそこで燃焼しないように寸法決めされているので、そのような穴及び/又はスリットによる第2の電極構成45の表面増加は、電極構成29及び45間のスパッタリング/エッチング分布に影響しない。
第1の電極構成29の電極表面を実質的に大きくすることによって、基板47のエッチング効率が著しく改善される。これは、例えば、電極体31の表面31iから離れ、板状である少なくとも1つの金属体50を設けることによって実現される。例えば板状の金属体50は、この体50を取り付けて給電するためのいくつかの小さな領域を除いて、プラズマ空間PLに自由に晒される全体の周囲表面50iを有する。電気的にRFで供給され、符号52において概略的に示されるように、基板47のエッチングプロセスのための一般的な条件で暗空間距離よりも大きい距離d1だけ表面31iから離間された両方のプラズマ供給信号によって、例えば板状の体50は、Rfプラズマに完全に浸される。その全表面50iは、第1の電極構成29の電極表面の一部である。
従って、本発明によれば、金属体は、実質的にケーニッヒの法則に従う反応器内のプラズマ空間、及び、より大きな電極構成のRf電位に浸される。
そこを通ってプラズマ燃焼を可能にするように寸法決めされた貫通開口及び/又は貫通スリット54の選択されたパターンによって、例えば、基板47の周辺に沿ったこの分布に影響を与える可能性のある境界効果に対処するために、加工対象物又は基板47に沿ったエッチング速度分布を調整することができる。そうするために、板状体50の周囲のかなりの部分に沿ってその近くに高密度の貫通開口を提供すること、及び/又は、指定された周辺部分に沿ってその近くに延長スリットを設けることが提案される。
基板47上のエッチング速度分布における板状体50の貫通孔又は貫通スリット54のぼやけ又は描写は、エッチングされる基板47の表面と板状の体50の表面との間の距離d2を適切に十分に大きく選択することによって最小化され得る。反応器1の良好な実施形態では、指定された貫通スリット54は、電極体31の側壁35に隣接して、板状体50の周囲に沿った細長いスリットを含む、又は、さらにはそれからなるように実現される。
システム接地GへのRfリターンインピーダンスを最小にするために、例えば、例えば加工対象物又は基板支持体19cの全周に沿って分布された弾性接触部材56によって、側板又はリム9は、加工対象物支持体19cに電気的に接触する。これにより、図1の実施形態では、Rf電流は、筐体3に沿って、及び、加工対象物支持体19に沿って、システム接地Gに平行に導かれる。
気体、特に、例えばArである、単なる不活性作動ガスは、気体供給ライン53によってプラズマ空間PLに供給される。反応器1は、例えば酸素又は酸素とフッ素とを含む雰囲気で、反応性プラズマエッチングなどにも用いることができる。この場合、それぞれの反応性気体又は気体混合物もそれぞれの気体供給ラインを通してプラズマ空間に供給される。
強力なポンプ装置15を別個のポンピング室5内の大きなポンピングポート13に接続することができ、それは、第1及び第2の電極構成29、45に対するそれぞれの表面延長条件を有するエッチング室7の寸法設定から完全に独立して寸法設定することができるという事実により、及び、プラズマ空間PLが側板9の貫通開口又は貫通スリット11の密集パターンを介して気体流(プラズマではない)接続にあるという事実により、エッチング室7からのエッチングされた材料の非常に効果的なポンピング除去が達成される。
本発明のフレームにおいて、基板支持体19cが電極構成29に向かって、及び、電極構成29から、上下に移動可能である必要はないが、静止して設けられてもよい、例えば、図1において(b)で示される上の位置に設けられてもよいことに留意されたい。
図2は、図1のA-Aから見た、図1の実施形態の図面を再び概略的かつ単純化して示す図である。エッチングされる基板は、長方形又は正方形と仮定する。従って、側板9は、基板支持体19cがエッチング位置に持ち上げられ(図1(b))又は基板搬入位置に向けて下げられる(図1(a))長方形又は正方形の操作開口55(図1)を囲む。側板又はリム9は、スポーク12間の貫通スリットの密集パターンを含む金属板である。この実施例によれば、側板11の半分において、スリット11は、長方形又は正方形の側板の一方の対角線の方向に実質的に延び、側板又はリム9の他方の半分の方向において、他方の対角線の方向に延びる。図2では、それぞれスリットの方向は、符号11a及び11bで示されている。スリット11を画定するスポーク12が操作開口55に当接しているところでは、その端部12eは、図3に示すように自由であり、セラミック材料フレーム57内に自由に支持されている。スリットは、板状の側板9に機械加工されている。
この実施例では、スポーク12の端部12eが、図3の二重矢印Vで示されるように、フレーム57に対して自由に拡張することができるため、RFエッチングプロセスによって熱負荷に最も晒される側板9及びフレーム57の部分は、互いに対して自由に膨張し、側板プレート9の反り及び/又はセラミック材料フレーム57の応力、反り及び場合によっては僅かな変位を回避する。
スリット11の開放空間表面に対する固体材料表面の比は、約1:1であり、スリットの幅d3は、3mmから10mmの間である。
今日使用されている良好な動作パラメータ:
Ar動作圧力:0.1から0.5Pa、
vhf:60MHz、
hf:13.56MHz、
電源vhf供給信号<hf供給信号の電力。
d1:指定された動作条件での暗空間距離よりも大きい:d1≧20mm、
d2:指定された動作条件での暗空間距離よりも大きい:d2≧65mm。
図4に概略的に示すように、重ね合わせたvhf及びhfプラズマ供給信号は、瓶形状の電極体31において局所的に異なる接点C1からCnにおいて、及び/又は、金属体50に対する接点E1からEnにおいて、第1の電極構成29に供給され得る。
図5に概略的に示されるように、vhfプラズマ供給信号及びhfプラズマ供給信号は、それによって、vhf供給信号に対してC11からC1n及び/又はE11からE1n、及び/又は、hf供給信号に対してC21からC2n及び/又はE21からE2nである、それぞれ1つまたは複数の局所的に異なる点で、第1の電極構成29、電極体31及び/又は金属体50に別々に供給され得る。1つのC1x及び/又はE1x及び/又は1つのみのC2x及び/又はE2x接触点も同様に可能である。
電極体31及び/又は金属体50は、互いに電気的に絶縁されたセグメントに細分され得、それぞれが第1及び第2のプラズマ供給信号の少なくとも一方が供給される。
Rf発生器装置はさらに、vhf第1プラズマ供給信号として、fvhfに関してエッチング動作中に周波数変調されてもよく、及び/又は、電力変調されてもよい信号を生成してもよい。追加的に、又は代替的に、発生器装置は、hf第2プラズマ供給信号として、fhfに関してエッチング動作中に周波数変調されてもよく、又は、電力変調されてもよい信号を生成してもよい。
選択されたfvhfはさらに、fhfの整数倍、位相同期又は非位相同期、場合によっては調整可能な、場合によっては時変の相互位相調整を伴うこともある。
異なるfhfの2つ以上の第2のプラズマ供給信号は、第1の電極構成29に重ね合わせて適用されてもよい。
図6に概略的に示すように、第1の電極表面31iはさらに、電極体31のそれぞれの部分319によって側部又はリム9の上部を実現することによって、及び、意図されたエッチング条件において暗空間距離より狭い部分319への間隙を画定する筐体3の遮蔽部3によって部分319の底部表面を遮蔽することによって、増加し得る。部分319及び3の両方は、それぞれ貫通開口又はスリット1131及び11を通って整列されている。明らかに、第1の電極表面はさらに、体31に類似する板状体50を瓶又はポット状等に成形することによって、及び/又は、例えば、板状で暗空間距離以上の距離で互い違いになっている2つ以上の金属体50を提供することによって、大幅に拡大され得る。
図1の実施形態では、加工対象物支持体19cは、動的に作動され、すなわち、上下に移動可能である。その低い取り扱い位置では、それは、エッチングされるべき加工支持体又は基板が搬入され、エッチング処理された加工支持体又は基板は、双方向ロードロックを介して搬出される。加工対象物支持体19cは、取り扱い位置(a)からエッチング処理すべき基板のためのエッチング位置(b)へと移動し、エッチング位置(b)から取り扱い位置(a)の下へ移動してエッチング処理された基板を搬出する。
最も概略的かつ単純化された図7において、加工対象物支持体又は基板支持体19cが静止している実施形態、すなわち、第1の電極構成に向かって及びそこから移動することができない実施形態が示されている。電極体31は、例えば駆動部62によって開閉可能な扉31dを有することができる。閉位置では、扉は、電極本体31の一部であってもよく、それでも内面31iに寄与する。加工対象物又は基板は、双方向の搬入ロック60を介して、加工対象物又は基板支持体19cに搬入及び搬出される。
加工対象物又は基板支持体は、それぞれの基板又は加工対象物と共に搬入ロック60を介して取り扱われてもよく、従って、いずれにせよ、第1の電極構成31に向かって持ち上げることができず、第1の電極構成31から引き込むことができない。
あるいは、体31に扉31dのような扉を設けることなく、基板又は加工対象物は、加工対象物又は基板支持体を伴って又は伴わずに、瓶又はポット状の体31を有する第1の電極構成の下を通過して搬入及び搬出され得る。
図8には、ケーニッヒの法則に従う容量結合RF真空エッチング装置が最も単純化されて概略的に示されている。Rfプラズマ空間は、より大きな第1の電極構成829とより小さな第2の電極構成845との間に閉じ込められている。より大きな第1の電極構成は、少なくとも1つの周波数を有するRf駆動信号を用いて、基準電位、例えば接地電位で金属筐体803に対して作動される。従って、動作中に、金属筐体803とより大きな電極構成829との間にRf電位差が存在する。電極構成829の外表面831oと筐体803の壁の内表面との間の間隔d4は、電極構成829から筐体803へのRf電力損失にとって決定的である。このようなRf損失を最小限に抑えるには、d4をできるだけ大きく選択し、間隙832を画定する、指定された表面間の静電容量を最小限に抑える。一方、指定された間隙832におけるプラズマ発生は、排除されるべきであり、それは、一般的なエッチング条件においてd4が暗空間距離よりも小さいことを必要とする。
この問題を解決するために、1つ又は複数の電気的に浮遊する金属スクリーン830は、空間832内に、表面831o及び金属筐体803の内表面全体に沿って設けられている。そのような浮遊スクリーンによって、指定された表面間の静電容量は、小さく保たれるが、それぞれ暗空間距離よりも小さく保たれる、スクリーンの隣接表面と表面831o及び金属筐体803の内表面との間の間隔d5のため、プラズマは、空間832に着火しない。スクリーン830は、図8に概略的に示されているように、電気的に隔離された距離保持体834によって取り付けられてもよい。
図8によって説明される態様は、大きなRf作動電極から真空プロセス受容体の周囲の金属筐体までの間隙を通るRf電力損失を最小化し、それによって、その空間に沿ってその中に電気的に浮かぶ方法で取付けられた1つ以上の金属スクリーンを提供することによって、そのような空間におけるRfプラズマ発生を回避することである。そのようなスクリーン間、並びに、そのようなスクリーン、電極表面及び金属筐体表面の間の全ての副空間は、真空筐体内で操作されるべきプロセスの処理パラメータにおける暗部間隔よりも狭い。この側面は、それ自体、発明性があると考えられる。
図9には、ケーニッヒの法則に従う、本発明による容量結合RF真空エッチング装置の第1の電極構成の有効表面を拡大するための、本発明による手段が最も単純化されて概略的に示されている。
Rfプラズマ反応空間PLは、真空筐体903内のより大きな第1の電極構成929と、より小さな第2の電極構成945との間に閉じ込められている。電極構成929、945は、1つ又は複数の周波数のRf供給によって互いに対して供給される。より大きな第1の電極構成829の表面は、例えばプレート形状又は瓶形状に形成され、プラズマ反応空間PLに浸され、電極構成929の残りの部分の電位に作用する、少なくとも1つの金属体950を電極構成929に設けることによって著しく拡大される。
図10は、図1の実施形態と同様に、大きなポンピングポート1013を有するポンピング室1005とエッチング室1007とを備える容量結合RF真空エッチング装置を簡略化して概略的に示す。図1の実施形態と同様に、加工対象物支持体1019cを含む、より小さな第2の電極構成1045は、接地電位で動作し、側板1009をポンピング貫通孔及び/又は貫通スリット(図10には示されない)と電気的に接触させ、分散弾性接触部材1056によってエッチング位置に一旦持ち上げられる。筐体3に対する、図1における側板9と同様に、側板1009が金属筐体1003に電気的に接続されており、筐体1003が接地電位で動作するので、加工対象物支持体1019cは同様に、しっかりと接地電位に接続される。
Rf電流帰路が一対の並列インピーダンスを介して、すなわち、ポンピング室5に沿って筐体3を介して、及び、加工対象物支持体19を介して、システム接地Gへと導かれる、図1に関連して述べた接地概念とは反対に、図10の実施形態によれば、Rf帰路は、できるだけ短くなるように選択される。システム接地Gに対するシステム接地タブ1023は、エッチング室1007の上部で中央に設けられている。図1に示される接地概念は、どちらの場合も図10の接地概念によって置き換えられ得、より小さい第2の電極構成としての加工対象物支持体は、バイアス電位ではなく接地電位で動作する。
図11は、図1の実施形態と同様に、大きなポンピングポート1113を有するポンピング室1105とエッチング室1107とを備える装置の一実施形態を簡略化して概略的に示す。取り扱い開口1155は、貫通穴又はスリット1111を有するリム又は側板1109によって制限されている。取り扱い開口1155は、セラミック材料で作られた良い変形例では、フレーム1157によって境界が定められている。
図1に示されるような加工対象物支持体19cと側板又はリム9を有する加工対象物又は基板47との相互作用とは反対に、図11による実現の形態によれば、加工対象物支持体1119cのエッチング位置において、加工対象物又は基板1147の上部表面が、リム又は側板1109と実質的に同一平面上に位置するように、フレーム1157を有する側板1109は、加工対象物又は基板1147に対する停止部として作用する。それによって、そのエッチング位置にある加工対象物又は基板1147は、加工対象物支持体1119cとフレーム1157との間でしっかりとバイアスされて保持されるようになる。さらに、図11は、弾性接触部材1156が加工対象物支持体1119cに機械的に結合されてもよい一方で、図1によれば、それらが、側板9に機械的に結合されていることを示す。両方の変形例が可能であり、また弾性接触部材56、1156のいくつかが可動な加工対象物支持体19c、1119cに機械的に結合され、いくつかが固定リム又は側板9、1109に機械的に結合される組み合わせも可能である。
特に、言及したように、エッチング処理中に側板又はリムの境界が加工対象物又は基板と機械的に相互作用する場合、処理中にそのような相互作用を正確に一定に保つことが重要である。図11の実施形態では、そのような相互作用は、エッチング処理中に加工対象物又はウェハ1147をバイアスし保持する。従って、一般的に、特にこの場合において、基板又は加工対象物、特に薄くて大きな基板におけるそれぞれの効果に伴ってフレーム及び側板の相互のシフト及び又は反りをもたらす可能性がある異なる材料及び構造の相互の熱膨張にもかかわらず、高い機械的安定性は、達成される。
図3による実施形態において、スポーク12及びフレーム57の異なる熱膨張が、スポーク12の端部がしっかりとフレーム57に連結されていないという事実によって十分に考慮されるという事実にもかかわらず、図3のこの実施形態は、図11のように、エッチング処理中のようにフレームが加工対象物又はウェハ1147と機械的に相互作用する場合には最適ではない。
図12は、リム又は側板構成1209における平面図で、図3に示されるものとは別の構成における円形の加工対象物又は基板1247用のリング状フレーム1257の最も概略的かつ単純化された平面図である。それは、エッチング処理中にフレーム1257を基板1247のための機械的停止及び下方保持部材として利用するように調整されている。それにもかかわらず、それは、上で述べたように基板支持体が静止していて、実際に停止が必要ない場合にも適用され得る。
図12には、スポーク1212のうちのいくつかしか示されていない。スポーク1212の一端部1212e1は、例えば、接着、溶接、はんだ付け、ねじ込みによって、フレーム1257に機械的に固定されている。図12に概略的にのみ示されているように、他端部1212e2は、筐体1203に対して機械的に固定されている。全てのスポーク1212は、そこでプラズマが燃焼するのを防止するのに十分に狭い貫通スリット1211を有するリム又は側板1209を共に形成する。
スポーク1212は、筐体1203に対するフレーム1257の相対的な拡張Eに対して板ばねとして機能する。符号1212’で一点鎖線で概略的に示されるように湾曲又は屈曲され得るスポーク1212は、長さ方向Dを画定し、長さ延長の方向Dが、90°ではなく、90°より小さく0°までの角度αを有するスポーク固定の中心でフレーム1257上の接線Tと交差するようにフレーム1257に取り付けられる。指定された角度範囲では、角度αがスポーク1212の一般的な板ばね効果に関しては重要ではないので、スポーク1212は、一点鎖線によって符号1212pで図12に概略的に表されるように、フレーム1257の円周の選択された部分にわたって互いに平行に配置され得る。実際に、スポークは、曲げ可能な部材として機能する。
フレーム1257は、多数のスポーク1212によって安定して取り付けられ、反りを生じることなく熱負荷時に自由に伸縮することができ、その結果、加工対象物又は基板1247の高精度の位置決め及び保持が達成される。
符号1212”で図12に示されるように、スポーク又はいくつかのスポークは、最も一般的には圧縮可能又は屈曲可能であり、「ジグザグ」又は波形のように圧縮可能であれば、α=90°で配置されてもよい。それらは、実際には圧縮可能な部材として機能する。
図13は、図3と同様に、大きな長方形の基板又は加工対象物のためのリム又は側板1309及びフレーム1357の配置を示し、図12に関連して述べたように一般的な手法に従って構成されている。
図14は、図13のB-B線に沿った部分断面図で、図13のようなリム又は側板1309及びフレーム1357の構成を有する基板又は加工対象物1447との昇降可能な加工対象物支持体1419cの相互作用を示す。
図13及び図14によると、一般的な図12の教示と同様に、フレーム1357は、正方形の基板又は加工対象物用の正方形の取り扱い開口1355を画定する。
図14から分かるように、図12と同様に、スポーク1312の一端部1312e1は、フレーム1357に固定されている。特定の実施例では、両方とも酸化アルミニウムのようなセラミック材料で作られた良好な実施形態において、それらは、符号1358で接着され、フレーム1357とフレーム対応物1357との間でバイアスされる。
他方の端部1357e2(図13参照)は、筐体1303に直接又は間接的に固定される。それぞれの方向を向いたスポーク1312の4つのセクションIからIVは、相互交差の中心でフレーム接線に対して垂直に設けられるようにフレーム1357/1357に固定されていないウェブ1358によって別々に設けられる。優れた実施形態では、スポーク1312、ウェブ1358、及び、側板全体又はリム1309の部分1359のような周囲フレームは、スポーク1312間のスリットが機械加工されている単一の金属板で作られている。
図14から明らかなように、加工対象物支持体1419は、エッジ処理位置1147に移動すると、フレーム対応物1357の境界をバイパスし、それにより、加工対象物又は基板1447は、フレーム1357に対してバイアスする。
フレーム1357のように基板保持フレームを使用する代わりに、1419のように、例えば、静電気力を利用することによって、従って、静電チャックによって、又は、基板又は加工対象物の下に、縁取りプロセスに利用される真空よりも小さい圧力を確立する真空チャックによって、基板又は加工対象物が加工対象物支持体上にしっかりと保持されてもよいことを指摘しなければならない。そのような場合、側板又はリムの熱負荷は、それほど重要ではないかもしれない。
本発明による容量結合RF真空エッチング装置では、異なる仕立ての加工対象物支持体又はチャックを交換可能に適用することができる。
一実施形態では、加工対象部支持体は、冷却される。それは、図14に符号1448によって破線で示され、同様に図1に符号20によって破線で示されるように、液体冷却媒体のための流路のシステムを含む。
言及した実施形態では、真空筐体は、ポンピング室とエッチング室とで分離されており、基板又は加工対象物は、しっかりとバイアスされて加工対象物支持体上に保持されている。加工対象物又は基板の冷却は、冷却された加工対象物支持体と加工対象物又は基板の底面との間に熱伝導気体のクッションを設けることによって改善される。熱伝導気体は、加工対象物支持体の冷却表面と加工対象物又は基板の底面との間の隙間からポンピング室に流れ、エッチング室には無視できる程度で流れる。
この装置の一実施形態におけるこの手法は、図15に概略的に示されている。加工対象物支持体1519は、液体冷却媒体用の流路1548のシステムなどによって冷却される。加工対象物支持体1519は、その上面1552に隣接してそれに沿った気体流路システム1550をさらに備える。穴又はスリット1554は、気体流路システム1550を加工対象物支持体1519の表面1552に接続する。気体流路システム1552は、熱伝導気体用の気体供給源(図示せず)に接続されている。気体流路システム1552及びスリット又は穴1554は、加工対象物又は基板1547の底面に沿って、従って基板又は加工対象物支持体1547の周囲に沿って、最大で加工対象物支持体1519の周囲に沿って増加した圧力で実質的に均一な圧力分布を確立するように調整される。当業者は、気体流路システム1552に沿った流動抵抗の分布、及び/又は、穴若しくはスリット1554の分布、及び/又は、穴又はスリット1554の流路抵抗の分布をそれぞれ調整することによって加工支持体又は基板の底面に沿ってそれぞれの圧力分布を確立する方法を知っている。
加工対象物支持体1519の半径方向範囲rにわたって定性的に概略的に示されるように、圧力pは、加工対象物支持体の表面に沿って、又は、破線で示されるように、基板又は加工対象物1547の周囲に沿ってそれぞれの最大値で、実質的に一定になるように定められる。
側板又はリムが全体の真空容器又は筐体をエッチング室及びポンピング室に分ける装置のこれらの実施形態では、熱伝導気体流は、符号HGで図15に示すように、単にポンピング室への基板又は加工対象物と加工対象物支持体の上面との間に隙間を残すことがある。ここでは、エッチング室1507は、側板又はリム構成1509によってポンピング室1505から分離されている。加工対象物又は基板1547を処理している間、加工対象物又は基板1547は、例えばフレーム1557によって機械的に保持され、エッチング室をポンピング室から実質的に密閉する。従って、2つの室は、気体の流れに関して、処理中に、単に側板又はリム1509の穴又はスリットを通して通じる。冷却された加工対象物支持体1519cの上面と加工対象物又は基板1547の底面との間の隙間が、処理中に、フレーム1557のポンピング室1505側に位置しているので、熱伝導気体HGは、指定された空間をもっぱらポンピング室1505内に残す。それによって、縁取り室及び縁取りプロセスは、例えばHeである、熱伝導気体HGの影響を受けない。
本発明による1つ又は複数の装置は、少なくとも1つの加工対象物又は少なくとも1つのバッチの加工対象物が、固定された順序で1つの処理部から次の処理部に搬送される、いわゆるインライン加工対象物又は基板処理プラントで利用され得る。そのようなプラントは、図16に概略的に示されている。
加工対象物又は基板又は加工対象物若しくは基板1647のバッチは、一連の処理部1601、1601・・・を含む処理プラント1600に沿って運ばれる。処理部のうちの少なくとも1つは、その態様のうちの少なくとも1つに基づく本発明による装置である。処理部1601は、例えば、脱気部であり得、処理部1601は、指定された装置である。図16によるプラント1600では、1つの加工対象物又は基板又はその1つのバッチが各処理部1601において同時に処理され、1つの加工対象物又は基板又はその1つのバッチが、同時に1つの処理部から次の処理部に搬送される。図16のインラインプラント1600の実施形態において、単一の加工対象物又は基板をバッチとして(単一の加工対象物又は基板だけで)扱う場合、搬送されるバッチ及び処理されるバッチの範囲は、チェーンに沿って一定である。これにより、搬送経路PCは、直線状でも曲線状でもよく、例えば、PCF’によって一点鎖線で示されるように円形に曲げられている。本発明に従って提供される装置は、持ち上げ可能な加工対象物支持体又は持ち上げ不可能な加工対象物支持体を用いて構成することができる。複数のそのような装置が提供される場合、いくつかは、持ち上げ可能な加工対象物支持体で構成され、いくつかは、持ち上げ不可能な加工対象物支持体で構成されてもよい。それらは、等しく構成される必要はないが、1つ以上の異なる実施形態を組み込んでもよい。
本発明による装置の少なくとも1つを組み込んでおり、その少なくとも1つの実施形態を実現することが可能であるインラインプラント1700の実施形態の図17では、処理部1701、1701、1701において同時に処理されるバッチの数(1つの加工対象物又は基板のみであり得る)は、異なる。一例として、脱気部1701は、N個のバッチを同時に処理し、冷却部1701は、異なるM個のバッチを同時に処理するが、本発明による装置1701は、同時に1つのバッチを処理する。処理部に入力されたバッチと処理部から出力されたバッチの平均レートは同じである。それによって、考慮される、同時に処理部に入力されたバッチと処理部から出力されたバッチの数は、異なり得る。搬送経路PCは、直線状でも曲線状でもよく、PC’によって一点鎖線で示すように円を描くように曲がっていてもよい。本発明に従って提供される装置は、持ち上げ可能な加工対象物支持体又は持ち上げ不可能な加工対象物支持体を用いて構成することができる。複数の装置が提供されている場合は、持ち上げ可能な加工対象物支持体で構成されているものや、持ち上げ不可能な加工対象物支持体で構成されているものがある。それらは、等しく構成される必要はない。
図18は、本発明による1つ又は複数の装置を組み込んだインラインプラント1800の具体例を示す。
加工対象物又は基板は、コイル1851から巻き出され、コイル1852に巻き戻されるフォイル1847である。コイル間では、フォイル1847は、本発明による少なくとも1つの装置1801を組み込んだ真空処理プラント1800を通過する。この実施形態では、装置1801の加工対象物支持体は、持ち上げ可能ではない。
図19によれば、本発明による少なくとも1つの装置は、クラスタプラントとも言える非インラインプラント1900に統合されている。複数の処理部1801、1801等は、中央のハンドラー1950によって、1つ又は複数のバッチ1947(バッチは、1つの加工支持体又は基板のみを含むことができる)が搬入及び搬出される。ハンドラー1950は、バッチ1947用の支持体1954を備えた少なくとも1つの駆動的に伸縮可能なアーム1952を有し、中心軸Aの周りに駆動的に回転可能である。
本発明による反応器の少なくとも1つを組み込んだこのプラントでは、バッチ1947が供給される処理部の順序、同時に搬送されるバッチ1947の数、それぞれの処理部で同時に処理されるバッチの数、及び、それぞれの処理部の処理期間は、選択可能であり、可変的に制御可能である。本発明に従って提供される装置は、持ち上げ可能な加工対象物支持体又は持ち上げ不可能な加工対象物支持体を用いて構成することができる。複数の装置が提供されている場合は、持ち上げ可能な加工対象物支持体で構成されているものや、持ち上げ不可能な加工対象物支持体で構成されているものがある。それらは、等しく構成される必要はない。
上述したような真空装置のさらなる態様を要約すると、それ自体は発明性があると考えられる。
筐体(3)及び加工対象物支持体を備える真空装置であって、前記筐体(3)が、ポンピングポート(13)を含むポンピング室(7)と処理室(5)とに細分され、前記室(5、7)が、貫通開口又は貫通スリット(11)のパターンを有する側板又はリム(9)によって分離され、所定の処理条件でプラズマを生成し、前記加工対象物支持体が、搬入/搬出位置から処理位置へ、及び、その逆に、駆動可能であり、前記加工対象物支持体(19c)の加工対象物又は基板が、前記処理室に露出される加工対象物又は基板の表面の全周囲に沿って、下方保持部材(57)によって前記処理位置において前記加工対象物支持体に機械的に保持され、前記加工対象物支持体(19c)が、液体加熱又は冷却媒体を保持するように構成された流路構成(20)、及び、前記処理室に露出される前記表面に対向する前記加工対象物又は基板の間の空間を有する穴又はスリット構成によって連通する熱伝導気体を保持するように構成されたさらなる流路構成を含む、真空装置が提供される。
3 筐体、真空容器
5 エッチング室
7 ポンピング室
8i 第2の電極表面
9 第2の電極構成、側板、リム
11 貫通開口、貫通スリット、隙間
12 スポーク
13 ポンピングポート
19c 第2の電極構成、加工対象物支持体
19i 第2の電極表面
20 流路構成
23 システム接地タブ
29 第1の電極構成
31 第1の電極構成
31d 扉
31i 第1の電極表面
37 Rf発生装置
39 整合器装置
40 出力装置
45 第2の電極構成
50 第1の電極構成、金属体
50i 第1の電極表面、周囲表面
55 アクセス開口
56 弾性接触部材
57 フレーム
1023 システム接地タブ
PL プラズマ空間

Claims (21)

  1. 所定のエッチング条件下でエッチング動作を行うように構成された容量結合RF真空エッチング装置であって、
    筐体とも呼ばれる真空容器(3)と、
    前記真空容器(3)内に、第1の電極構成(29)と前記第1の電極構成に面する第2の電極構成(45、19c、9)とからなる1つの電極構成とのみ動作的に接触するプラズマ空間と、
    前記プラズマ空間(PL)に露出される第1の電極表面(31i、50i)を画定する前記第1の電極構成(29、31、50)と、
    前記プラズマ空間(PL)に露出される第2の電極表面(9i、19i)を画定し、加工対象物支持体(19c)の前記表面(19i)を含む、前記第2の電極構成(45)と、
    を備え、
    前記第1の電極表面が、前記第2の電極表面より大きく、
    前記第1の電極構成が、プラズマ供給Rf信号を発生する整合器装置(39)を介してRf発生装置(37)の出力装置(40)に電気的に接続され、
    前記第1の電極構成(29、31、50)が、プレート状の基部(33)を有する電極体(31)と、前記プラズマ空間(PL)に自由に露出され、浸された周囲表面(50i)を有する金属体(50)と、を含み、
    前記周囲表面(50i)が、前記第1の電極表面の一部である、容量結合RF真空エッチング装置。
  2. 前記金属体が、動作中に前記所定のエッチング条件においてプラズマが貫通開口内で燃焼するように調整された前記貫通開口及び/又は貫通スリットのパターンを含む、請求項1に記載の容量結合RF真空エッチング装置。
  3. 前記第1の電極表面が、第1の平面に沿って延びる第1の表面領域と、第2の平面に沿って延びる第2の表面領域とを含み、前記第1及び第2の表面領域が、動作中にプラズマが前記所定のエッチング条件において間隙内で間隙に沿って燃焼するように調整された前記間隙を画定する、請求項1又は2に記載の容量結合RF真空エッチング装置。
  4. 前記第2の電極表面が、第3の平面に沿って延びる表面領域を含み、前記第1、第2及び第3の平面が、平行平面である、請求項3に記載の容量結合RF真空エッチング装置。
  5. 前記金属体(50)がプレートである、請求項1から4の何れか一項に記載の容量結合RF真空エッチング装置。
  6. 前記Rf発生装置(37)が、局所的に異なる2つ以上の接点(C、E)で前記第1の電極構成(29)に接続されている、請求項1からの何れか一項に記載の容量結合RF真空エッチング装置。
  7. 前記加工対象物支持体(19c)が、前記第1の電極構成(29)に向かって前記第1の電極構成(29)から駆動可能である、請求項1からの何れか一項に記載の容量結合RF真空エッチング装置。
  8. 前記加工対象物支持体(19c)が、前記第1の電極構成に向かって前記第1の電極構成から移動可能ではない、請求項1からの何れか一項に記載の容量結合RF真空エッチング装置。
  9. 前記加工対象物支持体(19c)が、前記第1の電極構成に向かって前記第1の電極構成から移動可能ではなく、前記第1の電極構成(29)が、加工対象物を搬入/搬出するための、駆動可能である扉(31d)を含む、請求項1からの何れか一項に記載の容量結合RF真空エッチング装置。
  10. 前記筐体(3)が、ポンピングポート(13)を含むポンピング室()と、前記第1の電極構成(29)を含むエッチング室()とに細分され、前記室(5、7)が、動作中に前記所定のエッチング条件でプラズマがその中で燃焼しないように調整されている貫通開口又は貫通スリット(11)のパターンを有する側板又はリム(9)によって分離される、請求項1からの何れか一項に記載の容量結合RF真空エッチング装置。
  11. 前記加工対象物支持体(19c)が、液体の加熱媒体又は冷却媒体を受けるように構成された流路構成(20)を備える、請求項1から10の何れか一項に記載の容量結合RF真空エッチング装置。
  12. 前記Rf発生装置(37)が、出力装置(40)に超高周波数(vhf)の少なくとも1つの第1のプラズマ供給信号を生成し、前記出力装置(40)に前記超高周波数より低い高周波数(hf)の少なくとも1つの第2のプラズマ供給信号を生成し、
    前記第1の電極構成(29、31、50)が、前記整合器装置(39)を介して前記出力装置(40)に電気的に接続され、動作中に、前記第1及び第2のプラズマ供給信号によって電気的に供給され、
    前記第2の電極構成(45)が、システム接地タブ(23)に電気的に接続され、
    前記Rf発生装置が、60MHzの前記第1のプラズマ供給信号を生成し、約13Mhzの前記第2のプラズマ供給信号を生成する、請求項1から11の何れか一項に記載の容量結合RF真空エッチング装置。
  13. 前記エッチングのための所定の圧力条件が、0.1から0.5Paであり、両方の限界値を含む、請求項1から12の何れか一項に記載の容量結合RF真空エッチング装置。
  14. 前記プラズマ空間(PL)に自由に露出され、浸される前記金属体(50)の前記周囲表面(50i)の第1の部分と、前記第1の部分に面する、前記第1の電極表面の第2の部分との間の間隔が、10mmから40mmである、請求項1から13の何れか一項に記載の容量結合RF真空エッチング装置。
  15. 前記加工対象物支持体に面する前記第1の電極表面の大部分と、前記加工対象物支持体の表面の大部分との間の間隔が、40mmから80mmである、請求項1から14の何れか一項に記載の容量結合RF真空エッチング装置。
  16. 長方形又は正方形の基板用に成形されている、請求項1から15の何れか一項に記載の容量結合RF真空エッチング装置。
  17. 前記Rf発生装置が、動作中に前記第1の電極構成への少なくとも1つのRf供給信号を周波数変調及び/又は電力変調するように構成されている、請求項1から16の何れか一項に記載の容量結合RF真空エッチング装置。
  18. 以下の特徴のうちの少なくとも1つが優勢である、請求項1から17の何れか一項に記載の容量結合RF真空エッチング装置:
    -前記Rf発生装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給するように調整され、前記超高周波数の供給信号の周波数が、前記高周波数の供給信号の周波数の整数倍であり、
    -前記Rf発生装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、前記供給信号を位相ロックするように調整され、
    -前記Rf発生装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、前記供給信号の相互位相を調整するように調整され、
    -前記Rf発生装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、動作中に前記供給信号の相互位相を変えるように調整されている。
  19. 請求項1から18の何れか一項に記載の少なくとも1つの容量結合Rf装置を含み、コイルトゥコイルフォイル処理プラントを含むインラインプラント、又は、中央ハンドラーによるように、ハンドラーによるような選択可能なリズムで少なくとも1つの加工対象物又は基板が搬入及び搬出されるように構成された処理部を含むプラントである、加工対象物又は基板処理プラント。
  20. 請求項1から18の何れか一項以上に記載の容量結合RF真空エッチング装置又は請求項19に記載のプラントを使用することによってエッチングする方法又はエッチングされた加工対象物又は基板を製造する方法。
  21. 前記エッチングが、反応性気体雰囲気中で行われる、請求項20に記載の方法。
JP2019528819A 2016-12-27 2017-10-17 高周波容量結合エッチング反応器 Active JP7069159B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
CH01750/16 2016-12-27
CH17502016 2016-12-27
CH2792017 2017-03-08
CH00279/17 2017-03-08
PCT/EP2017/076506 WO2018121898A1 (en) 2016-12-27 2017-10-17 Rf capacitive coupled etch reactor

Publications (2)

Publication Number Publication Date
JP2020503667A JP2020503667A (ja) 2020-01-30
JP7069159B2 true JP7069159B2 (ja) 2022-05-17

Family

ID=62706966

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019529236A Active JP6972131B2 (ja) 2016-12-27 2017-10-17 真空プラズマ加工対象物処理装置
JP2019529232A Active JP7072572B2 (ja) 2016-12-27 2017-10-17 Rf容量結合二重周波数エッチング反応器
JP2019528819A Active JP7069159B2 (ja) 2016-12-27 2017-10-17 高周波容量結合エッチング反応器

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2019529236A Active JP6972131B2 (ja) 2016-12-27 2017-10-17 真空プラズマ加工対象物処理装置
JP2019529232A Active JP7072572B2 (ja) 2016-12-27 2017-10-17 Rf容量結合二重周波数エッチング反応器

Country Status (7)

Country Link
US (3) US11217434B2 (ja)
EP (3) EP3563402B1 (ja)
JP (3) JP6972131B2 (ja)
KR (3) KR102532562B1 (ja)
CN (3) CN110100297B (ja)
TW (3) TWI767953B (ja)
WO (3) WO2018121897A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102003729B1 (ko) * 2017-11-15 2019-07-29 주식회사 고영테크놀러지 검사 장치

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017207144A1 (en) * 2016-06-03 2017-12-07 Evatec Ag Plasma etch chamber and method of plasma etching
JP6972131B2 (ja) * 2016-12-27 2021-11-24 エヴァテック・アーゲー 真空プラズマ加工対象物処理装置
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN113366604A (zh) 2019-02-06 2021-09-07 瑞士艾发科技 产生离子的方法和装置
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN114075650A (zh) * 2020-08-18 2022-02-22 群创光电股份有限公司 曲面基板的镀膜装置及其镀膜方法
CN117813680A (zh) * 2021-08-12 2024-04-02 朗姆研究公司 提供对称射频返回路径的工艺模块室

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001135626A (ja) 1999-11-02 2001-05-18 Hitachi Kokusai Electric Inc プラズマcvd装置及びプラズマcvd膜形成方法
JP2001508923A (ja) 1997-01-17 2001-07-03 ユナキス・バルツェルス・アクチェンゲゼルシャフト 容量結合rfプラズマ反応室
JP2006332704A (ja) 2006-08-21 2006-12-07 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
JP2008069382A (ja) 2006-09-12 2008-03-27 Seiko Epson Corp プラズマ処理装置およびプラズマ処理方法
JP2010123689A (ja) 2008-11-18 2010-06-03 Tokyo Electron Ltd プラズマ処理装置
JP2010121159A (ja) 2008-11-18 2010-06-03 Utec:Kk ローラ式プラズマcvd装置及びローラ式プラズマ装置
JP2011184034A (ja) 2010-02-10 2011-09-22 Daido Kogyo Co Ltd スポーク車輪及びそれに用いられるスポーク
JP2013531368A (ja) 2010-05-21 2013-08-01 ラム リサーチ コーポレーション プラズマ処理装置のための可動チャンバライナ・プラズマ閉じ込めスクリーン複合体

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3661761A (en) 1969-06-02 1972-05-09 Ibm Rf sputtering apparatus for promoting resputtering of film during deposition
US6248219B1 (en) 1986-06-23 2001-06-19 Unaxis Balzers Aktiengesellschaft Process and apparatus for sputter etching or sputter coating
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
US5556474A (en) 1993-12-14 1996-09-17 Nissin Electric Co., Ltd. Plasma processing apparatus
JP3021351B2 (ja) * 1995-04-13 2000-03-15 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6817381B2 (en) * 1999-08-24 2004-11-16 Tokyo Electron Limited Gas processing apparatus, gas processing method and integrated valve unit for gas processing apparatus
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
WO2002013225A2 (en) 2000-08-08 2002-02-14 Tokyo Electron Limited Plasma processing method and apparatus
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
EP1444727A4 (en) 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
TWI231955B (en) 2001-10-22 2005-05-01 Unaxis Usa Inc Etching of thin damage sensitive layers using high frequency pulsed plasma
JP4330315B2 (ja) 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
KR101247857B1 (ko) 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US20060000552A1 (en) * 2004-07-05 2006-01-05 Tokyo Electron Limited Plasma processing apparatus and cleaning method thereof
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8608851B2 (en) 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
JP5058909B2 (ja) 2007-08-17 2012-10-24 株式会社半導体エネルギー研究所 プラズマcvd装置及び薄膜トランジスタの作製方法
US7772544B2 (en) * 2007-10-09 2010-08-10 Tokyo Electron Limited Neutral beam source and method for plasma heating
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
US8518284B2 (en) * 2008-05-02 2013-08-27 Tel Solar Ag Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US20100101727A1 (en) * 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
KR101810065B1 (ko) * 2010-05-21 2017-12-18 어플라이드 머티어리얼스, 인코포레이티드 대면적 전극 상에 억지 끼워맞춤된 세라믹 절연체
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US20140057387A1 (en) * 2010-07-27 2014-02-27 Amtech Systems, Inc. Systems and Methods for Depositing and Charging Solar Cell Layers
JP5916056B2 (ja) 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2012238629A (ja) * 2011-05-10 2012-12-06 Hitachi High-Technologies Corp 熱処理装置
KR101503512B1 (ko) 2011-12-23 2015-03-18 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9187827B2 (en) * 2012-03-05 2015-11-17 Applied Materials, Inc. Substrate support with ceramic insulation
US9245720B2 (en) * 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
US20140051253A1 (en) 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
JP6063181B2 (ja) * 2012-08-29 2017-01-18 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
JP2014056987A (ja) * 2012-09-13 2014-03-27 Tokyo Electron Ltd プラズマ処理装置
JP6078419B2 (ja) 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
EP3594998B1 (en) * 2013-03-06 2022-01-05 Plasma-Therm, Llc Method for plasma dicing a semi-conductor wafer
WO2015019765A1 (ja) * 2013-08-09 2015-02-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US10790139B2 (en) * 2014-01-24 2020-09-29 Applied Materials, Inc. Deposition of silicon and oxygen-containing films without an oxidizer
WO2015138091A1 (en) 2014-03-14 2015-09-17 Applied Materials, Inc. Smart chamber and smart chamber components
KR20160015510A (ko) * 2014-07-30 2016-02-15 삼성전자주식회사 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법
GB201502453D0 (en) 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10864363B2 (en) * 2016-08-12 2020-12-15 Carlos A. Hakim Externally programable magnetic valve assembly and controller
DE102016218421A1 (de) * 2016-09-26 2018-03-29 Continental Teves Ag & Co. Ohg Bremsgerät für eine hydraulische Kraftfahrzeugbremsanlage
US9947549B1 (en) * 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6972131B2 (ja) 2016-12-27 2021-11-24 エヴァテック・アーゲー 真空プラズマ加工対象物処理装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001508923A (ja) 1997-01-17 2001-07-03 ユナキス・バルツェルス・アクチェンゲゼルシャフト 容量結合rfプラズマ反応室
JP2001135626A (ja) 1999-11-02 2001-05-18 Hitachi Kokusai Electric Inc プラズマcvd装置及びプラズマcvd膜形成方法
JP2006332704A (ja) 2006-08-21 2006-12-07 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
JP2008069382A (ja) 2006-09-12 2008-03-27 Seiko Epson Corp プラズマ処理装置およびプラズマ処理方法
JP2010123689A (ja) 2008-11-18 2010-06-03 Tokyo Electron Ltd プラズマ処理装置
JP2010121159A (ja) 2008-11-18 2010-06-03 Utec:Kk ローラ式プラズマcvd装置及びローラ式プラズマ装置
JP2011184034A (ja) 2010-02-10 2011-09-22 Daido Kogyo Co Ltd スポーク車輪及びそれに用いられるスポーク
JP2013531368A (ja) 2010-05-21 2013-08-01 ラム リサーチ コーポレーション プラズマ処理装置のための可動チャンバライナ・プラズマ閉じ込めスクリーン複合体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102003729B1 (ko) * 2017-11-15 2019-07-29 주식회사 고영테크놀러지 검사 장치

Also Published As

Publication number Publication date
JP2020503670A (ja) 2020-01-30
TW201826887A (zh) 2018-07-16
US11742187B2 (en) 2023-08-29
EP3563402B1 (en) 2021-01-27
EP3563401A1 (en) 2019-11-06
CN110100298B (zh) 2022-10-04
CN110121760B (zh) 2022-08-05
CN110100297A (zh) 2019-08-06
JP2020503669A (ja) 2020-01-30
US20190341234A1 (en) 2019-11-07
US11469085B2 (en) 2022-10-11
EP3563402A1 (en) 2019-11-06
CN110100298A (zh) 2019-08-06
WO2018121896A9 (en) 2018-09-20
JP6972131B2 (ja) 2021-11-24
KR102548259B1 (ko) 2023-06-27
KR20190101432A (ko) 2019-08-30
KR20190102243A (ko) 2019-09-03
WO2018121897A1 (en) 2018-07-05
US20200312624A1 (en) 2020-10-01
TW201841202A (zh) 2018-11-16
JP7072572B2 (ja) 2022-05-20
KR102227783B1 (ko) 2021-03-16
KR102532562B1 (ko) 2023-05-15
TW201824327A (zh) 2018-07-01
TWI802551B (zh) 2023-05-21
TWI720264B (zh) 2021-03-01
EP3563403B1 (en) 2020-08-05
US20190341231A1 (en) 2019-11-07
WO2018121896A1 (en) 2018-07-05
EP3563401B1 (en) 2022-11-23
WO2018121898A1 (en) 2018-07-05
CN110100297B (zh) 2022-09-13
KR20190099520A (ko) 2019-08-27
JP2020503667A (ja) 2020-01-30
TWI767953B (zh) 2022-06-21
CN110121760A (zh) 2019-08-13
US11217434B2 (en) 2022-01-04
EP3563403A1 (en) 2019-11-06

Similar Documents

Publication Publication Date Title
JP7069159B2 (ja) 高周波容量結合エッチング反応器
CN101577216B (zh) 等离子反应器
TWI411034B (zh) A plasma processing apparatus and a method and a focusing ring
US6916401B2 (en) Adjustable segmented electrode apparatus and method
EP1213749B1 (en) Plasma processing apparatus and method of plasma processing
JP2020092260A (ja) ポリマーの堆積を低減する装置及び方法
KR20120120043A (ko) 유도 결합 플라즈마 처리 장치
KR20030077286A (ko) 플라즈마를 이용하는 반도체 제조 장비

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210921

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210922

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220411

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220502

R150 Certificate of patent or registration of utility model

Ref document number: 7069159

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150