JP7069159B2 - 高周波容量結合エッチング反応器 - Google Patents
高周波容量結合エッチング反応器 Download PDFInfo
- Publication number
- JP7069159B2 JP7069159B2 JP2019528819A JP2019528819A JP7069159B2 JP 7069159 B2 JP7069159 B2 JP 7069159B2 JP 2019528819 A JP2019528819 A JP 2019528819A JP 2019528819 A JP2019528819 A JP 2019528819A JP 7069159 B2 JP7069159 B2 JP 7069159B2
- Authority
- JP
- Japan
- Prior art keywords
- electrode configuration
- electrode
- supply signal
- plasma
- etching
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/35—Sputtering by application of a magnetic field, e.g. magnetron sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4558—Perforated rings
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4585—Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
- C23C16/466—Cooling of the substrate using thermal contact gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
- H01J37/32183—Matching circuits
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32633—Baffles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/2633—Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/002—Cooling arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3341—Reactive etching
Description
10 MHz ≦ fvhf ≦ 400 MHz、
又は、
10 MHz ≦ fvhf ≦ 300 MHz、
又は、
20 MHz ≦ fvhf ≦ 300 MHz、
又は、
20 MHz ≦ fvhf ≦ 100 MHz、
及び、
0.01 fvhf ≦ fhf ≦ 0.5 fvhf、
又は、
0.05 fvhf ≦ fhf ≦ 0.5 fvhf
が成立する。
-前記Rf発生器装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給するように調整され、前記超高周波数の供給信号の周波数が、前記高周波数の供給信号の周波数の整数倍である。
-前記Rf発生器装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、前記供給信号を位相ロックするように調整されている。
-前記Rf発生器装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、前記供給信号の相互位相を調整するように調整されている。
-前記Rf発生器装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、動作中に前記供給信号の相互位相を変えるように調整されている。
10 MHz ≦ fvhf ≦ 400 MHz、
又は、
10 MHz ≦ fvhf ≦ 300 MHz、
又は、
20 MHz ≦ fvhf ≦ 300 MHz、
又は、
20 MHz ≦ fvhf ≦ 100 MHz。
0.01 fvhf ≦ fhf ≦ 0.5 fvhf、
又は、
0.05 fvhf ≦ fhf ≦ 0.5 fvhf。
Ar動作圧力:0.1から0.5Pa、
fvhf:60MHz、
fhf:13.56MHz、
電源vhf供給信号<hf供給信号の電力。
d2:指定された動作条件での暗空間距離よりも大きい:d2≧65mm。
5 エッチング室
7 ポンピング室
8i 第2の電極表面
9 第2の電極構成、側板、リム
11 貫通開口、貫通スリット、隙間
12 スポーク
13 ポンピングポート
19c 第2の電極構成、加工対象物支持体
19i 第2の電極表面
20 流路構成
23 システム接地タブ
29 第1の電極構成
31 第1の電極構成
31d 扉
31i 第1の電極表面
37 Rf発生装置
39 整合器装置
40 出力装置
45 第2の電極構成
50 第1の電極構成、金属体
50i 第1の電極表面、周囲表面
55 アクセス開口
56 弾性接触部材
57 フレーム
1023 システム接地タブ
PL プラズマ空間
Claims (21)
- 所定のエッチング条件下でエッチング動作を行うように構成された容量結合RF真空エッチング装置であって、
筐体とも呼ばれる真空容器(3)と、
前記真空容器(3)内に、第1の電極構成(29)と前記第1の電極構成に面する第2の電極構成(45、19c、9)とからなる1つの電極構成とのみ動作的に接触するプラズマ空間と、
前記プラズマ空間(PL)に露出される第1の電極表面(31i、50i)を画定する前記第1の電極構成(29、31、50)と、
前記プラズマ空間(PL)に露出される第2の電極表面(9i、19i)を画定し、加工対象物支持体(19c)の前記表面(19i)を含む、前記第2の電極構成(45)と、
を備え、
前記第1の電極表面が、前記第2の電極表面より大きく、
前記第1の電極構成が、プラズマ供給Rf信号を発生する整合器装置(39)を介してRf発生装置(37)の出力装置(40)に電気的に接続され、
前記第1の電極構成(29、31、50)が、プレート状の基部(33)を有する電極体(31)と、前記プラズマ空間(PL)に自由に露出され、浸された周囲表面(50i)を有する金属体(50)と、を含み、
前記周囲表面(50i)が、前記第1の電極表面の一部である、容量結合RF真空エッチング装置。 - 前記金属体が、動作中に前記所定のエッチング条件においてプラズマが貫通開口内で燃焼するように調整された前記貫通開口及び/又は貫通スリットのパターンを含む、請求項1に記載の容量結合RF真空エッチング装置。
- 前記第1の電極表面が、第1の平面に沿って延びる第1の表面領域と、第2の平面に沿って延びる第2の表面領域とを含み、前記第1及び第2の表面領域が、動作中にプラズマが前記所定のエッチング条件において間隙内で間隙に沿って燃焼するように調整された前記間隙を画定する、請求項1又は2に記載の容量結合RF真空エッチング装置。
- 前記第2の電極表面が、第3の平面に沿って延びる表面領域を含み、前記第1、第2及び第3の平面が、平行平面である、請求項3に記載の容量結合RF真空エッチング装置。
- 前記金属体(50)がプレートである、請求項1から4の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記Rf発生装置(37)が、局所的に異なる2つ以上の接点(C、E)で前記第1の電極構成(29)に接続されている、請求項1から5の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記加工対象物支持体(19c)が、前記第1の電極構成(29)に向かって前記第1の電極構成(29)から駆動可能である、請求項1から6の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記加工対象物支持体(19c)が、前記第1の電極構成に向かって前記第1の電極構成から移動可能ではない、請求項1から6の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記加工対象物支持体(19c)が、前記第1の電極構成に向かって前記第1の電極構成から移動可能ではなく、前記第1の電極構成(29)が、加工対象物を搬入/搬出するための、駆動可能である扉(31d)を含む、請求項1から8の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記筐体(3)が、ポンピングポート(13)を含むポンピング室(5)と、前記第1の電極構成(29)を含むエッチング室(7)とに細分され、前記室(5、7)が、動作中に前記所定のエッチング条件でプラズマがその中で燃焼しないように調整されている貫通開口又は貫通スリット(11)のパターンを有する側板又はリム(9)によって分離される、請求項1から9の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記加工対象物支持体(19c)が、液体の加熱媒体又は冷却媒体を受けるように構成された流路構成(20)を備える、請求項1から10の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記Rf発生装置(37)が、出力装置(40)に超高周波数(vhf)の少なくとも1つの第1のプラズマ供給信号を生成し、前記出力装置(40)に前記超高周波数より低い高周波数(hf)の少なくとも1つの第2のプラズマ供給信号を生成し、
前記第1の電極構成(29、31、50)が、前記整合器装置(39)を介して前記出力装置(40)に電気的に接続され、動作中に、前記第1及び第2のプラズマ供給信号によって電気的に供給され、
前記第2の電極構成(45)が、システム接地タブ(23)に電気的に接続され、
前記Rf発生装置が、60MHzの前記第1のプラズマ供給信号を生成し、約13Mhzの前記第2のプラズマ供給信号を生成する、請求項1から11の何れか一項に記載の容量結合RF真空エッチング装置。 - 前記エッチングのための所定の圧力条件が、0.1から0.5Paであり、両方の限界値を含む、請求項1から12の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記プラズマ空間(PL)に自由に露出され、浸される前記金属体(50)の前記周囲表面(50i)の第1の部分と、前記第1の部分に面する、前記第1の電極表面の第2の部分との間の間隔が、10mmから40mmである、請求項1から13の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記加工対象物支持体に面する前記第1の電極表面の大部分と、前記加工対象物支持体の表面の大部分との間の間隔が、40mmから80mmである、請求項1から14の何れか一項に記載の容量結合RF真空エッチング装置。
- 長方形又は正方形の基板用に成形されている、請求項1から15の何れか一項に記載の容量結合RF真空エッチング装置。
- 前記Rf発生装置が、動作中に前記第1の電極構成への少なくとも1つのRf供給信号を周波数変調及び/又は電力変調するように構成されている、請求項1から16の何れか一項に記載の容量結合RF真空エッチング装置。
- 以下の特徴のうちの少なくとも1つが優勢である、請求項1から17の何れか一項に記載の容量結合RF真空エッチング装置:
-前記Rf発生装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給するように調整され、前記超高周波数の供給信号の周波数が、前記高周波数の供給信号の周波数の整数倍であり、
-前記Rf発生装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、前記供給信号を位相ロックするように調整され、
-前記Rf発生装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、前記供給信号の相互位相を調整するように調整され、
-前記Rf発生装置が、超高周波数の供給信号及び高周波数の供給信号を前記第1の電極構成に供給し、動作中に前記供給信号の相互位相を変えるように調整されている。 - 請求項1から18の何れか一項に記載の少なくとも1つの容量結合Rf装置を含み、コイルトゥコイルフォイル処理プラントを含むインラインプラント、又は、中央ハンドラーによるように、ハンドラーによるような選択可能なリズムで少なくとも1つの加工対象物又は基板が搬入及び搬出されるように構成された処理部を含むプラントである、加工対象物又は基板処理プラント。
- 請求項1から18の何れか一項以上に記載の容量結合RF真空エッチング装置又は請求項19に記載のプラントを使用することによってエッチングする方法又はエッチングされた加工対象物又は基板を製造する方法。
- 前記エッチングが、反応性気体雰囲気中で行われる、請求項20に記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CH01750/16 | 2016-12-27 | ||
CH17502016 | 2016-12-27 | ||
CH2792017 | 2017-03-08 | ||
CH00279/17 | 2017-03-08 | ||
PCT/EP2017/076506 WO2018121898A1 (en) | 2016-12-27 | 2017-10-17 | Rf capacitive coupled etch reactor |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2020503667A JP2020503667A (ja) | 2020-01-30 |
JP7069159B2 true JP7069159B2 (ja) | 2022-05-17 |
Family
ID=62706966
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019529236A Active JP6972131B2 (ja) | 2016-12-27 | 2017-10-17 | 真空プラズマ加工対象物処理装置 |
JP2019529232A Active JP7072572B2 (ja) | 2016-12-27 | 2017-10-17 | Rf容量結合二重周波数エッチング反応器 |
JP2019528819A Active JP7069159B2 (ja) | 2016-12-27 | 2017-10-17 | 高周波容量結合エッチング反応器 |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019529236A Active JP6972131B2 (ja) | 2016-12-27 | 2017-10-17 | 真空プラズマ加工対象物処理装置 |
JP2019529232A Active JP7072572B2 (ja) | 2016-12-27 | 2017-10-17 | Rf容量結合二重周波数エッチング反応器 |
Country Status (7)
Country | Link |
---|---|
US (3) | US11217434B2 (ja) |
EP (3) | EP3563402B1 (ja) |
JP (3) | JP6972131B2 (ja) |
KR (3) | KR102532562B1 (ja) |
CN (3) | CN110100297B (ja) |
TW (3) | TWI767953B (ja) |
WO (3) | WO2018121897A1 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102003729B1 (ko) * | 2017-11-15 | 2019-07-29 | 주식회사 고영테크놀러지 | 검사 장치 |
Families Citing this family (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2017207144A1 (en) * | 2016-06-03 | 2017-12-07 | Evatec Ag | Plasma etch chamber and method of plasma etching |
JP6972131B2 (ja) * | 2016-12-27 | 2021-11-24 | エヴァテック・アーゲー | 真空プラズマ加工対象物処理装置 |
CN111326389B (zh) * | 2018-12-17 | 2023-06-16 | 中微半导体设备(上海)股份有限公司 | 一种电容耦合等离子体刻蚀设备 |
CN111326387B (zh) * | 2018-12-17 | 2023-04-21 | 中微半导体设备(上海)股份有限公司 | 一种电容耦合等离子体刻蚀设备 |
CN113366604A (zh) | 2019-02-06 | 2021-09-07 | 瑞士艾发科技 | 产生离子的方法和装置 |
CN112309807B (zh) * | 2019-08-02 | 2022-12-30 | 中微半导体设备(上海)股份有限公司 | 等离子体刻蚀设备 |
CN114075650A (zh) * | 2020-08-18 | 2022-02-22 | 群创光电股份有限公司 | 曲面基板的镀膜装置及其镀膜方法 |
CN117813680A (zh) * | 2021-08-12 | 2024-04-02 | 朗姆研究公司 | 提供对称射频返回路径的工艺模块室 |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001135626A (ja) | 1999-11-02 | 2001-05-18 | Hitachi Kokusai Electric Inc | プラズマcvd装置及びプラズマcvd膜形成方法 |
JP2001508923A (ja) | 1997-01-17 | 2001-07-03 | ユナキス・バルツェルス・アクチェンゲゼルシャフト | 容量結合rfプラズマ反応室 |
JP2006332704A (ja) | 2006-08-21 | 2006-12-07 | Masayoshi Murata | プラズマ表面処理方法及びプラズマ表面処理装置 |
JP2008069382A (ja) | 2006-09-12 | 2008-03-27 | Seiko Epson Corp | プラズマ処理装置およびプラズマ処理方法 |
JP2010123689A (ja) | 2008-11-18 | 2010-06-03 | Tokyo Electron Ltd | プラズマ処理装置 |
JP2010121159A (ja) | 2008-11-18 | 2010-06-03 | Utec:Kk | ローラ式プラズマcvd装置及びローラ式プラズマ装置 |
JP2011184034A (ja) | 2010-02-10 | 2011-09-22 | Daido Kogyo Co Ltd | スポーク車輪及びそれに用いられるスポーク |
JP2013531368A (ja) | 2010-05-21 | 2013-08-01 | ラム リサーチ コーポレーション | プラズマ処理装置のための可動チャンバライナ・プラズマ閉じ込めスクリーン複合体 |
Family Cites Families (68)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3661761A (en) | 1969-06-02 | 1972-05-09 | Ibm | Rf sputtering apparatus for promoting resputtering of film during deposition |
US6248219B1 (en) | 1986-06-23 | 2001-06-19 | Unaxis Balzers Aktiengesellschaft | Process and apparatus for sputter etching or sputter coating |
EP0322466A1 (en) * | 1987-12-24 | 1989-07-05 | Ibm Deutschland Gmbh | PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides |
JPH029115A (ja) | 1988-06-28 | 1990-01-12 | Mitsubishi Electric Corp | 半導体製造装置 |
US5015331A (en) * | 1988-08-30 | 1991-05-14 | Matrix Integrated Systems | Method of plasma etching with parallel plate reactor having a grid |
US5556474A (en) | 1993-12-14 | 1996-09-17 | Nissin Electric Co., Ltd. | Plasma processing apparatus |
JP3021351B2 (ja) * | 1995-04-13 | 2000-03-15 | 株式会社東芝 | プラズマ処理装置及びプラズマ処理方法 |
US5670218A (en) * | 1995-10-04 | 1997-09-23 | Hyundai Electronics Industries Co., Ltd. | Method for forming ferroelectric thin film and apparatus therefor |
JPH09153481A (ja) * | 1995-11-30 | 1997-06-10 | Sumitomo Metal Ind Ltd | プラズマ処理装置 |
JPH09157846A (ja) * | 1995-12-01 | 1997-06-17 | Teisan Kk | 温度調節装置 |
US5882414A (en) | 1996-09-09 | 1999-03-16 | Applied Materials, Inc. | Method and apparatus for self-cleaning a blocker plate |
US5846375A (en) * | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
US6073577A (en) | 1998-06-30 | 2000-06-13 | Lam Research Corporation | Electrode for plasma processes and method for manufacture and use thereof |
US6817381B2 (en) * | 1999-08-24 | 2004-11-16 | Tokyo Electron Limited | Gas processing apparatus, gas processing method and integrated valve unit for gas processing apparatus |
US6408786B1 (en) * | 1999-09-23 | 2002-06-25 | Lam Research Corporation | Semiconductor processing equipment having tiled ceramic liner |
DE10060002B4 (de) | 1999-12-07 | 2016-01-28 | Komatsu Ltd. | Vorrichtung zur Oberflächenbehandlung |
US6900596B2 (en) | 2002-07-09 | 2005-05-31 | Applied Materials, Inc. | Capacitively coupled plasma reactor with uniform radial distribution of plasma |
WO2002013225A2 (en) | 2000-08-08 | 2002-02-14 | Tokyo Electron Limited | Plasma processing method and apparatus |
JP3897582B2 (ja) | 2000-12-12 | 2007-03-28 | キヤノン株式会社 | 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置 |
EP1444727A4 (en) | 2001-10-22 | 2007-07-18 | Unaxis Usa Inc | PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA |
TWI231955B (en) | 2001-10-22 | 2005-05-01 | Unaxis Usa Inc | Etching of thin damage sensitive layers using high frequency pulsed plasma |
JP4330315B2 (ja) | 2002-03-29 | 2009-09-16 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20040058293A1 (en) * | 2002-08-06 | 2004-03-25 | Tue Nguyen | Assembly line processing system |
US7461614B2 (en) | 2003-11-12 | 2008-12-09 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
KR101247857B1 (ko) | 2004-06-21 | 2013-03-26 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
US20060000552A1 (en) * | 2004-07-05 | 2006-01-05 | Tokyo Electron Limited | Plasma processing apparatus and cleaning method thereof |
JP2006303309A (ja) * | 2005-04-22 | 2006-11-02 | Hitachi High-Technologies Corp | プラズマ処理装置 |
US8366829B2 (en) | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
US8608851B2 (en) | 2005-10-14 | 2013-12-17 | Advanced Micro-Fabrication Equipment, Inc. Asia | Plasma confinement apparatus, and method for confining a plasma |
US8911590B2 (en) | 2006-02-27 | 2014-12-16 | Lam Research Corporation | Integrated capacitive and inductive power sources for a plasma etching chamber |
US7943005B2 (en) * | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080193673A1 (en) * | 2006-12-05 | 2008-08-14 | Applied Materials, Inc. | Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US20080236490A1 (en) * | 2007-03-29 | 2008-10-02 | Alexander Paterson | Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead |
US7972470B2 (en) * | 2007-05-03 | 2011-07-05 | Applied Materials, Inc. | Asymmetric grounding of rectangular susceptor |
US7988815B2 (en) * | 2007-07-26 | 2011-08-02 | Applied Materials, Inc. | Plasma reactor with reduced electrical skew using electrical bypass elements |
US20090025879A1 (en) | 2007-07-26 | 2009-01-29 | Shahid Rauf | Plasma reactor with reduced electrical skew using a conductive baffle |
JP5058909B2 (ja) | 2007-08-17 | 2012-10-24 | 株式会社半導体エネルギー研究所 | プラズマcvd装置及び薄膜トランジスタの作製方法 |
US7772544B2 (en) * | 2007-10-09 | 2010-08-10 | Tokyo Electron Limited | Neutral beam source and method for plasma heating |
CN101451237B (zh) * | 2007-11-30 | 2012-02-08 | 中微半导体设备(上海)有限公司 | 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室 |
US8518284B2 (en) * | 2008-05-02 | 2013-08-27 | Tel Solar Ag | Plasma treatment apparatus and method for plasma-assisted treatment of substrates |
US8002945B2 (en) * | 2008-05-29 | 2011-08-23 | Applied Materials, Inc. | Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator |
US20100101727A1 (en) * | 2008-10-27 | 2010-04-29 | Helin Ji | Capacitively coupled remote plasma source with large operating pressure range |
US8540844B2 (en) * | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
KR101810065B1 (ko) * | 2010-05-21 | 2017-12-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 대면적 전극 상에 억지 끼워맞춤된 세라믹 절연체 |
US9171702B2 (en) | 2010-06-30 | 2015-10-27 | Lam Research Corporation | Consumable isolation ring for movable substrate support assembly of a plasma processing chamber |
EP2407998B1 (en) | 2010-07-15 | 2019-02-13 | Ecole Polytechnique | Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation |
US20140057387A1 (en) * | 2010-07-27 | 2014-02-27 | Amtech Systems, Inc. | Systems and Methods for Depositing and Charging Solar Cell Layers |
JP5916056B2 (ja) | 2010-08-23 | 2016-05-11 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP2012238629A (ja) * | 2011-05-10 | 2012-12-06 | Hitachi High-Technologies Corp | 熱処理装置 |
KR101503512B1 (ko) | 2011-12-23 | 2015-03-18 | 주성엔지니어링(주) | 기판 처리 장치 및 기판 처리 방법 |
US9187827B2 (en) * | 2012-03-05 | 2015-11-17 | Applied Materials, Inc. | Substrate support with ceramic insulation |
US9245720B2 (en) * | 2012-06-12 | 2016-01-26 | Lam Research Corporation | Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system |
US20140051253A1 (en) | 2012-08-14 | 2014-02-20 | Lam Research Corporation | Plasma baffle ring for a plasma processing apparatus and method of use |
JP6063181B2 (ja) * | 2012-08-29 | 2017-01-18 | 東京エレクトロン株式会社 | プラズマ処理方法、及びプラズマ処理装置 |
JP2014056987A (ja) * | 2012-09-13 | 2014-03-27 | Tokyo Electron Ltd | プラズマ処理装置 |
JP6078419B2 (ja) | 2013-02-12 | 2017-02-08 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置 |
EP3594998B1 (en) * | 2013-03-06 | 2022-01-05 | Plasma-Therm, Llc | Method for plasma dicing a semi-conductor wafer |
WO2015019765A1 (ja) * | 2013-08-09 | 2015-02-12 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US9287095B2 (en) * | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US10790139B2 (en) * | 2014-01-24 | 2020-09-29 | Applied Materials, Inc. | Deposition of silicon and oxygen-containing films without an oxidizer |
WO2015138091A1 (en) | 2014-03-14 | 2015-09-17 | Applied Materials, Inc. | Smart chamber and smart chamber components |
KR20160015510A (ko) * | 2014-07-30 | 2016-02-15 | 삼성전자주식회사 | 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법 |
GB201502453D0 (en) | 2015-02-13 | 2015-04-01 | Spts Technologies Ltd | Plasma producing apparatus |
US10475626B2 (en) * | 2015-03-17 | 2019-11-12 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process and reactor |
US10864363B2 (en) * | 2016-08-12 | 2020-12-15 | Carlos A. Hakim | Externally programable magnetic valve assembly and controller |
DE102016218421A1 (de) * | 2016-09-26 | 2018-03-29 | Continental Teves Ag & Co. Ohg | Bremsgerät für eine hydraulische Kraftfahrzeugbremsanlage |
US9947549B1 (en) * | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
JP6972131B2 (ja) | 2016-12-27 | 2021-11-24 | エヴァテック・アーゲー | 真空プラズマ加工対象物処理装置 |
-
2017
- 2017-10-17 JP JP2019529236A patent/JP6972131B2/ja active Active
- 2017-10-17 CN CN201780081151.6A patent/CN110100297B/zh active Active
- 2017-10-17 KR KR1020197022377A patent/KR102532562B1/ko active IP Right Grant
- 2017-10-17 EP EP17787383.3A patent/EP3563402B1/en active Active
- 2017-10-17 KR KR1020197022375A patent/KR102227783B1/ko active IP Right Grant
- 2017-10-17 JP JP2019529232A patent/JP7072572B2/ja active Active
- 2017-10-17 US US16/473,744 patent/US11217434B2/en active Active
- 2017-10-17 US US16/473,810 patent/US11469085B2/en active Active
- 2017-10-17 CN CN201780081154.XA patent/CN110100298B/zh active Active
- 2017-10-17 WO PCT/EP2017/076505 patent/WO2018121897A1/en unknown
- 2017-10-17 EP EP17787382.5A patent/EP3563401B1/en active Active
- 2017-10-17 US US16/473,775 patent/US11742187B2/en active Active
- 2017-10-17 CN CN201780081167.7A patent/CN110121760B/zh active Active
- 2017-10-17 WO PCT/EP2017/076504 patent/WO2018121896A1/en unknown
- 2017-10-17 EP EP17790730.0A patent/EP3563403B1/en active Active
- 2017-10-17 WO PCT/EP2017/076506 patent/WO2018121898A1/en unknown
- 2017-10-17 JP JP2019528819A patent/JP7069159B2/ja active Active
- 2017-10-17 KR KR1020197022376A patent/KR102548259B1/ko active IP Right Grant
- 2017-11-02 TW TW106137948A patent/TWI767953B/zh active
- 2017-11-02 TW TW106137946A patent/TWI720264B/zh active
- 2017-11-02 TW TW106137945A patent/TWI802551B/zh active
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001508923A (ja) | 1997-01-17 | 2001-07-03 | ユナキス・バルツェルス・アクチェンゲゼルシャフト | 容量結合rfプラズマ反応室 |
JP2001135626A (ja) | 1999-11-02 | 2001-05-18 | Hitachi Kokusai Electric Inc | プラズマcvd装置及びプラズマcvd膜形成方法 |
JP2006332704A (ja) | 2006-08-21 | 2006-12-07 | Masayoshi Murata | プラズマ表面処理方法及びプラズマ表面処理装置 |
JP2008069382A (ja) | 2006-09-12 | 2008-03-27 | Seiko Epson Corp | プラズマ処理装置およびプラズマ処理方法 |
JP2010123689A (ja) | 2008-11-18 | 2010-06-03 | Tokyo Electron Ltd | プラズマ処理装置 |
JP2010121159A (ja) | 2008-11-18 | 2010-06-03 | Utec:Kk | ローラ式プラズマcvd装置及びローラ式プラズマ装置 |
JP2011184034A (ja) | 2010-02-10 | 2011-09-22 | Daido Kogyo Co Ltd | スポーク車輪及びそれに用いられるスポーク |
JP2013531368A (ja) | 2010-05-21 | 2013-08-01 | ラム リサーチ コーポレーション | プラズマ処理装置のための可動チャンバライナ・プラズマ閉じ込めスクリーン複合体 |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102003729B1 (ko) * | 2017-11-15 | 2019-07-29 | 주식회사 고영테크놀러지 | 검사 장치 |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7069159B2 (ja) | 高周波容量結合エッチング反応器 | |
CN101577216B (zh) | 等离子反应器 | |
TWI411034B (zh) | A plasma processing apparatus and a method and a focusing ring | |
US6916401B2 (en) | Adjustable segmented electrode apparatus and method | |
EP1213749B1 (en) | Plasma processing apparatus and method of plasma processing | |
JP2020092260A (ja) | ポリマーの堆積を低減する装置及び方法 | |
KR20120120043A (ko) | 유도 결합 플라즈마 처리 장치 | |
KR20030077286A (ko) | 플라즈마를 이용하는 반도체 제조 장비 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20200902 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20210921 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20210922 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20211221 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20220411 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20220502 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7069159 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |