KR102548259B1 - Rf 용량성 결합 듀얼 주파수 에칭 반응기 - Google Patents

Rf 용량성 결합 듀얼 주파수 에칭 반응기 Download PDF

Info

Publication number
KR102548259B1
KR102548259B1 KR1020197022376A KR20197022376A KR102548259B1 KR 102548259 B1 KR102548259 B1 KR 102548259B1 KR 1020197022376 A KR1020197022376 A KR 1020197022376A KR 20197022376 A KR20197022376 A KR 20197022376A KR 102548259 B1 KR102548259 B1 KR 102548259B1
Authority
KR
South Korea
Prior art keywords
etching
workpiece
electrode
plasma
substrate
Prior art date
Application number
KR1020197022376A
Other languages
English (en)
Other versions
KR20190099520A (ko
Inventor
위르겐 바이카르트
요하네스 바이카르트
Original Assignee
에바텍 아크티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에바텍 아크티엔게젤샤프트 filed Critical 에바텍 아크티엔게젤샤프트
Publication of KR20190099520A publication Critical patent/KR20190099520A/ko
Application granted granted Critical
Publication of KR102548259B1 publication Critical patent/KR102548259B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Pressure Sensors (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)

Abstract

더 작은 전극이 에칭되는 용량성 결합 에칭 반응기에서, 더 큰 전극은 초 고주파수 공급 신호 및 고주파수 공급 신호에 의해 전기적으로 공급된다. 기판 캐리어로 작용하는 더 작은 전극은 접지 전위에 연결된다.

Description

RF 용량성 결합 듀얼 주파수 에칭 반응기
본 발명은 2-전극 용량성 결합 RF 에칭 반응기 또는 장치에 관한 것이다.
본 발명은 2-전극 용량성 결합 RF 에칭 반응기 또는 장치에 관한 것이며, 실질적으로, 예를 들어, US 6 248 219에서 다루어지는 코니그(Koenig)의 법칙을 따른다. 이러한 반응기 또는 장치에서, 플라즈마 공간은 제1 전극 장치 및 제1 전극 장치와 대면하는 제2 전극 장치로 구성된 전극 장치과 단독으로 작동 접촉한다. 코니그의 법칙에 따르면 그 사이에 Rf 플라즈마 방전이 발생하는 전극 표면에 인접한 평균 전위의 시간의 드롭(drop) 비율은 제4 전력(fourth power)으로 상승된 각각의 전극 표면적의 역비로 주어진다.
코니그의 법칙이 유효한 조건은 언급된 특허에서도 다루고 있다. 이로부터, Rf 플라즈마에 노출된 더 작은 전극 표면이 주로 스퍼터링되는 것, 다시 말해, 에칭되는 것, 더 크게 주로 스퍼터 코팅되는 것을 당업자가 알게된다.
본 발명의 목적은 언급된 형태의 반응기라 불리는 개선된 에칭 장치를 제공하는 것이다.
이것은 미리결정된 압력 조건을 포함하는 미리결정된 조건 하에서 에칭 작동을 위해 구성된 용량성 결합 RF 진공 에칭 장치에 의해 달성된다. 이러한 장치는 엔클로저(enclosure)로 언급되는 진공 수용기를 포함한다. 진공 수용기 내에서, 제1 전극 장치 및 제1 전극 장치에 대향하는 제2 전극 장치로 구성된 하나의 전극 배치와 단독으로 접촉하는 플라즈마 공간이 제공된다. 전기 전도성이 아니거나 전기적으로 유동적인 방식으로 작동되는 다른 부재들은 플라즈마 공간과 접촉할 수 있지만 전극으로 간주되지는 않는다. 두 개의 전극만으로 플라즈마가 작동되고 영향을 받는다는 사실은 코니그 형 반응기에 필수적이다.
제1 전극 장치는 플라즈마 공간에 노출된 제1 전극 표면을 형성한다. 제2 전극 장치는 플라즈마 공간에 노출된 제2 전극 표면을 형성하고 캐리어 표면을 갖는 작업편 캐리어의 표면을 포함한다. 제1 전극 표면은 제2 전극 표면보다 크다. 제1 전극 장치는 매치 박스 장치를 통해 Rf 발생 장치의 출력 장치에 전기적으로 연결되어 이로부터 공급된다.
Rf 발생기 장치는 출력 장치에서 초 고주파수에서 적어도 하나의 제1 플라즈마 공급 신호를 생성하고, 출력 장치에서, 상기 초 고주파수보다 낮은 고주파수에서 적어도 하나의 제2 플라즈마 공급 신호를 생성한다.
제1 전극 장치는 매치 박스 장치를 통해 출력 장치에 전기적으로 연결되고, 작동시에 제1 및 제2 플라즈마 공급 신호에 의해 전기적으로 공급된다. 제2 전극 장치는 적어도 에칭 작동 동안 시스템 접지 탭에 전기적으로 연결되어 시스템 접지 전위에서 작동한다. 플라즈마 공간에서 플라즈마의 이중 또는 다중 Rf 주파수 공급으로 인해, 플라즈마 밀도 및 그에 따른 에칭 효율이 현저하게 증가된다.
그럼에도 불구하고, 반응기 또는 장치의 전체적인 구성은 제1 전극 장치만이 다수의 Rf가 공급되고 매치 박스 장치가 제공되며, 제2 전극 장치는 전기적 접지 전위로 유지된다는 사실에 의해 상당히 촉진된다. 작업편 지지체에 제공하는 것이 이러한 제2 전극 장치이기 때문에, 작업 처리 장치의 구성이 또한 실질적으로 단순화된다.
본 발명에 따른 장치의 일 실시예에서, 제1 전극 장치는 주변 표면이 플라즈마 공간에 자유로이 노출되고 잠기어, 주변 표면이 제1 전극 표면의 일부인, 금속 본체를 포함한다.
본체 주변 표면이 플라즈마 공간에 자유롭게 노출된다는 것을 언급할 때, 그 표면의 사소하고 무시할 수 있는 부분은 자유롭게 노출되지 않지만 플라즈마 공간 내에서 본체에 대한 기계적 장착을 설정하는데 반드시 사용된다.
이러한 본체에 의해, 제1 전극 장치의 유효 표면이 상당히 확대된다.
본 발명에 따른 장치의 일 실시예에서, 금속 본체는 맞춤화된(tailored) 관통 개구 및/또는 관통 슬릿의 패턴을 포함하여, 작동 중에 미리결정된 조건에서 관통 개구 내에서 플라즈마가 연소된다.
이러한 관통 개구 및/또는 관통 슬릿을 통해 플라즈마 공간 내의 플라즈마 분포가 제어될 수 있고, 따라서 작업편 또는 기판 상에 에칭 효과의 분포가 제어 될 수 있다.
본 발명에 따른 장치의 일 실시예에서, 제1 전극 표면은 제1 평면을 따라 연장되는 제1 표면 영역, 제2 평면을 따라 연장되는 본체의 제2 표면 영역을 포함하고, 제1 및 제2 표면 영역은 작동시 플라즈마가 미리결정된 조건에서 내부 공간 내에서, 그리고 내부 공간을 따라 연소되도록 맞춤화된 내부 공간을 형성한다.
이러한 본체가 플라즈마가 그 안에서 연소될 수 있도록 충분히 큰 너비를 갖는 내부 공간을 형성하지만 현저하게 크지는 않도록 구성되고 장착되는 경우, 제1 전극의 유효 표면은 플라즈마 공간의 전체 체적을 현저히 증가시키지 않고, 따라서 진공 수용체를 크게 증가시키지 않고 현저히 커지게 된다.
본 발명에 따른 장치의 일 실시예에서, 제2 전극 표면은 제3 평면을 따라 연장되는 표면 영역을 포함하고, 상기 제1, 제2 및 제3 평면은 평행한 평면이다.
따라서, 반응기는 일 실시예에서 및 주로 평행한 전극 반응기이다.
일 실시예에서, 금속 본체는 플레이트이다.
본 발명에 따른 장치의 일 실시예에서, Rf 발생기 장치는 하나 이상의 국소적으로 상이한 접점에서 제1 전극 장치에 매치 박스 장치를 통해 연결된다.
본 발명에 따른 장치의 일 실시예에서, 제1 플라즈마 공급 신호 및 제2 플라즈마 공급 신호는 국소적으로 상이한 접점에서, 매치 박스 장치를 통해, 제1 전극 장치에 연결된다.
방금 언급된 두 실시예는 플라즈마 공간에서의 플라즈마 분포를 개선할 수 있고, 특히 큰 작업편 또는 기판을 에칭하는 경우, 정상파(standing waves)의 발생을 감소시키는 데 기여할 수 있다.
본 발명에 따른 장치의 일 실시예에서, 다음이 유효하다:
10 MHz ≤ fvhf ≤ 400 MHz,
또는
10 MHz ≤ fvhf ≤ 300 MHz
또는
20 MHz ≤ fvhf ≤ 300 MHz
또는
20 MHz ≤= fvhf ≤ 100 MHz
및:
0.01 fvhf ≤ fhf ≤ 0.5 fvhf
또는
0.05 fvhf ≤ fhf ≤ 0.5 fvhf.
여기서, fhf는 고주파 공급 신호의 주파수를 나타내고, fvhf는 초 고주파 공급 신호의 주파수를 나타낸다.
본 발명에 따른 장치의 일 실시예에서, 작업편 캐리어는 제1 전극 장치를 향하여 그리고 제1 전극 장치로부터 구동 가능하게 이동 가능하다.
본 발명에 따른 장치의 일 실시예에서, 작업편 캐리어는 제1 전극 장치를 향하여 그리고 제1 전극 장치로부터 이동 가능하지 않다.
본 발명에 따른 장치의 일 실시예에서, 작업편 캐리어는 제1 전극 장치를 향하여 그리고 이로부터 이동 가능하지 않고, 제1 전극 장치는 작업편을 로딩/언로딩하기 위한 구동 가능하게 이동 가능한 도어를 포함한다.
본 발명에 따른 장치의 일 실시예에서, 엔클로저는 펌핑 포트를 포함하는 펌핑 구획 및 제1 전극 장치를 포함하는 에칭 구획에서 세분된다. 구획들은 미리결정된 에칭 조건에서 그 안에서 플라스마가 연소되지 않도록, 작동 중에, 맞춤화된 관통 개구 또는 관통 슬릿의 패턴을 갖는 쉬라우드(shroud) 또는 림(rim)에 의해 분리된다.
이러한 2-구획 구조는 에칭 챔버를 작게 유지하면서도 특정 작업편 또는 기판 및 전극 장치들에 맞게 적응시키면서 큰 펌핑 포트를 통해 높은 펌핑 효율을 실현할 수 있게 한다. 에칭 구획 부피는 펌핑 포트 요건을 고려하지 않고 전극 장치에 완전히 이용될 수 있다.
본 발명에 따른 장치의 일 실시예에서, 엔클로저는 펌핑 포트를 포함하는 펌핑 구획 및 제1 전극 장치를 포함하는 에칭 구획에서 세분된다. 구획은 쉬라우드 또는 림으로 분리된다. 쉬라우드 또는 림은 에칭 구획에 대한 작업편 접근 개구를 한정하는 프레임을 유지한다. 프레임은 펌핑 구획과 에칭 구획 사이의 관통-갭을 상호 한정하는 다수의 스포크(spokes)에 의해 쉬라우드 또는 림에 의해 유지된다. 갭은 작동 중에 플라즈마가 그 내부에서 연소되지 않도록 맞춰진다. 추가의 실시예에서, 프레임은 열 로딩 중에 프레임이 자유롭게 팽창 및 수축할 수 있는 방식으로 스포크에 의한 림 또는 쉬라우드에 의해 유지된다.
본 발명에 따른 장치의 일 실시예에서, 엔클로저는 펌핑 포트 및 제1 전극 장치를 포함하는 에칭 구획을 포함하는 펌핑 구획에서 다시 세분된다. 구획은 관통 개구 또는 관통 슬릿 패턴을 갖는 쉬라우드 또는 림에 의해 분리되어, 작동 중에 미리결정된 에칭 조건에서 플라즈마가 그 내부에서 연소되지 않는다. 쉬라우드 또는 림은 에칭 구획에 대한 작업편 접근 개구를 한정하는 프레임을 유지한다. 작업편 캐리어는 로드/언로드 위치에서 공정 위치로 또는 그 반대로 구동 가능하게 이동할 수 있다. 프레임은 공정 위치에서 작업편 캐리어상의 작업편 또는 기판에 대한 다운홀딩 부재(downholding member)로 작용하도록 구성된다.
당업자는, 미리결정된 처리 조건이 적용될 때, 진공 플라즈마가 연소되거나, 또는 보이드(void), 예를 들어 구멍 내에서, 내부 공간의 슬릿내에서 연소되지 않을 것이라는 것을 알고 있다.
본 발명에 따른 장치의 일 실시예에서, 엔클로저는 펌핑 포트를 포함하는 펌핑 구획 및 제1 전극 장치를 포함하는 에칭 구획에서 다시 세분된다. 구획은 쉬라우드 또는 림으로 분리된다. 쉬라우드 또는 림은 에칭 구획에 대한 작업편 접근 개구를 한정하는 프레임을 유지한다. 프레임은 펌핑 구획과 에칭 구획 사이의 관통-갭을 상호 한정하는 다수의 스포크들(spokes)에 의해 쉬라우드 또는 림에 의해 유지된다. 쉬라우드 또는 림은 에칭 구획에 대한 작업편 접근 개구를 한정하는 프레임을 유지한다. 작업편 캐리어는 로드/언로드 위치에서 공정 위치로 또는 그 반대로 구동 가능하게 이동할 수 있다. 프레임은 공정 위치에서 작업편 캐리어상의 작업편 또는 기판에 대한 다운홀딩 부재로 작용하도록 구성된다. 쉬라우드 또는 림은 펌핑 구역과 에칭 구역 사이의 관통 갭을 상호 정의하는 다수의 스포크들에 의해 프레임을 유지한다. 관통-갭은 미리결정된 에칭 조건에서, 작동 중에 플라즈마가 그 내부에서 연소되지 않도록 맞춰진다. 프레임은 열 로딩 중에 프레임이 자유롭게 팽창 및 수축할 수 있는 방식으로 스포크에 의한 림 또는 쉬라우드에 의해 유지된다.
본 발명에 따른 장치의 일 실시예에서, 스포크들은 압축 가능한 부재들 및/또는 굽힘가능한 부재들로서 구성되며, 따라서 프레임의 자유로운 팽창 및 수축을 탄력적으로 허용한다.
본 발명에 따른 장치의 일 실시예에서, 스포크의 적어도 일부는 길이 범위 방향을 한정하고 각각의 스포크는 프레임에 장착되어, 길이 범위의 각각의 방향은 하기와 같이 유효한 각도 α로 각각의 스포크 고정의 궤적에서 상기 프레임상의 접선와 교차한다 :
90o > α ≥ 0o.
본 발명에 따른 장치의 일 실시예에서, 작업편 캐리어는 액체 가열 또는 냉각 매체를 수용하도록 구성된 채널 장치를 포함한다.
본 발명에 따른 장치의 일 실시예에서, 엔클로저는 펌핑 포트 및 제1 전극 장치를 포함하는 에칭 구획을 포함하는 펌핑 구획에서 세분된다. 구획은 맞춤화된 관통 개구 또는 관통 슬릿 패턴을 갖는 쉬라우드 또는 림에 의해 분리되어, 작동 중에 미리결정된 에칭 조건에서 플라즈마가 그 내부에서 연소되지 않는다. 작업편 캐리어는 로드/언로드 위치에서 공정 위치로 또는 그 반대로 구동 가능하게 이동할 수 있다. 에칭 구획에 노출된 작업편 또는 기판 표면의 주변에서 및 그 주변을 따라, 작업편 캐리어 상에 또는 공정 위치에 작업편 또는 기판을 기계적으로 유지시키도록 조절되는 다운홀딩 부재가 제공된다. 작업편 캐리어는 액체 가열 또는 냉각 매체를 수용하도록 구성된 채널 장치 및 열 전도 가스를 수용하기 위한 추가 채널 장치를 포함한다. 추가 채널 장치는 작업편 캐리어의 캐리어 표면에서 보어- 및/또는 슬릿- 패턴에 의해 방출된다.
본 발명에 따른 장치의 일 실시예에서, 추가 채널 장치 및 캐리어 표면에서 방출하는 보어 및/또는 슬릿 패턴은 맞춤화되어, 캐리어 표면과 기판 또는 작업편 사이의 내부 공간의 주변을 따라 열 전달 가스의 압력을 설정하는데, 열전달 가스의 압력은 상기 내부 공간의 더 중심 부분 및 이를 따르는 압력과 적어도 동일하다.
본 발명에 따른 장치의 일 실시예에서, 엔클로저는 펌핑 포트를 포함하는 펌핑 구획에서 그리고 제1 전극 장치를 포함하는 에칭 구획에서 세분된다. 구획은 맞춤화된 관통 개구 또는 관통 슬릿 패턴을 갖는 쉬라우드 또는 림에 의해 분리되어, 작동 중에, 미리결정된 에칭 조건에서, 플라즈마가 그 내부에서 연소되지 않도록 맞춰진다. 쉬라우드 또는 림은 엔클로저의 일부이거나 엔클로저의 일부 및 제1 전극 장치의 일부를 포함한다.
본 발명에 따른 장치의 일 실시예에서, 엔클로저는 펌핑 포트를 포함하는 펌핑 구획으로, 및 제1 전극 장치를 포함하는 에칭 구획으로 세분된다. 구획은 관통 개구 및/또는 관통 슬릿의 패턴을 갖는 쉬라우드 또는 림에 의해 분리된다. 상이한 상황에서 언급된 바와 같이, 이러한 개구 및/또는 관통 슬릿은 작동 중에 미리결정된 에칭 조건에서 플라즈마가 그 내부에서 연소되지 않도록 맞춰진다. 작업편 캐리어는 로드/언로드 위치에서 공정 위치로 또는 그 반대로 구동 가능하게 이동할 수 있다. 쉬라우드 또는 림은 별개의 분산 및 탄성 접촉 부재들에 의해 공정 위치에서 작업편 지지체에 전기적으로 연결된다.
본 발명에 따른 장치의 일 실시예에서, 발생기 장치는 60 MHz에서 제1 플라즈마 공급 신호를 생성하고, 약 13 MHz에서 제2 플라즈마 공급 신호를 생성한다.
매우 높은 주파수의 공급 신호뿐만 아니라 고주파수의 공급 신호가 제1 전극 장치에 인가되는 모든 실시예에서 이들 적어도 2 개의 공급 신호는 적어도 에칭 작동의 시간 간격 동안 동시에 인가된다.
본 발명에 따른 장치의 일 실시예에서, 에칭을 위한 미리결정된 압력 조건은 0.1 내지 0.5 Pa 사이이며, 양쪽 제한 모두가 포함된다.
본 발명에 따른 장치의 일 실시예에서, 제1 전극 장치는 플라즈마 공간에 자유롭게 노출되고 잠기는 주변 표면을 갖는 금속 본체를 포함하며, 플라즈마 공간에 자유로이 노출되어 잠기는 금속 본체의 주변 표면의 제1 부분과, 제1 부분과 마주하는 제1 전극 표면의 제2 부분 사이의 간격은 10mm 내지 40mm, 바람직하게는 20mm이다.
본 발명에 따른 장치의 일 실시예에서, 작업편 캐리어를 대면하는 제1 전극 표면의 주된 부분과 작업편 캐리어의 표면의 주된 부분 사이의 간격은 40mm 내지 80mm이며, 양쪽 제한이 포함되고, 바람직하게는 65mm이다.
본 발명에 따른 장치의 일 실시예에서, 직사각형 또는 정사각형 기판에 대하여 형성된다.
본 발명에 따른 장치의 일 실시예에서, Rf 발생기 장치는 작동 중에 상기 제1 및 제2 공급 신호 중 적어도 하나의 주파수 변조 및 전력 변조 중 적어도 하나에 구성된다.
본 발명에 따른 장치의 일 실시예에서 다음 특징들 중 적어도 하나가 우선한다 :
- Rf 발생기 장치는 상기 고주파수의 정수배로서 초 고주파수를 발생시키도록 맞춰진다;
- Rf 발생기 장치는 상기 제1 공급 신호를 상기 제2 공급 신호로 위상 고정(phase lock)시키도록 맞춰진다;
- Rf 발생기 장치는 상기 제1 및 제2 공급 신호의 상호 위상을 조정하도록 맞춰진다.
- Rf 발생기 장치는 작동 중 제1 및 제2 공급 신호의 상호 위상을 변화시키도록 맞춰진다.
본 발명은 또한 본 발명에 따른 적어도 하나의 용량성 결합 Rf 장치 또는 그 하나 이상의 실시예를 포함하는 작업편 또는 기판 처리 플랜트에 관한 것이다. 일 실시예에서, 플랜트는 코일-투-코일 포일 공정 플랜트(coil-to-coil foil processing plant)를 포함하는 인라인 플랜트이다.
인라인 플랜트에서 작업편은 고정된 리듬(rhythm)으로 하나의 처리 스테이션에서 다음 처리 스테이션으로 연속적으로 이송된다. 추가의 실시예에서, 플랜트는 핸들러, 예를 들어 중앙 핸들러에 의해 선택 가능한 리듬으로 적어도 하나의 작업편 또는 기판이 처리 스테이션에 로딩 및 언로딩되는 유형이다.
본 발명은 또한 본 발명에 따른 용량성 결합 RF 진공 에칭 장치, 또는 하나 이상의 실시예에 따른 용량성 결합 RF 진공 에칭 장치를 사용함으로써, 또는 본 발명에 따른 플랜트를 사용함으로써, 작업편 또는 기판을 에칭하거나 에칭된 작업편 또는 기판을 제조하는 방법에 관한 것이다.
본 발명에 따른 방법의 일 변형에서, 에칭은 반응성 가스 분위기에서, 바람직하게는 산소 또는 산소 및 불소를 함유하여 수행된다. 따라서, 불소뿐만 아니라 산소는 산소를 함유하는 기체에 의해, 산소, 예를 들어 N2O에 의해, 및 각각 불소, 불소를 포함하는 기체에 의해, 예를 들어, CF4, SF6, NF3, C4F8 등에 의해 제공될 수 있다.
용량성 결합 RF 진공 장치의 실시예들 중 하나 또는 둘 이상이 모순되지 않으면 결합될 수 있다.
본 발명은 이제 예시들에 의해 그리고 도면들의 도움으로 더 설명될 것이다.
도면들은 다음을 도시한다 :
도 1은 본 발명에 따른 장치의 실시예를 가장 개략적으로 단순화한 도면이다;
도 2는 도 1의 A-A에서 바라본, 도 1의 실시예에 대한 본 발명에 따른 장치의 실시예를 다시 개략적으로 단순화한 도면이다;
도 3은 도 2의 실시예의 프레임에 대한 스포크의 사시도에서의 링크(link)를 개략적으로 단순화한 도면이다;
도 4는 본 발명에 따른 장치의 일 실시예에 따른, 제1 전극 장치에 중첩된 공급 신호의 Rf 공급을 개략적으로 단순화한 도면이다;
도 5는 본 발명에 따른 장치의 실시예에 따른, 제1 전극 장치로의 공급 신호의 Rf 공급을 개략적으로 단순화한 도면이다;
도 6은 본 발명에 따른 장치의 실시예의 림 또는 쉬라우드의 일부를 개략적으로 단순화한 도면이다;
도 7은 기판-또는 작업편-캐리어가 제1 전극 장치를 향해 들어올려지지 않거나 이로부터 수축되지 않는 본 발명에 따른 장치의 실시예를 개략적으로 단순화한 도면이다;
도 8은 본 발명에 따른 장치의 실시예의 가장 간단하고 도식적인 개략도이다;
도 9는 본 발명에 따른 장치의 실시예에서의 제1 전극 장치의 유효 표면을 확대하는 특징을 개략적으로 단순화한 도면이다;
도 10은 본 발명에 따른 장치의 제2 전극 장치를 접지 전위에 연결하는 것을 개략적으로 단순화한 변형도이다;
도 11은 본 발명에 따른 장치의 일 실시예에서 작업편 또는 기판 지지체와 쉬라우드 또는 림의 조합을 실현하는 것을 개략적으로 단순화한 변형도이다;
도 12는 본 발명에 따른 장치의 일 실시예에 적용된 쉬라우드 또는 림 구조의 원리를 개략적으로 단순화한 도면이다;
도 13은 도 12에 의해 설명된 원리에 따라 본 발명에 따른 장치의 일 실시예에 적용된 쉬라우드 또는 림 구조의 실시예를 개략적으로 단순화한 도면이다;
도 14는 도 13의 B-B 선을 따르는 부분 단면도에서, 도 13의 림 또는 쉬라우드 및 프레임 배치로 상승가능(liftable)하고 수축가능한(retractable) 작업편 캐리어와 기판 또는 작업편과의 상호 작용을 개략적으로 단순화한 도면이다;
도 15는 본 발명에 따른 장치의 일 실시예에 제공된 작업편 캐리어의 부분적인 개략도이다;
도 16은 본 발명에 따른 하나 이상의 장치를 갖는 본 발명에 따른 인라인 플랜트를 개략적으로 단순화한 도면이다;
도 17은 본 발명에 따른 플랜트의 다른 실시예를 개략적으로 단순화한 도면이다;
도 18 및 도 19는 본 발명에 따른 플랜트의 개략적으로 단순화한 추가 실시예이다.
이제 하기 설명을 통해 언급되고, 다음이 유효하면 주파수 f를 초 고주파수 fvhf라 한다:
10 MHz ≤ fvhf ≤ 400 MHz,
또는
10MHz ≤ fvhf ≤ 300 MHz
또는
20 MHz ≤ fvhf ≤ 300 MHz
또는
20 MHz ≤ fvhf ≤ 100 MHz.
이제 하기 설명을 통해 언급되고, 다음이 유효하면 주파수 f를 고주파수 fhf라 한다:
0.01fvhf ≤ fhf ≤ 0.5 fvhf
또는
0.05fvhf ≤ fhf ≤ 0.5 fvhf.
반응기라고도 지칭되는 도 1의 실시예의 장치(1)는 본 발명에 따라 금속 엔클로져(3) 내에 진공 챔버를 포함한다. 엔클로저(3) 내에서 펌핑 구획(5)은 관통 구멍 및/또는 관통 슬릿(11)의 밀집 패턴(dense pattern)을 갖는 분리 쉬라우드 또는 림(9)에 의해 에칭 구획(7)으로부터 분리되어 있다. 하부 구획인 펌핑 구획(5)은 펌프 장치(15)가 연결될 수 있는 대형 펌핑 포트(13)를 포함한다.
기판 지지체(19)로도 지칭되는 금속 작업편 지지체는 금속 엔클로저(3)에 견고하게 장착되고 전기적으로 연결된 제1 금속 부분(19a) 및 제1 금속 부분(19a)에 대하여 양방향 화살표(W)로 도시된 바와 같이, 상하로 구동 가능하게 이동 가능한 가동부(19b)를 포함한다. 가동부(19b)는 금속 작업편 또는 기판 캐리어(19c)를 이송한다. 부품(19b, 19c)에 대한 구동은 도 1에는 도시되어 있지 않다.
부분(19c)은 특히 예를 들어 그것의 가장자리가 있는 상부 위치에서, 예를 들어 부분(19a)에 대한 금속 벨로우즈(21)를 통해 지면에 전기적으로 연결된다.
개략적으로 도시된 바와 같이, 금속 엔클로저(3)는 예를 들어, 도 1의 23에 도시된 바와 같이 시스템 접지 -G- 커넥터에 전기적으로 연결 가능하다.
엔클로저(3)는 예를 들어 도면 부호 25에서 쉬라우드 또는 림(9)에 전기적으로 연결되고, 예를 들어 도면 부호 27에서 부분(19a)에 전기적으로 연결된다. 부분(19b)은 예를 들어 도면 부호 28에서 기판 캐리어(19c)에 전기적으로 연결된다.
에칭 구획(7) 내에는 제1 전극 장치(29)가 제공된다. 반응기(1)의 더 큰 전극 표면을 제공하여, 따라서 전극 표면이 주로 스퍼터 코팅되는 제1 전극 장치(29)는 플레이트 형상 베이스(33) 및 프레임 형 측벽(35)을 갖는 용기 또는 냄비 형상의 전극 본체(31)를 포함한다. 용기(jar) 또는 냄비(pot)-형 전극 본체(31)는 엔클로저(3)에 인접하게 그리고 엔클로저(3)를 따라 존재한다. 이는 예를 들어 전기 절연층을 통해 또는 전기 절연 부재(미도시)를 통해 엔클로저(3)에 장착될 수 있다.
제1 전극 장치(29)는 매치 박스 장치(39)를 통해 선(38)으로 도시된 바와 같이 공급기 발생 장치(37)에 전기적으로 연결된다. 따라서, 전극 본체(31)의 베이스(33)는 예를 들어 실질적으로 중앙에 -본 발명의 일 실시예에 따라- 매치 박스 장치(39)의 출력 장치의 적어도 두 개의 출력(41vhf 및 41hf)에 연결된다. 출력(41vhf)으로부터 fvhf 주파수를 갖는 제1 플라즈마 공급 신호는 제1 전극 장치(29)에 공급하고, 출력(41hf)으로부터 제1 플라즈마 공급 신호에 중첩된 주파수(fhf)를 갖는 제2 플라즈마 공급 신호는 제1 전극 장치(29)에 공급한다. 제1 및 제2 플라즈마 공급 신호는 예를 들어 제1 플라즈마 공급 신호에 대한 발생기 및 제2 플라즈마 공급 신호에 대한 제2 발생기를 포함하는 공급 발생기 장치(37)에 의해 발생된다. 발생기 장치(37)는 매치 박스에 출력 40vhf 및 출력 40hf를 갖는다. 제1 및 제2 플라즈마 공급 신호들은 에칭 처리 시간 내에 적어도 시간 간격 동안, 심지어 에칭 처리 시간 내에 주요한 시간 간격 동안 또는 전체 에칭 처리 시간 동안까지도 제1 전극 장치(29)에 동시에 -중첩되어- 적용된다.
매치 박스 장치(39)는 플라즈마 공급 신호의 중첩으로 인해서, vhf 플라즈마 공급 신호가 hf 발생기 출력을 로드하고, 그 역으로 되는 것을 방지하도록 구성된다. 도 1에 개략적으로 도시된 바와 같이, 출력(40vhf)에서 생성된 fvhf에서 동조된 대역 차단 필터(43vhf)는 출력(40vhf)으로부터의 신호를 차단하여 출력(40hf)을 로드한다. 유사하게, 출력(40hf)에서 생성된 fhf상에서 동조된 대역 차단 필터(43hf)는 출력(40hf)로부터의 신호를 차단하여 출력(40vhf)을 로드한다. 이것은 대역 통과 필터를 통해 전극 장치(29)에 각각 공급하는 것과 동일하다.
제2 전극 장치(45)는 작업편 지지체(19c) 상에 존재하는 플레이트 형상의 작업편 또는 기판에 대한 에칭 위치인 리프팅-업 위치(b)에서 작업편 지지체(19)의 작업편 캐리어(19c)를 포함한다.
전술한 바와 같이, 작업편 캐리어(19c)는 시스템 접지 전위에 있다. 이는 예를 들어 반응기로 또는 반응기로부터 기판을 취급하는 것과 관하여, 반응기의 전체적인 구성을 상당히 단순화시킨다. 따라서, 기판이 접지 전위에서 작동하기 때문에, 전극 장치(29)는, 캐패시터(34)에 의해 개략적으로 도시된 바와 같이 예를 들어 -일반적으로 매치 박스 장치(39)에서- 공급기 발생 장치(37)에 대한 용량성 결합에 의해 DC로부터 분리된 부동 DC 전위에서 작동된다.
일반적으로 말해서, 이 실시예에서, 더 큰 전극은 상이한 Rf 주파수들에 의해 공급되고 기판 캐리어인 더 작은 전극은 접지 전위에서 작동된다.
접지 전위에서 작동되는 쉬라우드(9)는 전기적으로 제2 전극 장치(45)의 일부이다.
RF 플라즈마(PL)는 전극 본체(31)의 내부 표면(31i), 쉬라우드(9)의 상부 표면(9i)과 에칭 구획(7)에 노출된 작업편 캐리어(19c)의 표면(19ci) 사이에 한정된다.
엔클로저(3)와 전극 본체(31)의 외부 표면(31o) 사이의 내부 공간이, 예를 들어, 미리결정된 작동 에칭 조건에서의 어두운 공간 거리보다 작게 설계되거나, 예를 들어 각각의 내부 공간이 유전체 재료 공간 층으로 채워지는 것으로 인해 전극 본체(31)의 외면(31o)과 엔클로저(3) 사이에 플라즈마가 발생하지 않는다.
쉬라우드(9)의 스루-오프닝 또는 슬릿(11)은 크기가 작기 때문에, 언급된 조건에서 플라즈마가 연소되지 않을 수 있다. 관통 슬릿들은 언급된 어두운 공간 거리보다 좁다. 관통 구멍의 직경들 또한 언급된 어두운 공간 거리보다 작다. 그럼에도 불구하고, 관통 보어들 또는 관통 슬릿들을 통한 밀도는 에칭 구획(7)로부터 펌핑 구획(5)으로의 매우 낮은 가스 유동 저항을 보장하기에 충분히 높으며, 에칭 처리된 재료의 고효율 펌핑-오프(pumping-off)를 보장한다.
쉬라우드(9)의 관통-보어들 또는 슬릿들(11)이 플라즈마가 그 안에서 연소되지 않도록 치수가 정해지므로, 이러한 보어들 및/또는 슬릿들에 의한 제2 전극 장치(45)의 표면 증가는 전극 장치들(29,45) 사이의 스퍼터링/에칭 분포에 영향을 미치지 않는다.
기판(47)의 에칭 효율은 제1 전극 장치(29)의 전극 표면을 실질적으로 확대시킴으로써 상당히 개선된다. 이는 전극 본체(31)의 표면(31i)으로부터 멀리 떨어져있는 적어도 하나의 금속 본체(50), 예를 들어 플레이트 형상을 제공함으로써 실현된다.
이는 예를 들어 플레이트 형상인, 전극 본체(31)의 표면(31i)으로부터 멀리 떨어져 있는, 적어도 하나의 금속 본체(50), 제공함으로써 실현된다. 예를 들어 플레이트형 금속 본체(50)는 본체(50)를 장착하고 전기적으로 공급하기 위한 몇몇 작은 영역을 제외하고는 플라즈마 공간(PL)에 자유롭게 노출되는 전체 주위 표면(50i)을 갖는다. 예를 들어, 도면 부호 52로 개략적으로 도시된 바와 같은 플라즈마 공급 신호들 모두에 의해 전기적 RF 공급되고, 기판(47)에 대한 에칭 공정에 대한 우세한 조건에서 어두운 공간 거리보다 더 큰 거리(d1)만큼 표면(31i)으로부터 이격된, 예를 들어, 플레이트형 본체(50)는 Rf 플라즈마에 완전히 잠기게 된다. 전체 표면(50i)은 제1 전극 장치(29)의 전극 표면의 일부이다.
따라서, 본 발명에 따르면, 금속 본체는 실질적으로 코니그의 법칙을 따르는 반응기에서 더 큰 전극 배치의 Rf 전위에서 플라즈마 공간에 잠긴다.
선택된 패턴의 관통 개구 및/또는 관통 슬릿(54)을 통해 플라즈마 연소가 가능하도록 치수가 정해지며, 작업편 또는 기판(47)을 따른 에칭-속도 분포는, 예를 들어 기판(47)의 주변부를 따라, 이 분포에 영향을 줄 수 있는 경계 효과를 처리하도록 조정될 수 있다.
이와 같이 하기 위해, 플레이트형 본체(50)의 주변부의 실질적인 범위-부분을 따라 그리고 그 주위에 관통 개구의 증가된 밀도를 제공하고 및/또는 언급된 주변부를 따라 연장된 슬릿을 제공하도록 제안된다.
기판(47)상의 에칭-속도 분포에 플레이트형 본체(50)의 관통 구멍 또는 슬릿(54)을 블러링(blurring) 하거나 픽처링(picturing) 하는 것은 에칭될 기판(47)의 표면과 플레이트형 본체(50)의 표면 사이의 거리(d2)를 충분히 크게 선택함으로써 적절하게 최소화 될 수 있다. 반응기(1)의 우수한 실시예에서, 언급된 관통 슬릿(54)은 전극 본체(31)의 측벽(35)에 인접한 플레이트 형상 본체(50)의 주변을 따라 연장된 슬릿을 포함하거나 또는 이들로 구성된다.
시스템 접지 G에 대한 Rf 리턴 임피던스를 최소화하기 위해, 쉬라우드 또는 림(9)은 예를 들어 작업편 또는 기판 캐리어(19c)의 원주를 따라 전체적으로 분포 된 탄성 접촉 부재(56)에 의해 작업편 캐리어(19c)에 전기적으로 접촉한다. 따라서, 도 1의 실시예에서 Rf 전류는 엔클로저(3)를 따라 그리고 작업편 지지체(19)를 따라 시스템 접지(G)에 병렬로 유도된다.
가스, 특히 Ar과 같은 불활성 작동 가스는 가스 공급 라인(53)에 의해 플라즈마 공간(PL) 내로 공급된다. 반응기(1)는 또한 예를 들어 산소 또는 산소와 불소 함유 분위기에서의 반응성 플라즈마 에칭에 사용될 수 있다. 이 경우에 있어서도 각각의 반응성 가스 또는 가스 혼합물은 각각의 가스 공급 라인을 통해 플라즈마 공간으로 공급된다.
강력한 펌프 장치(15)가 제1 및 제2 전극 장치(29, 45)에 대한 각각의 표면 확장 조건을 갖는 에칭 구획(7)의 치수와는 완전히 독립적으로 치수화 될 수 있는 별도의 펌핑 구획(5) 내의 대형 펌핑 포트(13)에 연결될 수 있다는 사실로 인하여, 및 플라즈마 공간(PL)이 관통 개구의 고밀도 패턴을 통해 또는 쉬라우드(9)의 슬릿(11)을 통해 가스 흐름(플라즈마가 아닌) 연결 내에 있다는 사실로 인해, 에칭 구획(7)으로부터 에칭 처리된 재료의 매우 효과적인 펌핑 제거가 달성된다.
본 발명의 프레임에서, 기판 캐리어(19c)는 전극 장치(29)를 향하여 그리고 전극 장치(29)로부터 상하로 이동할 필요는 없지만, 예를 들어, 도 1(b)에 도시된 위쪽 위치에 고정적으로 제공될 수 있다.
도 2는 도 1의 A-A에서 바라본, 도 1의 실시예에 의한 도면을 다시 개략적으로 그리고 간략하게 도시한다. 에칭될 기판은 직사각형 또는 정사각형으로 가정된다. 따라서, 쉬라우드(9)는 기판 캐리어(19c)가 에칭 위치(도 1의(b))로 들어올려 지거나 기판 로딩 위치(도 1의(a))를 향해 낮아지는 직사각형 또는 정사각형의 핸들링 개구(55)(도 1)를 프레임(frame)한다.
쉬라우드 또는 림(9)은 스포크(12) 사이의 관통형 슬릿의 고밀도 패턴을 포함하는 금속 플레이트이다. 이 실시예에 따르면, 쉬라우드 플레이트(11)의 한쪽 절반에서, 슬릿(11)은 실질적으로 사각 또는 사각 쉬라우드의 하나의 대각선 방향으로 연장되고, 쉬라우드 또는 림(9)의 다른 절반에서 다른 대각선의 방향으로 연장된다. 각각의 방향된 슬릿들은 도 2에서 11a 및 11b로 언급된다. 슬릿(11)을 한정하는 스포크(12)가 핸들링 개구(55) 내에서 접촉하는 곳에서, 도 3에 도시된 바와 같이 단부(12e)는 자유로우며, 세라믹 재료 프레임(57) 내에 자유롭게 지지된다. 슬릿들은 플레이트 형상 쉬라우드(9) 내에서 기계 공정된다.
이 실시예에서, 도 3의 이중 화살표(V)로 표시된 바와 같이 스포크(12)의 단부(12e)는 프레임(57)에 대해 자유롭게 확장한다는 사실로 인하여, Rf 에칭 공정에 의해 열 로딩에 가장 많이 노출되는 쉬라우드(9) 및 프레임(57)의 부분들은 쉬라우드 판(9)의 굽휨(warpage) 및/또는 세라믹 재료 프레임(57)에 응력을 가하고 뒤틀리고(warping) 가능하게 약간 변위시키는 것을 피하도록 서로 상대적으로 자유롭게 확장될 수 있다.
슬릿(11)의 개방 공간 표면에 대한 고체 재료 표면의 비율은 약 1 : 1이고 슬릿의 폭(d3)은 3mm 내지 10mm이다.
현재 사용되는 우수한 작동 매개 변수는 다음과 같다 :
Ar 작동 압력 : 0.1 내지 0.5 Pa
fvhf: 60MHz
fhf : 13.56 MHz
전원 vhf 공급 신호 < hf 공급 신호의 전력.
d1: 언급된 작동 조건에서 어두운 공간 거리보다 큼: d1 ≥ 20mm
d2: 언급된 작동 조건에서 어두운 공간 거리보다 큼: d2 ≥ 65 mm
도 4에 개략적으로 도시된 바와 같이, 중첩된 vhf 및 hf 플라즈마 공급 신호는 용기 형상(jar-shaped)의 전극 본체(31)에서, 국소적으로 상이한 접점, C1 내지 Cn 에서 제1 전극 장치(29)에 공급될 수 있고/있거나 접촉 포인트 E1 내지 En에서 금속 본체(50)에 공급될 수 있다.
도 5에 개략적으로 도시된 바와 같이, vhf 플라즈마 공급 신호 및 hf 플라즈마 공급 신호는 제1 전극 장치(29), 전극 본체(31) 및/또는 금속 본체(50)에 개별적으로 공급될 수 있는데, vhf 공급 신호에 대한 하나 이상의 국소적으로 상이한 지점 C11 내지 C1n 및/또는 E11 내지 E1n 및 hf 공급 신호에 대한 C21 내지 C2n 및/또는 E21 내지 E2n에서 각각 공급될 수 있다. 단 하나의 C1x 및/또는 E1x 및/또는 단 하나의 C2x 및/또는 E2x 접촉점들도 가능하다.
전극 본체(31) 및/또는 금속 본체(50)는 상호 전기적으로 절연된 세그먼트로 세분될 수 있으며, 각각은 제1 및 제2 플라즈마 공급 신호 중 적어도 하나로 공급된다.
Rf 발생기 장치는 fvhf에 대한 에칭 작동 동안 주파수 변조될 수 있고/있거나 전력 변조될 수 있는 신호를 vhf 제1 플라즈마 공급 신호로서 생성할 수 있다. 부가적으로 또는 대안적으로, 발생기 장치는 fhf에 대해 에칭 작동 중에 주파수 변조되거나 전력 변조될 수 있는 신호를 hf 제2 플라즈마 공급 신호로서 생성할 수 있다.
선택된 fvhf는 fhf의 정수배이고, 위상 고정되거나 위상 고정되지 않으며 가능하게는 조정 가능한, 시간에 따라 변하는 상호 위상 조정이 가능하다.
상이한 fhf에서 1 초보다 많은 제2 플라즈마 공급 신호가 제1 전극 장치(29)에 중첩된 방식으로 인가될 수 있다
도 6에 개략적으로 도시된 바와 같이, 제1 전극 표면(31i)은 전극 본체(31)의 각 부품(319)에 의해 쉬라우드 또는 림(9)의 상부를 실현하고 엔클로저(3)의 실드 부분(39)에 의해 부분(319)의 바닥면을 쉴딩(shieling)함으로써 더 증가될 수 있고, 의도된 에칭 조건에서 어두운 공간 거리보다 더 좁은 부분(319)에 대한 내부 공간을 한정한다. 부분(319)과 부분(39)은 모두, 각각 개구 또는 슬릿(1131 및 113)을 통해 정렬된다.
명백하게, 제1 전극 표면은 본체(31)와 유사하게 플레이트형 본체(50)를 용기 모양 또는 냄비 모양으로 성형함으로써 및/또는 예를 들어 플레이트 형상이고 어두운 공간 거리 이상으로 스태거된(staggered) 금속 본체(50) 중 하나 이상을 제공함으로써 더욱 크게 확대될 수 있다.
도 1의 실시예에서, 작업편 캐리어(19c)는 동적으로, 즉 위아래로 움직일 수 있다. 이의 낮은 핸들링 위치에서, 이는 에칭될 작업편 또는 기판으로 로딩되고, 에칭 처리된 작업편 또는 기판은 예를 들어 양 방향 로드-락(60)을 통해 언로딩된다.
작업편 캐리어(19c)는 에칭 처리될 기판에 대한 핸들링 위치(a)로부터 에칭 위치(b) 위로 이동되고, 에칭 처리된 기판을 언로딩하기 위해 에칭 위치(b)에서 핸들링 위치(a) 아래로 이동된다.
도 7에서, 가장 개략적으로 및 간략화된 실시예는 작업편 또는 기판 캐리어(19c)가 고정되어 있는 즉, 제1 전극 장치를 향하여 또는 제1 전극 장치로부터 이동 가능하지 않은 것으로 도시되어 있다. 전극 본체(31)는 예를 들어 드라이브(62)에 의해 개폐될 수 있는 도어(31d)를 포함할 수 있다. 폐쇄 위치에서, 도어는 전극 본체(31)의 일부일 수 있고 여전히 내부 표면(31i)에 기여할 수 있다. 작업편 또는 기판은 예를 들어 양방향 로드 락(60)을 통해 작업편 또는 기판 캐리어(19c) 상에 로딩 및 언로딩된다. 작업편 또는 기판 캐리어는 또한 로드 록(60)을 통해 각각의 기판 또는 작업편으로 취급될 수 있으며, 따라서 어떠한 경우에도 제1 전극 장치(31)로 상승가능하거나 제1 전극 장치(31)로부터 수축가능하지 않다.
대안으로, 작업편 또는 기판 캐리어가 있거나 없는 기판 또는 작업편은 본체(31)에 도어(31d)와 같은 도어를 제공하지 않고, 용기 또는 냄비형 본체(31)를 구비한 제1 전극 장치 아래로 로딩 및 언로딩될 수 있다.
도 8에 코니그의 법칙을 따르는 용량성 결합 RF 진공 에칭 장치가 가장 단순화되어 개략적으로 도시되어 있다. Rf 플라즈마 공간은 제1의 더 큰 전극 장치(829)와 제2의 더 작은 전극 장치(845) 사이에 한정된다. 제1의 더 큰 전극 배치는, 예를 들어 접지 전위에서, 기준 전위상의 금속 엔클로저(803)에 대해 적어도 하나의 주파수를 갖는 Rf 구동 신호로 작동된다.
따라서, 작동 중에, 금속 엔클로저(803)와 더 큰 전극 장치(829) 사이의 Rf 전위차가 존재한다. 전극 장치(829)의 외부 표면(831o)과 엔클로저(803) 벽의 내부 표면 사이의 간격(d4)은 전극 장치(829)로부터 엔클로저(803) 로의 Rf 전력 손실에 대해 결정적이다. 이러한 Rf 손실을 최소화하기 위해, d4는 가능한 한 크게 선택되어야하며, 내부 공간(832)을 한정하여 언급된 표면들 사이의 커패시턴스를 최소화하여야 한다. 한편, 언급된 내부 공간(832)에서의 플라즈마 생성은 배제되어야하며, 이는 d4가 우세한 에칭 조건에서의 어두운 공간 거리보다 작을 것을 요구한다.
이 문제를 해결하기 위해, 하나 이상의 전기적 플로팅 금속 스크린(830)이 모두 표면(831o) 및 금속 엔클로저(803)의 내부 표면을 따라 내부 공간(832) 내에 제공된다.
이러한 유동 스크린에 의해, 스크린 또는 스크린들의 인접한 표면들과 표면(831o)과 금속 엔클로저(803)의 내부 표면 사이의 간격(d5)이, 각각 어두운 공간 거리보다 작게 유지되기 때문에, 언급된 표면들 사이의 커패시턴스는 작게 유지되지만 플라즈마는 내부 공간(832)에서 점화될 수 없다. 스크린(830)은 도 8에 개략적으로 도시된 거리 홀더(834)를 전기적으로 절연시킴으로써 장착될 수 있다.
도 8에 의해 설명되는 양상은 큰 Rf 작동 전극으로부터 진공 공정 수용기의 주위 금속 엔클로저으로의 내부 공간을 통한 Rf 전력 손실을 최소화하는 것으로, 내부 공간을 따라 전기적으로 플로팅 방식(electrically floating manner)으로 장착된 하나 이상의 금속 스크린을 제공함으로써 내부 공간에서 Rf 플라즈마 생성을 방지한다. 이러한 스크린들 사이 및 이러한 스크린들 사이의 모든 서브 인터스페이스들, 전극 표면 및 금속 엔클로저 표면은 진공 엔클로저에서 작동될 공정의 공정 파라미터들에서 어두운 공간 거리보다 좁다. 이 양상은 본질적으로 독창적인 것으로 간주된다.
도 9에, 코니그의 법칙을 따르는 본 발명에 따른 용량성 결합 RF 진공 에칭 장치의 제1 전극 장치의 유효 표면을 확대하기 위한, 본 발명에 따른 수단이 가장 간략하고 도식적으로 도시된다.
Rf 플라즈마 반응 공간 PL은 진공 엔클로저(903) 내의 더 큰 제1 전극 장치(929)와 제2의 더 작은 전극 장치(945) 사이에 한정된다. 전극 장치(929, 945)는 하나 이상의 주파수의 Rf 공급에 의해 서로에 대해 공급된다. 제1의 더 큰 전극 장치(829)의 표면은 전극 장치(929)에, 예를 들어, 플레이트 형상 또는 용기 형상이고 플라즈마 반응 공간(PL)에 잠기고, 나머지 전극 장치(929)의 전위에 작용하는, 적어도 하나의 금속 본체(950)를 제공함으로써 상당히 확대된다.
도 10은 도 1의 실시예와 유사하게 큰 펌핑 포트(1013)을 갖는 펌핑 구획(1005)및 에칭 구획(1007)을 포함하는 용량성 결합 RF 진공 에칭 장치를 간략화하여 개략적으로 도시한다. 도 1의 실시예와 유사하게, 작업편 캐리어(1019c)를 포함하는 제2의 더 작은 전극 장치(1045)은 접지 전위에서 작동되고 탄성 접촉 부재(1056)에 의해 에칭 위치로 일단 들어 올려지면 펌핑 관통 보어 및/또는 관통 슬릿(도 10에 미도시)을 구비한 쉬라우드(1009)와 전기적으로 접촉한다.
쉬라우드(1009)는 금속 엔클로저(1003)에 전기적으로 연결되기 때문에, 도 1의 엔클로저(3)에 대한 쉬라우드(9)와 유사하게 엔클로저(1003)는 전기적 접지 전위에서 작동하고, 작업편 캐리어(1019c)도 접지 전위에 단단히 연결된다.
Rf 전류 복귀 경로가 한 쌍의 병렬 임피던스, 즉 펌핑 구획(5)을 따라 엔클로저(3)를 통해 그리고 작업편 지지체(19)를 통해 시스템 접지(G)까지 아래로 유도되는 도 1과 관련하여 언급한 접지 개념에 반대하여, 도 10의 실시예에 따르면, Rf 복귀 경로는 가능한 짧게 선택된다. 시스템 접지 탭(1023) 내지 시스템 접지(G)는 에칭 구획(1007)의 상부에서 중앙으로 제공된다. 도 1에 도시된 접지 개념은 도 10의 접지 개념으로 대체될 수 있는데, 두 경우 모두 제2의 더 작은 전극 장치인 작업편 캐리어는 바이어싱 전위가 아닌 접지 전위에서 작동된다.
도 11은 도 1의 실시예와 유사하게 큰 펌핑 포트(1113)를 갖는 펌핑 구획(1105) 및 에칭 구획(1107)을 포함하는 장치의 일 실시예를 간략하고 개략적으로 도시한다. 핸들링 개구(1155)는 관통 보어 또는 슬릿(1111)을 구비한 림 또는 쉬라우드(1109)에 의해 한정된다. 핸들링 개구(1155)는 세라믹 재료로 제조된 우수한 변형예에서 프레임(1157)에 의해 경계 지어진다.
도 1에 도시된 바와 같이, 작업편 캐리어(19c)와 작업편 또는 기판(47)이 쉬라우드 또는 림(9)과 상호 작용하는 것과는 대조적으로, 도 11에 따른 구현의 형태에 따르면, 프레임(1157)을 구비한 쉬라우드(1109)는 작업편 또는 기판(1147)에 대한 정지부(stop)로서 작용하여, 작업편 캐리어(1119c)의 에칭 위치에서, 작업편 또는 기판(1147)의 상부 표면은 림 또는 쉬라우드(1109)와 실질적으로 동일 평면에 위치된다.
이에 따라, 이의 에칭 위치에 있는 작업편 또는 기판(1147)은 견고하게 편향되어 작업대 캐리어(1119c)와 프레임(1157) 사이에 유지된다. 또한, 도 11은 탄성 접촉 부재(1156)가 작업편 캐리어(1119c)에 기계적으로 결합될 수 있고, 도 1에 따르면 이들은 쉬라우드(9)에 기계적으로 결합된다.
두 가지 변형예가 가능하고, 탄성 접촉 부재들(56, 115) 중 일부가 이동 가능한 작업편 캐리어(19c, 1119c)에 기계적으로 결합되고, 일부는 고정 림 또는 쉬라우드(9, 1109)에 결합되는 조합이 또한 가능하다.
특히, 언급된 바와 같이, 쉬라우드 또는 림의 경계가 에칭 작동 동안 작업편 또는 기판과 기계적으로 상호 작용하는 경우, 이러한 상호 작용을 공정 중에 정확하게 일정하게 유지하는 것이 중요하다.
도 11의 실시예에서, 이러한 상호 작용은 에칭 처리 동안 작업편 또는 웨이퍼(1147)를 바이어싱하고 유지한다. 따라서, 일반적으로 및 특히 이러한 경우, 특히 얇고 큰 기판상에서 기판 또는 작업편에 대한 각각의 영향으로 프레임 및 쉬라우드의 상호 이동 및/또는 휨을 초래할 수 있는, 상이한 재료들 및 구조들의 상호 열 팽창에도 불구하고 높은 기계적 안정성이 달성될 수 있다.
도 3에 따른 실시예에서, 도 3에 의하면 스포크(12) 및 프레임(57)의 상이한 열 팽창이 스포크(12)의 단부가 프레임(57)에 단단히 연결되지 않는다는 사실에 의한 것이라는 것이 고려되고, 이러한 도 3의 실시예는, 도 11에서와 같이, 에칭 공정 동안 프레임이 작업편 또는 웨이퍼(1147)와 기계적으로 상호 작용하는 경우에는 최적이 아니다.
도 12는 도 3에 도시된 것에 대한 대안적인 구성으로 원형의 작업편 또는 기판(1247)에 대한 링 형상 프레임(1257)을 림 또는 쉬라우드 장치(1209) 상에 가장 개략적으로 및 간략하게 도시한 평면도이다. 이는 에칭 공정 동안 기판(1247)에 대한 기계적 정지 및 하강(down-holding) 유지 부재로서 프레임(1257)을 이용하기 위해 맞춤화된다. 그럼에도 불구하고, 상기 언급된 바와 같이 기판이 고정되어 있고 실제로 정지가 필요하지 않은 경우에도 적용될 수 있다.
단지 몇 개의 스포크(1212)가 도 12에 도시되어있다. 스포크(1212)의 일 단부(1212e1)는 예를 들어 접착, 용접, 납땜, 나사 결합에 의해 프레임(1257)에 기계적으로 고정된다. 다른 단부(1212e2)는 도 12에서만 개략적으로 나타낸 바와 같이 엔클로저(1203)에 대해 기계적으로 고정된다. 모든 스포크(1212)는 내부에서 플라즈마 연소를 방지하기에 충분히 좁은 관통-슬릿(1211)을 갖는 림 또는 쉬라우드(1209)를 함께 형성한다. 스포크(1212)는 엔클로저(1203)에 대한 프레임(1257)의 상대 팽창(E)에 대해 리프 스프링(leaf springs)으로서 작용한다.
점선(1212')으로 개략적으로 도시된 바와 같이 만곡되거나 구부러질 수 있는 스포크(1212)는 길이 방향(D)을 한정하고, 프레임(1257)에 장착되어 길이 연장 방향(D)은 90°가 아니지만 90°보다 작고 0°까지의 각도(α)로 스포크 고정의 궤적에서 프레임(1257)상의 접선(T)과 교차한다. 언급된 각도 범위에서 각도 α는 스포크(1212)의 일반적인 리프-스프링 효과에 대해 중요하지 않기 때문에, 도 12에서 점선으로 1212p로 개략적으로 도시된 바와 같이, 스포크(1212)는 프레임(1257)의 원주의 선택된 섹션 위에 서로 평행하게 장치될 수 있다. 실제로 스포크는 구부릴 수 있는 부재들의 역할을 한다.
프레임(1257)은 다수의 스포크(1212)에 의해 안정적으로 장착되고, 휨없이 열 로딩시 자유롭게 팽창 및 수축될 수 있어, 작업편 또는 기판(1247)의 고정밀 위치 설정 및 유지가 달성된다
1212''로 도 12에 도시된 바와 같이, 가장 일반적으로 압축성 또는 굴곡성이있는 스포크 또는 스포크의 일부는 "지그재그"(zig-zag) 또는 파형과 같이 압축 가능한 경우 α = 90°아래로 배치될 수 있다. 사실 압축된 부재 역할을 한다.
도 13은 큰 직사각형 기판 또는 작업편에 대한 림 또는 쉬라우드(1309) 및 프레임(1357) 장치를 도 3의 것과 유사하게 도시하고, 도 12와 관련하여 방금 언급된 일반적인 접근법과 일치하여 구성된다.
도 14는 도 13의 라인 B-B를 따른 부분 단면도로서, 도 13의 림 또는 쉬라우드(1309) 및 프레임(1357) 장치를 갖는 기판 또는 작업편(1447)을 구비한 상승가능(liftable)하고, 수축가능(retractable)한 작업편 캐리어(1419c)의 상호 작용을 도시한다.
도 13 및 도 14에 따르면, 일반적인 도 12의 교시와 유사하게, 프레임(1357)은 정사각형 기판 또는 작업편에 대한 정사각형 핸들링 개구(1355)를 한정한다.
도 14 및 도 12와 유사하게 스포크(1312)의 일 단부(1312e1)는 프레임(1357)에 고정된다. 특정 예에서, 이들은 도면 부호 1358에서 접착되고 프레임(1357)과 프레임 대응부(1357a) 사이에 알루미늄 산화물과 같은 세라믹 재료로 제조된 우수한실시예에서 편향된다.
다른 단부(1357e2)(도 13 참조)는 엔클로저(1303)에 직접 또는 간접적으로 단단히 연결된다. 각각 방향된 스포크(1312)의 4 개의 섹션 I 내지 IV는 상호 교차 궤적에서 프레임 접선에 수직으로 제공되는 것으로서 프레임(1357/1357a)에 고정되지 않은 웹들(1358)에 의해 분리되어 제공된다. 우수한 실시예에서, 스포크(1312), 웨브(1358) 및 전체 쉬라우드 또는 림(1309)의 주변 프레임 형 부분(1359)은 스포크(1312) 사이의 슬릿이 공정되는 단일 금속 플레이트로 제조된다.
도 14로부터 명백한 바와 같이, 작업편 캐리어(1419c)는, 에지-처리 위치(1147u)에서 이동될 때, 프레임 대응부(1357a)의 경계를 바이 패스하여, 작업편 또는 기판(1447)이 프레임(1357)을 향해 편향된다.
프레임 1357과 같은 기판지지 프레임을 사용하는 대신에, 기판 또는 작업편은 도면 부호 1419c와 같이 다르게, 예를 들어, 기판 또는 작업편 아래에, 에징(edging) 공정을 위해 사용된 진공보다 작은 압력을 설정하는 정전 척 또는 진공 척에 의한, 정전기력을 이용하여 작업편 캐리어 상에 견고하게 유지될 수 있다. 이러한 경우, 쉬라우드 또는 림의 열 로딩은 실질적으로 덜 중요할 수 있다. 상이하게 맞춤 공정된 작업편 캐리어 또는 척이 본 발명에 따른 용량성 결합 RF 진공 에칭 장치에 교환 가능하게 적용될 수 있다.
일 실시예에서, 작업편 캐리어는 냉각된다. 이는 도 14의 도면 부호 1448 및 도 1의 점선으로 20으로 표시된 바와 같이 액체 냉각 매체에 대한 채널 시스템을 포함한다.
언급된 실시예에서, 진공 엔클로저는 펌핑 구획 및 에칭 구획에서 분리되고, 기판 또는 작업편은 견고하게 편향되고 작업편 캐리어 상에 유지된다. 작업편 또는 기판의 냉각은 냉각 된 작업편 캐리어와 작업편 또는 기판의 바닥면 사이에 열 전도성 가스의 쿠션(cushion)을 설정함으로써 향상된다. 열 전달 가스는 작업편 캐리어의 냉각된 표면과 작업편 또는 기판의 바닥면 사이의 중간 공간에서 펌핑 구획으로 흐르고 오직 에칭 구획 내로만 무시될 정도이다.
장치의 실시예에서 이러한 접근은 도 15에 개략적으로 도시된다. 작업편 캐리어(1519c)는 액체 냉각 매체에 대한 채널 시스템(1548)에 의해 냉각된다. 작업편 캐리어(1519c)는 그 상부 표면(1552)에 인접하여 이를 따르는 가스 채널 시스템(1550)을 더 포함한다.
보어 또는 슬릿(1554)은 가스 채널 시스템(1550)을 작업편 캐리어(1519c)의 표면(1552)에 연결한다. 가스 채널 시스템(1552)은 열전도 가스(미도시)용 가스 소스에 연결된다. 가스 채널 시스템(1552) 및 슬릿 또는 보어들(1554)은, 작업편 캐리어(1519c)의 주변을 따라, 따라서 기판 또는 작업편(1547)의 주변부를 따라 증가된 압력으로 실질적으로 균일한 압력 분포를 작업편 또는 기판(1547)의 바닥면을 따라 설정하도록 맞춤화된다.
당업자는 가스 채널 시스템(1552)을 따르는 유동 저항의 분포 및/또는 보어 또는 슬릿(1554)의 분포 및/또는 보어 또는 슬릿(1554)의 유동 저항의 분포를 각각 맞춤으로써 작업편 또는 기판의 저면을 따라 각각의 압력 분포를 설정하는 방법을 안다.
작업편 캐리어(1519c)의 반경 방향 범위(r)에 걸쳐 질적으로 도식적으로 도시된 바와 같이, 압력 p는 기판 또는 작업편(1547)의 주변부를 따라 점선으로 도시된 바와 같이 작업편 캐리어의 표면을 따라 실질적으로 일정하거나 또는 각각의 최대값으로 설정된다.
쉬라우드 또는 림이 에칭 구획 및 펌핑 구획 내의 전체 진공 수용기 또는 엔클로저를 분할하는 장치의 실시예들에서, 열 전달 가스 흐름은 도 15에서 HG로 도시된 바와 같이 기판 또는 작업편과 작업편 캐리어의 상부 표면 사이의 내부 공간을 단지 펌핑 구획 내로 남겨둘 수 있다. 여기서, 에칭 구획(1507)은 쉬라우드 또는 림 장치(1509)에 의해 펌핑 구획(1505)으로부터 분리된다.
작업편 또는 기판(1547)을 공정하는 동안, 작업편 또는 기판(1547)은 예를 들어 프레임(1557)에 의해 기계적으로 유지되고 펌핑 구획로부터 에칭 구획을 실질적으로 밀봉한다. 따라서, 두 개의 구획은 가스 흐름에 대해 그리고 쉬라우드 또는 림(1509)의 보어 또는 슬릿을 통해서만 공정 중에 서로 통한다.
냉각된 작업편 캐리어(1519c)의 상부 표면과 작업편 또는 기판(1547)의 하부 표면 사이의 내부 공간이 위치되기 때문에, 공정 중에, 프레임(1557)의 펌핑 구획(1505) 측에서, 열 전도성 가스(HG)는 언급된 공간을 배타적으로 펌핑 구획(1505) 내에 남겨 둔다. 이에 의해, 에지 구획 및 에지 처리가 예를 들어 He와 같은 열전달 가스(HG)에 의해 영향을 받지 않는다.
본 발명에 따른 하나 이상의 장치는 소위 인라인 작업편 또는 기판 처리 플랜트에서 이용될 수 있으며, 적어도 하나의 작업편 또는 적어도 하나의 작업편의 배치(batch)가 고정된 일련의 처리 스테이션에서 하나의 처리 스테이션으로부터 다음의 처리 스테이션으로 이송된다. 이러한 플랜트는 도 1에 개략적으로 도시된다.
작업편 또는 기판 또는 작업편 또는 기판의 배치(1647)는 처리 스테이션(16011, 16012,…)의 체인을 포함하는 공정 플랜트(1600)를 따라 이송된다. 적어도 하나의 처리 스테이션은 적어도 하나의 양태에 따라 본 발명에 따른 장치이다. 처리 스테이션(16011)은 예를 들어 탈기 스테이션(degasser station)일 수 있고, 처리 스테이션(16012)은 언급된 장치일 수 있다.
도 16에 따른 플랜트(1600)에서 하나의 작업편 또는 기판 또는 이의 하나의 배치는 각각의 처리 스테이션(1601n) 및 하나의 작업편에서 동시에 처리되거나 기판 또는 이의 하나의 배치는 하나의 처리 스테이션에서 다음 처리 스테이션으로 동시에 이송된다.
도 16의 인라인 플랜트(1600)의 실시예에서 하나의 작업편 또는 기판을 배치(하나의 단일 작업편 또는 기판만으로)로서 취급한다면, 이송된 배치 및 처리 된 배치의 범위는 체인을 따라 일정하다. 이송 PC의 경로는 PCF`에서 일점 쇄선으로 예시된 바와 같이 선형 또는 예를 들어 원형으로 구부러진 곡선일 수 있다. 본 발명에 따라 제공되는 장치는 상승가능한 작업편 캐리어 또는 상승 불가능한 작업편 캐리어로 구성될 수 있다. 이러한 장치가 두 개 이상 제공되는 경우 일부는 상승 가능한 작업편 캐리어로 구성될 수도 있고 일부는 상승 불가능한 작업편 캐리어로 구성될 수도 있다. 이들은 동일하게 구성될 필요는 없지만 하나 이상의 상이한 실시예들을 포함할 수 있다.
본 발명에 따른 장치 중 적어도 하나를 포함하고 가능하게는 적어도 하나의 실시예를 구현하는 도 17의 인라인 플랜트(1700)의 실시예에서, 처리 스테이션(17011 ,17012, 17013)에서 동시에 처리되는 배치들(가능하게 단지 하나의 작업편 또는 기판의)의 수는 상이하다. 예로서, 탈 가스 스테이션(17011)은 N 개의 배치를 동시에 처리하고, 냉각 스테이션(17012)은 다른 M 개의 배치를 동시에 처리하며, 본 발명에 따른 장치(17011)는 하나의 배치를 동시에 처리한다. 처리 스테이션으로 입력되는 배치들 및 처리 스테이션에서 출력되는 배치들의 평균 비율은 동일하다. 따라서, 고려되는 처리 스테이션에 동시에 입력되고 동시에 출력되는 배치의 수는 다를 수 있다. 이송 PC의 경로는 PC`에서의 일점 쇄선으로 예시된 바와 같이 선형 또는 예를 들어 원형으로 구부러진 곡선일 수 있다. 본 발명에 의한 장치는 상승가능한 작업편 캐리어 또는 상승 불가능한 작업편 캐리어로 구성될 수 있다. 하나 이상의 장치가 제공되는 경우, 일부는 상승가능한 작업편 캐리어로 구성되고, 일부는 상승 불가능한 작업편 캐리어로 구성될 수 있다. 이들은 동일하게 구성될 필요는 없다.
도 18은 본 발명에 따른 하나 이상의 장치를 포함하는 인-라인 플랜트(1800)의 특정 예를 도시한다.
작업편 또는 기판은 코일(1851)로부터 풀리고 코일(1852) 상에 권취된 호일(foil)(1847)이다. 코일들 사이에서, 호일(1847)은 본 발명에 따른 적어도 하나의 장치(1801)를 포함하는 진공 처리 설비(1800)를 통과한다. 이 실시예에서, 장치(1801)의 작업편 캐리어는 상승 불가능하다.
도 19에 따르면, 본 발명에 따른 적어도 하나의 장치는 클러스터-플랜트(cluster-plant)라고 칭해지는 비-인라인 플랜트(non-inline plant)(1900)에 통합된다. 하나 이상의 처리 스테이션(18011, 18012 등)은 중앙 핸들러(1950)에 의해 하나 이상의 배치(1947)(배치는 단지 하나의 작업편 또는 기판을 포함할 수 있음)로 로딩 및 언로딩된다. 핸들러(1950)는 배치(1947)에 대한 지지체(1954)를 구비한 적어도 하나의 연장가능하고 신축 가능한 아암(1952)을 가지며, 중심 축 A를 중심으로 구동적으로 회전가능하다.
본 발명에 따른 반응기 중 적어도 하나를 포함하는 이 플랜트에서, 배치(1947)가 공급되는 처리 스테이션의 순서, 동시에 운반되는 배치(1947)의 수, 각각의 처리 스테이션에서 동시에 처리되는 배치의 수 및 각각의 처리 스테이션에서의 처리 기간은 선택 가능하고 가변적으로 제어 가능하다. 본 발명에 따라 제공되는 장치는 상승가능한 작업편 캐리어 또는 상승 불가능한 작업편 캐리어로 구성 될 수 있다. 하나 이상의 장치가 제공되는 경우, 일부는 상승가능한 작업편 캐리어로 구성되고, 일부는 상승 불가능한 작업편 캐리어로 구성될 수 있다. 이들은 동일하게 구성될 필요는 없다.
본 발명의 가능성을 고려하여 기술된 바와 같은 진공 장치의 또 다른 양상을 요약하면 다음과 같다:
진공 장치는 엔클로저(3) 및 작업편 캐리어를 포함하고, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 처리 구획(5) 으로 세분되며, 상기 구획(5, 7)은 관통 개구 또는 슬릿(11)의 패턴을 갖는 쉬라우드 또는 림(9)에 의해 분리되고, 미리결정된 공정 조건에서 플라즈마를 차단하며, 상기 작업편 캐리어는로드/언로드 위치로부터 공정 위치로 또는 그 반대로 구동 가능하게 이동 가능하며, 작업편(19c)상의 작업편 또는 기판은 하강 유지 부재(57c)에 의해 그리고 상기 처리 구획에 노출된 작업편 또는 기판 표면의 주변을 따라 상기 공정 위치에서 상기 작업편 캐리어 상에 기계적으로 유지되며, 상기 작업편 캐리어(19c)는 액체 가열 또는 냉각 매체를 유지하도록 구성된 채널 장치(20)와 상기 처리 구획에 노출된 상기 표면에 대향하는 상기 작업편 또는 기판 표면 사이의 내부 공간을 구비한, 보어 또는 슬릿 장치에 의해 연통하는 열 전도 가스를 유지하도록 구성된 추가 채널 장치를 포함한다.

Claims (41)

  1. 미리결정된 조건 하에서 에칭 작동을 위해 구성된 용량성 결합 RF 진공 에칭 장치로서,
    - 엔클로저(3)로도 언급되는 진공 수용기;
    - 상기 진공 수용기 내에서, 제1 전극 장치(29)와 제1 전극 장치를 마주하는 제2 전극 장치(45)로 구성된 하나의 전극 장치과 단독으로 작동 접촉하는 플라즈마 공간(PL);을 포함하되,
    - 제1 전극 장치(29)는 상기 플라즈마 공간(PL)에 노출된 제1 전극 표면(31i)을 한정하고;
    - 상기 제2 전극 장치는 상기 플라즈마 공간(PL)에 노출되고 작업편 캐리어(19c)의 표면을 포함하는 제2 전극 표면을 한정하고;
    - 제1 전극 표면은 제2 전극 표면보다 크며;
    - 상기 제1 전극 장치는 매치 박스 장치(39)를 통해 Rf 발생기 장치(37)의 출력 장치부(40)에 전기적으로 연결되고, 플라즈마 공급 Rf 신호를 생성하며;
    - 상기 Rf 발생기 장치(37)은 상기 출력 장치부(40)에서 초 고주파수(vhf)로 적어도 하나의 제1 플라즈마 공급 신호를 생성하고, 상기 출력 장치부(40)에서 상기 초 고주파수보다 낮은 고주파(hf)에서 적어도 하나의 제2 플라즈마 공급 신호를 생성하며;
    - 상기 제1 전극 장치(29)는 상기 매치 박스 장치(39)를 통해 상기 출력 장치부(40)에 전기적으로 연결되고, 작동시에 상기 제1 및 제2 플라즈마 공급 신호에 의해 전기적으로 공급되며;
    - 상기 제2 전극 장치(45)는 적어도 에칭 작동 동안, 시스템 접지 탭(23, 1023)에 전기적으로 접속되는, 용량성 결합 RF 진공 에칭 장치.
  2. 제1항에 있어서, 상기 제1 전극 장치(29)는 상기 플라즈마 공간(PL)에 자유롭게 노출되고 잠기는(immersed) 주변 표면(50i)을 갖는 금속 본체(50)를 포함하며, 상기 주변 표면(50i)은 상기 제1 전극 표면의 일부인, 용량성 결합 RF 진공 에칭 장치.
  3. 제2항에 있어서, 상기 금속 본체는 관통 개구들, 관통 슬릿들 또는 관통 개구들과 관통 슬릿들의 패턴을 포함하여, 작동시 상기 플라즈마가 상기 미리결정된 조건들에서 상기 관통 개구에서 연소되는, 용량성 결합 RF 진공 에칭 장치.
  4. 제2항 또는 3항에 있어서, 상기 제1 전극 표면은 제1 평면을 따라 연장되는 제1 표면 영역, 제2 평면을 따라 연장되는 제2 표면 영역을 포함하고, 상기 제1 및 제2 표면 영역은 작동 중에 플라즈마가 상기 미리결정된 조건에서 내부 공간을 한정하여 상기 내부 공간을 따라 연소하도록 맞추어지는, 용량성 결합 RF 진공 에칭 장치.
  5. 제4항에 있어서, 상기 제2 전극 표면은 제3 평면을 따라 연장되는 표면 영역을 포함하고, 상기 제1, 제2 및 제3 평면은 평행한 평면들인, 용량성 결합 RF 진공 에칭 장치.
  6. 제1항 또는 2항에 있어서, Rf 발생기 장치(37)는 하나 이상의 국소적으로 상이한 접점(C, E)에서 상기 제1 전극 장치(29)에 연결되는, 용량성 결합 RF 진공 에칭 장치.
  7. 제1항 또는 2항에 있어서, 상기 제1 플라즈마 공급 신호 및 상기 제2 플라즈마 공급 신호는 국소적으로 상이한 접점들(C, E)에서 상기 제1 전극 장치(29)에 연결되는, 용량성 결합 RF 진공 에칭 장치.
  8. 제1항 또는 2항에 있어서, 다음이 유효한, 용량성 결합 RF 진공 에칭 장치:
    10 MHz ≤ fvhf ≤ 400 MHz,

    0.01fvhf ≤ fhf ≤ 0.5 fvhf.

    fhf는 고주파수 공급 신호의 주파수이고, fvhf 는 초 고주파수의 공급 신호의 주파수이다.
  9. 제1항 또는 2항에 있어서, 상기 제1 전극 장치(29)는 상기 플라즈마 공간(PL)에 자유롭게 노출되고 잠기는 주변 표면(50i)을 갖는 금속 본체(50)를 포함하며, 상기 주변 표면(50i)은 상기 제1 전극 표면의 일부이고, 상기 금속 본체(50)는 플레이트인, 용량성 결합 RF 진공 에칭 장치.
  10. 제1항 또는 2항에 있어서, 상기 작업편 캐리어(19c)는 상기 제1 전극 장치(29)를 향해, 그리고 상기 제1 전극 장치(29)로부터 구동 가능하게 이동 가능한, 용량성 결합 RF 진공 에칭 장치.
  11. 제1항 또는 2항에 있어서, 상기 작업편 캐리어(19c)는 상기 제1 전극 장치를 향해, 그리고 상기 제1 전극 장치로부터 이동가능하지 않은, 용량성 결합 RF 진공 에칭 장치.
  12. 제1항 또는 2항에 있어서, 상기 작업편 캐리어(19c)는 상기 제1 전극 장치를 향해, 그리고 상기 제1 전극 장치로부터 이동가능하지 않고, 상기 제1 전극 장치(29)는 작업편을 로딩/언로딩 하기위한 구동 가능하게 이동가능한 도어(31d)를 포함하는, 용량성 결합 RF 진공 에칭 장치.
  13. 제1항 또는 2항에 있어서, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 상기 제1 전극 장치(29)를 포함하는 에칭 구획(5)으로 세분되고, 상기 구획들(5, 7)은, 작동 중에, 미리결정된 에칭 조건에서 그 내부에서 플라즈마가 연소되지 않도록 맞춤화된 관통 개구, 관통 슬릿(11) 또는 관통 개구와 관통 슬릿의 패턴을 갖는 쉬라우드 또는 림(9)에 의해 분리되는, 용량성 결합 RF 진공 에칭 장치.
  14. 제1항 또는 2항에 있어서, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 상기 제1 전극 장치를 포함하는 에칭 구획(5)으로 세분되며, 상기 구획들(5, 7)은 쉬라우드 또는 림(9)에 의해 분리되고, 상기 쉬라우드 또는 림(9)은 상기 에칭 구획(5)에 대한 작업편 접근 개구(55)를 한정하는 프레임(57)을 유지하고, 상기 프레임(57)은 상기 펌핑 구획(7)과 상기 에칭 구획(5) 사이의 관통-갭들(though-gaps)(11)을 상호 한정하는 다수의 스포크들(12)에 의해 상기 쉬라우드 또는 림(9)에 의해 유지되고, 상기 관통-갭들은 작동 중에 상기 미리결정된 에칭 조건들에서 플라즈마가 연소되지 않도록 맞춤화되고, 상기 프레임은 상기 스포크에 의해 상기 림 또는 쉬라우드에 의해 유지되는, 용량성 결합 RF 진공 에칭 장치.
  15. 제1항 또는 2항에 있어서, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 상기 제1 전극 장치를 포함하는 에칭 구획(5)으로 세분되며, 상기 구획들(5, 7)은, 작동 중에, 미리결정된 에칭 조건에서 그 내부에서 플라즈마가 연소되지 않도록 맞춤화된 관통 개구, 관통 슬릿(11) 또는 관통 개구와 관통 슬릿(11)의 패턴을 갖는 쉬라우드 또는 림(9)에 의해 분리되고, 상기 쉬라우드 또는 림(9)은 상기 에칭 구획(5)에 대한 작업편 접근 개구(55)를 한정하는 프레임(57)을 유지하고, 상기 프레임(57)은 상기 쉬라우드 또는 림(9)에 장착되고, 상기 작업편 캐리어(19c)는 로드/언로드 위치로부터 공정 위치로 또는 그 반대로 구동 가능하게 이동 가능하며, 상기 프레임은 상기 공정 위치에서 상기 작업편 캐리어(19c) 상에 작업편 또는 기판에 대한 다운홀딩 부재(downholding member)로 작용하는, 용량성 결합 RF 진공 에칭 장치.
  16. 제1항 또는 2항에 있어서, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 상기 제1 전극 장치를 포함하는 에칭 구획(5)으로 세분되며, 상기 구획들(5, 7)은 쉬라우드 또는 림(9)에 의해 분리되고, 상기 쉬라우드 또는 림(9)은 상기 에칭 구획(5)에 대한 작업편 접근 개구(55)를 한정하는 프레임(57)을 유지하고, 상기 작업편 캐리어(19c)는 로드/언로드 위치로부터 공정 위치로 또는 그 반대로 구동 가능하게 이동 가능하며, 상기 프레임은 상기 공정 위치에서 상기 작업편 캐리어(19c) 상에 작업편 또는 기판을(47) 대한 다운홀딩 부재로 작용하며, 상기 쉬라우드 또는 림(9)은 상기 펌핑 구획(7)과 상기 에칭 구획(5) 사이의 관통-갭들(11)을 상호 한정하는 다수의 스포크들(12)에 의해 상기 프레임(57)에 연결되고, 상기 관통-갭들은 작동 중에 상기 미리결정된 에칭 조건들에서 플라즈마가 연소되지 않도록 맞춤화되고, 상기 프레임(57)은 상기 프레임이 열 로딩 하에서 자유롭게 팽창 및 수축될 수 있는 방식으로 상기 스포크에 의해 상기 림 또는 쉬라우드에 장착되는, 용량성 결합 RF 진공 에칭 장치.
  17. 제14항에 있어서, 상기 스포크는 압축가능하고/압축가능하거나 굴곡가능한 부재로서 구성되는, 용량성 결합 RF 진공 에칭 장치.
  18. 제14항에 있어서, 상기 스포크의 적어도 일부는 길이 범위 방향을 한정하고 각각의 스포크는 프레임에 장착되어, 길이 범위의 각각의 방향은 각각의 스포크 고정 궤적(locus of respective spoke fixation)에서 상기 프레임상의 접선과 각도 α로 교차하며, 이 때 각도 α는 다음과 같은, 용량성 결합 RF 진공 에칭 장치.
    90°> α≥ 0°
  19. 제1항 또는 2항에 있어서, 상기 작업편 캐리어(19c)는 액체 가열 또는 냉각 매체를 수용하도록 구성된 채널 장치(20)를 포함하는, 용량성 결합 RF 진공 에칭 장치.
  20. 제1항 또는 2항에 있어서, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 상기 제1 전극 장치를 포함하는 에칭 구획(5)으로 세분되며, 상기 구획들(5, 7)은, 작동 중에, 미리결정된 에칭 조건에서 그 내부에서 플라즈마가 연소되지 않도록 맞춤화된 관통 개구, 관통 슬릿(11) 또는 관통 개구와 관통 슬릿(11)의 패턴을 갖는 쉬라우드 또는 림(9)에 의해 분리되고, 상기 작업편 캐리어(19c)는 로드/언로드 위치로부터 공정 위치로 또는 그 반대로 구동 가능하게 이동 가능하며, 다운홀딩 부재(57)가 상기 에칭 구획에 노출된 작업편 또는 기판 표면의 주변부를 따라 상기 공정 위치에서 상기 작업편 캐리어(19c) 상에 작업편 또는 기판을 아래쪽으로 유지시키도록 구성되며, 상기 작업편 캐리어(19c)는 액체 가열 또는 냉각 매체를 수용하도록 구성된 채널 장치(20) 및 열 전도 가스를 수용하도록 구성되고, 및 상기 작업편 또는 기판에 대한 상기 작업편 캐리어(19c)의 캐리어 표면에서 보어, 슬릿 패턴 또는 보어와 슬릿의 패턴에 의해 배출되는, 추가 채널 장치를 포함하는, 용량성 결합 RF 진공 에칭 장치.
  21. 제20항에 있어서, 상기 추가 채널 장치 및 상기 캐리어 표면에서 배출되는 보어, 슬릿 패턴 또는 보어와 슬릿의 패턴은 맞춤화되어, 상기 캐리어 표면과 기판 또는 작업편 사이의 내부 공간의 주변부를 따라 상기 내부 공간의 더 중심 부분에서, 이를 따르는 압력과 적어도 동등한 열 전도성 가스의 압력을 설정하는, 용량성 결합 RF 진공 에칭 장치.
  22. 제1항 또는 2항에 있어서, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 상기 제1 전극 장치를 포함하는 에칭 구획(5)으로 세분되며, 상기 구획들(5, 7)은, 작동 중에, 미리결정된 에칭 조건에서 그 내부에서 플라즈마가 연소되지 않도록 맞춤화된 관통 개구, 관통 슬릿(11) 또는 관통 개구와 관통 슬릿(11)의 패턴을 갖는 쉬라우드 또는 림(9)에 의해 분리되고, 상기 쉬라우드 또는 림(9)은 상기 엔클로저(3)의 일부이거나, 상기 엔클로저(3)의 일부 및 상기 제1 전극 장치(29, 31)의 일부를 포함하는, 용량성 결합 RF 진공 에칭 장치.
  23. 제1항 또는 2항에 있어서, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 상기 제1 전극 장치를 포함하는 에칭 구획(5)으로 세분되며, 상기 구획들(5, 7)은, 작동 중에, 미리결정된 에칭 조건에서 그 내부에서 플라즈마가 연소되지 않도록 맞춤화된 관통 개구, 관통 슬릿(11) 또는 관통 개구와 관통 슬릿(11)의 패턴을 갖는 쉬라우드 또는 림(9)에 의해 분리되고, 상기 작업편 캐리어(19c)는 로드/언로드 위치로부터 공정 위치로 또는 그 반대로 구동 가능하게 이동 가능하며, 상기 쉬라우드 또는 림은 별개의 분배된 탄성 접촉 부재(56)에 의해 상기 공정 위치에서 작업편 지지체(19)에 전기적으로 연결되는, 용량성 결합 RF 진공 에칭 장치.
  24. 제1항 또는 2항에 있어서, 상기 발생기 장치는 60 MHz에서 상기 제1 플라즈마 공급 신호를 생성하고, 13 MHz에서 상기 제2 플라즈마 공급 신호는 발생하는, 용량성 결합 RF 진공 에칭 장치.
  25. 제1항 또는 2항에 있어서, 상기 에칭을 위한 미리결정된 압력 조건은 0.1 내지 0.5 Pa이고, 양쪽 제한이 포함되는, 용량성 결합 RF 진공 에칭 장치.
  26. 제2항에 있어서, 상기 플라즈마 공간(PL)에 자유로이 노출되고 잠기는 상기 금속 본체(50)의 상기 주변 표면(50i)의 제1 부분과 상기 제1 부분과 마주하는 상기 제1 전극 표면의 제2 부분 사이의 간격은 10 mm 내지 40 mm인, 용량성 결합 RF 진공 에칭 장치.
  27. 제1항 또는 2항에 있어서, 상기 제1 전극 표면의 주된 부분 및 상기 작업편 캐리어(19c)를 마주하는 부분과 상기 작업편 캐리어(19c) 표면의 주된 부분 사이의 간격은 40mm 내지 80mm인, 용량성 결합 RF 진공 에칭 장치.
  28. 제1항 또는 2항에 있어서, 상기 용량성 결합 RF 진공 에칭 장치는 직사각형 또는 정사각형 기판에 대해 형성되는, 용량성 결합 RF 진공 에칭 장치.
  29. 제1항 또는 2항에 있어서, 상기 Rf 발생기 장치는 작동 중에 상기 제1 플라즈마 공급 신호 및 제2 플라즈마 공급 신호 중 적어도 하나를 주파수 변조 및 전력 변조 중 적어도 하나를 수행하도록 구성된, 용량성 결합 RF 진공 에칭 장치.
  30. 제1항 또는 2항에 있어서, 다음의 특징들 중 적어도 하나인 용량성 결합 RF 진공 에칭 장치:
    - Rf 발생기 장치는 상기 고주파의 정수배로서 상기 초 고주파수를 발생시키도록 맞춰진다(tailored);
    - Rf 발생기 장치는 상기 제1 플라즈마 공급 신호를 상기 제2 플라즈마 공급 신호로 위상 고정시키기도록 맞춰진다;
    - Rf 발생기 장치는 상기 제1 플라즈마 공급 신호 및 제2 플라즈마 공급 신호의 상호 위상을 조정하도록 맞춰진다;
    - Rf 발생기 장치는 작동 동안 제1 플라즈마 공급 신호 및 제2 플라즈마 공급 신호의 상호 위상을 변화시키도록 맞춰진다.
  31. 제1항 또는 2항에 따른 용량성 결합 Rf 장치를 포함하는 작업편 처리 플랜트로서, 코일-대-코일 호일 처리 플랜트(coil-to-coil foil processing plant), 또는 스테이션들이 중앙 핸들러와 같은 핸들러에 의해 선택 가능한 리듬에서 적어도 하나의 작업편 또는 기판으로 로드 및 언로드 될 수있는 플랜트를 포함하는, 작업편 처리 플랜트.
  32. 제1항 또는 2항에 따른 용량성 결합 RF 진공 에칭 장치 또는 제31항의 플랜트를 사용하여 에칭된 작업편 또는 기판을 에칭 또는 제조하는 방법.
  33. 제32항에 있어서, 상기 에칭은 반응성 가스 분위기에서 수행되는 방법.
  34. 제2항 또는 3항에 있어서, 상기 제1 전극 표면은 제1 평면을 따라 연장되는 제1 표면 영역, 제2 평면을 따라 연장되는 제2 표면 영역을 포함하고, 상기 제1 및 제2 표면 영역은 작동 중에 플라즈마가 상기 미리결정된 조건에서 내부 공간을 한정하여 상기 내부 공간을 따라 연소하도록 맞추어지며, 상기 내부 공간은 가능한 한 좁은, 용량성 결합 RF 진공 에칭 장치.
  35. 제1항 또는 2항에 있어서, 상기 엔클로저(3)는 펌핑 포트(13)를 포함하는 펌핑 구획(7) 및 상기 제1 전극 장치를 포함하는 에칭 구획(5)으로 세분되며, 상기 구획들(5, 7)은 쉬라우드 또는 림(9)에 의해 분리되고, 상기 쉬라우드 또는 림(9)은 상기 에칭 구획(5)에 대한 작업편 접근 개구(55)를 한정하는 프레임(57)을 유지하고, 상기 프레임(57)은 상기 펌핑 구획(7)과 상기 에칭 구획(5) 사이의 관통-갭들(though-gaps)(11)을 상호 한정하는 다수의 스포크들(12)에 의해 상기 쉬라우드 또는 림(9)에 의해 유지되고, 상기 관통-갭들은 작동 중에 상기 미리결정된 에칭 조건들에서 플라즈마가 연소되지 않도록 맞춤화되고, 상기 프레임은 상기 프레임이 열 로딩 하에서 자유롭게 팽창 및 수축될 수 있는 방식으로 상기 스포크에 의해 상기 림 또는 쉬라우드에 의해 유지되는, 용량성 결합 RF 진공 에칭 장치.
  36. 제2항에 있어서, 상기 플라즈마 공간(PL)에 자유로이 노출되고 잠기는 상기 금속 본체(50)의 상기 주변 표면(50i)의 제1 부분과 상기 제1 부분과 마주하는 상기 제1 전극 표면의 제2 부분 사이의 간격은 20 mm인, 용량성 결합 RF 진공 에칭 장치.
  37. 제1항 또는 2항에 있어서, 상기 제1 전극 표면의 주된 부분 및 상기 작업편 캐리어(19c)를 마주하는 부분과 상기 작업편 캐리어(19c) 표면의 주된 부분 사이의 간격은 65mm인, 용량성 결합 RF 진공 에칭 장치.
  38. 제1항 또는 2항에 따른 용량성 결합 Rf 장치를 포함하는 작업편 처리 플랜트로서, 상기 작업편 처리 플랜트는 인라인 플랜트이며, 코일-대-코일 호일 처리 플랜트(coil-to-coil foil processing plant), 또는 스테이션들이 중앙 핸들러와 같은 핸들러에 의해 선택 가능한 리듬에서 적어도 하나의 작업편 또는 기판으로 로드 및 언로드 될 수있는 플랜트를 포함하는, 작업편 처리 플랜트.
  39. 제32항에 있어서, 상기 에칭은 산소 또는 산소와 불소를 포함하는 조건에서 수행되는 방법.
  40. 제1항 또는 2항에 따른 용량성 결합 Rf 장치를 포함하는 기판 처리 플랜트로서, 코일-대-코일 호일 처리 플랜트(coil-to-coil foil processing plant), 또는 스테이션들이 중앙 핸들러와 같은 핸들러에 의해 선택 가능한 리듬에서 적어도 하나의 작업편 또는 기판으로 로드 및 언로드 될 수있는 플랜트를 포함하는, 기판 처리 플랜트.
  41. 제1항 또는 2항에 따른 용량성 결합 Rf 장치를 포함하는 기판 처리 플랜트로서, 상기 기판 처리 플랜트는 인라인 플랜트이며, 코일-대-코일 호일 처리 플랜트(coil-to-coil foil processing plant), 또는 스테이션들이 중앙 핸들러와 같은 핸들러에 의해 선택 가능한 리듬에서 적어도 하나의 작업편 또는 기판으로 로드 및 언로드 될 수있는 플랜트를 포함하는, 기판 처리 플랜트.
KR1020197022376A 2016-12-27 2017-10-17 Rf 용량성 결합 듀얼 주파수 에칭 반응기 KR102548259B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
CH17502016 2016-12-27
CH01750/16 2016-12-27
CH00279/17 2017-03-08
CH2792017 2017-03-08
PCT/EP2017/076504 WO2018121896A1 (en) 2016-12-27 2017-10-17 Rf capacitive coupled dual frequency etch reactor

Publications (2)

Publication Number Publication Date
KR20190099520A KR20190099520A (ko) 2019-08-27
KR102548259B1 true KR102548259B1 (ko) 2023-06-27

Family

ID=62706966

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020197022376A KR102548259B1 (ko) 2016-12-27 2017-10-17 Rf 용량성 결합 듀얼 주파수 에칭 반응기
KR1020197022375A KR102227783B1 (ko) 2016-12-27 2017-10-17 진공 플라즈마 작업편 처리 장치
KR1020197022377A KR102532562B1 (ko) 2016-12-27 2017-10-17 Rf 용량성 결합 에칭 반응기

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020197022375A KR102227783B1 (ko) 2016-12-27 2017-10-17 진공 플라즈마 작업편 처리 장치
KR1020197022377A KR102532562B1 (ko) 2016-12-27 2017-10-17 Rf 용량성 결합 에칭 반응기

Country Status (7)

Country Link
US (3) US11469085B2 (ko)
EP (3) EP3563402B1 (ko)
JP (3) JP7072572B2 (ko)
KR (3) KR102548259B1 (ko)
CN (3) CN110100298B (ko)
TW (3) TWI720264B (ko)
WO (3) WO2018121896A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017207144A1 (en) * 2016-06-03 2017-12-07 Evatec Ag Plasma etch chamber and method of plasma etching
US11469085B2 (en) * 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus
KR102003729B1 (ko) * 2017-11-15 2019-07-29 주식회사 고영테크놀러지 검사 장치
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
US20220130641A1 (en) 2019-02-06 2022-04-28 Evatec Ag Method of producing ions and apparatus
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN114075650A (zh) * 2020-08-18 2022-02-22 群创光电股份有限公司 曲面基板的镀膜装置及其镀膜方法
KR20240043799A (ko) * 2021-08-12 2024-04-03 램 리써치 코포레이션 대칭적인 rf 리턴 경로를 제공하는 프로세스 모듈 챔버

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100523766B1 (ko) * 1997-01-17 2005-10-25 어낵시스 발처스 악티엔게젤샤프트 용량적 결합 rf-플라즈마 리액터
JP2006332704A (ja) * 2006-08-21 2006-12-07 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
JP2008069382A (ja) * 2006-09-12 2008-03-27 Seiko Epson Corp プラズマ処理装置およびプラズマ処理方法

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3661761A (en) 1969-06-02 1972-05-09 Ibm Rf sputtering apparatus for promoting resputtering of film during deposition
US6248219B1 (en) 1986-06-23 2001-06-19 Unaxis Balzers Aktiengesellschaft Process and apparatus for sputter etching or sputter coating
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
US5556474A (en) 1993-12-14 1996-09-17 Nissin Electric Co., Ltd. Plasma processing apparatus
JP3021351B2 (ja) * 1995-04-13 2000-03-15 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6817381B2 (en) * 1999-08-24 2004-11-16 Tokyo Electron Limited Gas processing apparatus, gas processing method and integrated valve unit for gas processing apparatus
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
JP2001135626A (ja) * 1999-11-02 2001-05-18 Hitachi Kokusai Electric Inc プラズマcvd装置及びプラズマcvd膜形成方法
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
AU2001279189A1 (en) 2000-08-08 2002-02-18 Tokyo Electron Limited Plasma processing method and apparatus
JP3897582B2 (ja) * 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
TWI231955B (en) 2001-10-22 2005-05-01 Unaxis Usa Inc Etching of thin damage sensitive layers using high frequency pulsed plasma
EP1444727A4 (en) 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
JP4330315B2 (ja) 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
WO2005124844A1 (ja) 2004-06-21 2005-12-29 Tokyo Electron Limited プラズマ処理装置及び方法
US20060000552A1 (en) * 2004-07-05 2006-01-05 Tokyo Electron Limited Plasma processing apparatus and cleaning method thereof
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8608851B2 (en) 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
JP5058909B2 (ja) 2007-08-17 2012-10-24 株式会社半導体エネルギー研究所 プラズマcvd装置及び薄膜トランジスタの作製方法
US7772544B2 (en) * 2007-10-09 2010-08-10 Tokyo Electron Limited Neutral beam source and method for plasma heating
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US20100101727A1 (en) * 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5354422B2 (ja) * 2008-11-18 2013-11-27 株式会社ユーテック ローラ式プラズマcvd装置及びローラ式プラズマ装置
JP5391659B2 (ja) 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
JP5657350B2 (ja) 2010-02-10 2015-01-21 大同工業株式会社 スポーク車輪及びそれに用いられるスポーク
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
CN102918180B (zh) * 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US20140057387A1 (en) * 2010-07-27 2014-02-27 Amtech Systems, Inc. Systems and Methods for Depositing and Charging Solar Cell Layers
JP5916056B2 (ja) 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2012238629A (ja) * 2011-05-10 2012-12-06 Hitachi High-Technologies Corp 熱処理装置
KR101503512B1 (ko) 2011-12-23 2015-03-18 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9187827B2 (en) * 2012-03-05 2015-11-17 Applied Materials, Inc. Substrate support with ceramic insulation
US9245720B2 (en) * 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
US20140051253A1 (en) 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
JP6063181B2 (ja) * 2012-08-29 2017-01-18 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
JP2014056987A (ja) * 2012-09-13 2014-03-27 Tokyo Electron Ltd プラズマ処理装置
JP6078419B2 (ja) 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
JP6388886B2 (ja) * 2013-03-06 2018-09-12 プラズマ − サーム、エルエルシー 半導体ウエハをプラズマ・ダイシングするための方法
SG11201600129XA (en) * 2013-08-09 2016-02-26 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN105899711B (zh) * 2014-01-24 2020-01-07 应用材料公司 在无氧化剂情况下的含硅和氧的膜的沉积
EP3117449B1 (en) 2014-03-14 2020-08-12 Applied Materials, Inc. Smart chamber and smart chamber components
KR20160015510A (ko) * 2014-07-30 2016-02-15 삼성전자주식회사 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
CN109803606B (zh) * 2016-08-12 2021-11-02 卡洛斯.A.哈金 外部可编程磁阀组件和控制器
DE102016218421A1 (de) * 2016-09-26 2018-03-29 Continental Teves Ag & Co. Ohg Bremsgerät für eine hydraulische Kraftfahrzeugbremsanlage
US9947549B1 (en) * 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11469085B2 (en) 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100523766B1 (ko) * 1997-01-17 2005-10-25 어낵시스 발처스 악티엔게젤샤프트 용량적 결합 rf-플라즈마 리액터
JP2006332704A (ja) * 2006-08-21 2006-12-07 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
JP2008069382A (ja) * 2006-09-12 2008-03-27 Seiko Epson Corp プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
JP7069159B2 (ja) 2022-05-17
JP2020503667A (ja) 2020-01-30
TWI767953B (zh) 2022-06-21
EP3563401A1 (en) 2019-11-06
TW201824327A (zh) 2018-07-01
US11469085B2 (en) 2022-10-11
WO2018121897A1 (en) 2018-07-05
EP3563403B1 (en) 2020-08-05
CN110100297B (zh) 2022-09-13
EP3563403A1 (en) 2019-11-06
JP2020503669A (ja) 2020-01-30
TWI720264B (zh) 2021-03-01
JP2020503670A (ja) 2020-01-30
KR102532562B1 (ko) 2023-05-15
TW201841202A (zh) 2018-11-16
US20190341231A1 (en) 2019-11-07
EP3563401B1 (en) 2022-11-23
JP7072572B2 (ja) 2022-05-20
US11217434B2 (en) 2022-01-04
CN110100298B (zh) 2022-10-04
US11742187B2 (en) 2023-08-29
KR20190101432A (ko) 2019-08-30
CN110121760B (zh) 2022-08-05
EP3563402A1 (en) 2019-11-06
CN110100298A (zh) 2019-08-06
JP6972131B2 (ja) 2021-11-24
US20190341234A1 (en) 2019-11-07
KR102227783B1 (ko) 2021-03-16
CN110100297A (zh) 2019-08-06
WO2018121898A1 (en) 2018-07-05
EP3563402B1 (en) 2021-01-27
TWI802551B (zh) 2023-05-21
CN110121760A (zh) 2019-08-13
WO2018121896A1 (en) 2018-07-05
KR20190099520A (ko) 2019-08-27
KR20190102243A (ko) 2019-09-03
TW201826887A (zh) 2018-07-16
US20200312624A1 (en) 2020-10-01
WO2018121896A9 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
KR102548259B1 (ko) Rf 용량성 결합 듀얼 주파수 에칭 반응기
KR101545525B1 (ko) 기판 에지 구역을 프로세싱하기 위한 장치 및 방법
KR101746332B1 (ko) 마이크로파 플라즈마원 및 플라즈마 처리 장치
US7153387B1 (en) Plasma processing apparatus and method of plasma processing
WO2000068985A1 (fr) Appareil de traitement au plasma
JPH07245296A (ja) プラズマ処理装置
KR20040054290A (ko) 망사형 라이너를 구비한 폴리머 흡착용 돔

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right