JPH029115A - 半導体製造装置 - Google Patents

半導体製造装置

Info

Publication number
JPH029115A
JPH029115A JP63158062A JP15806288A JPH029115A JP H029115 A JPH029115 A JP H029115A JP 63158062 A JP63158062 A JP 63158062A JP 15806288 A JP15806288 A JP 15806288A JP H029115 A JPH029115 A JP H029115A
Authority
JP
Japan
Prior art keywords
space
discharge
electrode
plasma
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP63158062A
Other languages
English (en)
Inventor
Mikio Deguchi
幹雄 出口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP63158062A priority Critical patent/JPH029115A/ja
Priority to US07/273,556 priority patent/US5006192A/en
Publication of JPH029115A publication Critical patent/JPH029115A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野] この発明は、気体放電を用いて半導体装置を製造する半
導体製造装置に関する。
[従来の技術] 第5図は、気体放電を用いた従来の半導体製造SA置の
一例として、プラズマCVD装置の概略を示したもので
ある。この装置では、真空容器(1)内におかれた基板
(2)の上方に、高周波電源(3)に接続された高周波
電極(4)を配置し、を極(4)の外側をアースシール
ド(5)で覆っている。さらに、プラズマ(6)が生成
される放電空間の回りをリミタ電極(7)で囲んで放電
空間の外部に電界が漏れないようにし、プラズマが直接
に真空容器の内壁に触れることを防止している。尚、(
8)は基板(2)を所定の温度に加熱するためのヒータ
である。
第5図の半導体製造装置の動作は次のとおりである。
真空容器(1)内におかれた基板(2)をヒータ(8)
で所定の温度まで加熱した後、ガス導入口(図示せず)
から膜の形成に用いる原料ガスと、必要に応じてキャリ
アガスを導入する0例えば、基板(2)上に非晶質シリ
コン膜を形成する場合、原料ガスにはSiH,、キャリ
アガスにはH2やArなどが一般的に用いられる。さら
に高周波電極(4)に高周波電源(3)から高周波電圧
を供給し、電極(4)と基板(2)の間に放電を起こし
て原料ガスおよびキャリアガスのプラズマ(6)を生成
させる。
プラズマ(6)内において原料ガス分子は電子衝撃によ
り分解され、種々の1ヒ学反応を経て基板(2)に所望
の膜を形成する。
この際、アースシールド(5)及びリミタ電極(7)は
真空容器(1)に接続され、接地電位に維持される。こ
のため、放電空間は高周波電1(4)と基板(2)およ
びリミタ電極(7)で囲まれた領域に制限され、真空容
器(1)の内壁にプラズマが直接接触することが防止さ
れる。
[発明が解決しようとする課題] しかしこのようにして放電空間を制限しても、プラズマ
(6)中で生成した原料ガスの分解生成物を基板(2)
、高周波電極(4)及びリミタ電極(7)によって完全
に封じ込めることはできず、この分解生成物は真空容器
(1)内金体に拡散してしまう。
その結果、プラズマ(6)が直接に接する面に比べれば
少量であるが、真空容器(1)内面などの放電空間外の
表面にも徐々に膜が堆積していく、このようにして堆積
され成長した膜は、やがて断片となって剥離し、処理中
の基板(2)の表面に付着することかある。このため、
基板(2)上に形成された膜に欠陥を生じる恐れがある
という問題点かあった。
また、リミタ電極(7)で放電空間を制限することは、
真空容器(1)の全内容積に対しプラズマ(6)が占め
る体積の比率を小さくすることになる。
これは真空容器(1)の有効体積に対する無効内表面積
が大きくなることを、意味し、このため真空容器(1)
内の残留不純物の低減には不利であるという問題点があ
った。
この発明はこのような問題点を解消するためになされた
もので、薄膜形成などのプロセスで真空容器内表面等に
堆積した膜を除去すると共にそれらの表面の残留不純物
を容易に低減することのできる半導体製造装置を得るこ
とを目的とする。
[課題を解決するための手段] この発明に係る半導体製造装置は、真空容器内におかれ
た基板にガス放電分用いて薄膜形成などの処理を施す半
導体製造装置であって、前記真空容器内において、薄膜
形成などの処理のためのプラズマを形成する放電空間外
の空間を含む空間に電界を形成し、前記放電空間外の空
間を含む空間に放電ガスによりプラズマを生成する手段
を備えたものである。
[作用] この発明では、基板の処理プロセスと処理プロセスの間
において反応性または無反応性のエツチングガス(例え
ば、CF、、CCl4、H2、A「等)を真空容器内に
導入し、基板の処理プロセスで容器に堆積した膜を除去
することができる。さらにこれに加えてF1□を容器内
に導入し、容器の内表面に吸着していた残留不純物を除
去することができる。
[実施例コ 以下、この発明の実施例を添付図面に基づいて説明する
第1図および第2図は、この発明の第1の実施例に係る
半導体製造装置の概略を示したものである。この実施例
は、第5図の装置と同様のプラズマCVD装置である。
第1図は、薄膜形成プロセス時における接続状態を示し
、第2図は、真空装置洗浄時の接続状層を示す、第1図
および第2図の装置の構成は次のとおりである。
真空容器(1)は、例えばステンレス鋼よりなり、直径
300 +++ m、高さ300 +a iのほぼ円筒
形状を有している。この真空容器(1)の底部には、基
板(2)を搭載するための台(1a)が設けられている
0台(1a)の上方には、周波数13゜5CiMIlz
の高周波電源(3)に接続された高周波電極(4)が配
置されている。この高周波電極(4)の上面及び電源(
3)への配線を覆うようにアースシールド(5)が設け
られている。
また、台(1a)と高周波電極(4)との間には、基板
(2)の処理時における第1の放電空間S1が形成され
、この第1の放電空間S、の側部を囲むようにリミタ電
極(7)が設けられている。これらアースシールド(5
)及びリミタ電極(7)により、基板(2)の処理時に
第1の放電空間S1の外部に電界が漏れるのを防止する
アースシールド(5)およびリミタ電極(7)は、それ
ぞれステンレス鋼よりなり、真空容器(1)からは絶縁
され、それぞれスイッチ(5a)、(7a)によって電
源(3)に接続される状態(第2図)と、接地された状
態(第1図)にスイッチされ得る。なお、台(1a)お
よび高周波電極(4)は、例えば直径120■の円盤状
であって、その間の距離は40mmである。
また、(8)は基板(2)を所定の温度に加熱するため
のヒータである。
次に、この実施例の動作を述べる。
まず、基板(2)上に薄膜を形成する基板処理プロセス
時には、第1図に示すようにアースシールF(5)およ
びリミタ電1t(7)はそれぞれスイッチ(5a)、(
7a)を介して接地され、第5図の従来のプラズマCV
D装置と同様に動作する。これにより第1の放電空間S
1にプラズマ(6)が形成され、基板(2)上に薄膜が
形成されるか、このとき真空容器(1)の内面などにも
膜が堆積する。
そこで、処理プロセスの間の非成膜時においては第2図
に示すようにシールド(5)及びリミタ電極(7)をそ
れぞれスイッチ(5a)及び(7a) を介して高周波
電源(3)に接続する。この結果、既に接地されている
真空容器(1)と、電源(3)に接続された高周波電4
ili!(4)、アースシールド(5)及びリミタ電極
(7)との間に区画された第2の放電空間S2に高圧電
界が形成され、真空容器(1)への放電ガスの導入に伴
って真空容器(1)全体にプラズマ(6)が生成される
この際の放電ガスとしては、まず第1図の基板処理プロ
セスで堆積した膜をエツチングすることができるガスを
用いる。エツチング方式は化学反応を用いた反応性のエ
ツチングでも無反応性のエツチングでもよいが、エツチ
ングに用いたガスが真空容器(1)内に残留不純物とし
て残った場合にも基板処理プロセスに悪影響を与えない
ものが望ましい、このエツチングガスを真空容器(1)
内に導入することにより、真空容器(1)全体にエツチ
ングガスのプラズマが生成され、真空容器(1)内に堆
積した有害な膜を、容器(1)自体を分解することなく
簡単に除去することができる。
さらに、これに加えて放電ガスとしてH2を真空容器(
1)内に導入し、同様にして容器全体にプラズマを生成
させ、真空容器(1〉内面に吸着しているC1○等の残
留不純物をCO1炭化水素、H2C等の形で排出、除去
する。これにより真空容器(1)内の残留不純物が大幅
に低減され、基板(2)の薄膜形成時における膜中への
不純物混入による悪影響を回避することができる。なお
、この不純物除去における諸元は例えば次のとおりであ
る。
H2圧力 : 0.ITorr H2流量:0,1リットル/秒 放電電カニ 100W なお第2図に示した接続状態ではアースシールド(5)
と高周波電極(4)はともに電源(3)に接続されて同
電位にあるので、これらの間隙にはプラズマは生成しな
い、しかしアースシールド(5)を接地し、高周波電極
(4)を電源(3)に接続すると共に放電ガスの圧力を
高くするなどにより、この間隙にもプラズマを生成する
こともできる。またこの実施例では無線周波数域の高周
波電源(3)を用いているが、電源(3)の周波数は特
に限定されるものではなく、利用するガス放電は直流放
電でもよい、また、放電は連続放電でもパルス状の放電
でもよい。
第1図および第2図の第1の実施例では、非成膜時に高
周波電極(4)のみならずリミタ電極(7)及びアース
シールド(5)にも電圧を印加し、これにより第2の放
電空間S2にも電界を形成してプラズマを生成している
。これに対し第3図および第4図に示す第2の実施例に
係るプラズマCVD装置では、アースシールド(5)及
びリミタ電極(7)が真空容器(1)に電気的に接続さ
れると共に第2の放電空間S2内に補助電極(9)が設
けられている。
そして、基板(2)への薄膜形成時には、補助電極(9
)は第3図に示すようにスイッチ(9a)を介して接地
され、第5図の従来の装置と同様の動作が行なわれる。
一方、基板処理プロセスの間の非成膜時においては、第
4図に示すように補助電極(9)がスイッチ(9a)を
介して高周波電源(3)に接続される。ところがこの実
施例ではアースシールド(5)およびリミタ電極(7)
は、接地された真空容器(1)に接続されている。従っ
て、このとき高周波電極(4)と台(1a)との間の第
1の放電空間Slのみならず、その外の第2の放電空間
S2においても、補助電極(9)と真空容器(1)、ア
ースシールド(5)及びリミタ電極(7)との間に電界
が形成され、放電ガスの導入によりプラズマ(6)を生
成することができる。
なお、真空容器(1)内に電界を形成しプラズマを生成
する手段としてマイクロ波発生源を用いてもよい。
さらに、上記第1及び第2の実施例は、いずれもプラズ
マCVD装置にこの発明の思想を適用した場合であった
が、この発明は、エツチング装置やスパッタリング装置
など、気体放電を用いた他の半導体製造装置に対しても
適用できる。
[発明の効果] この発明は、真空容器内において、薄膜形成などの処理
のためのプラズマを形成する放電空間外の空間含3む空
間に電界を形成し、前記放電空間外の空間を含む空間に
放電ガスによりプラズマを生成する毛段を備えているの
で、基板処理プロセス時の放−S空間外の空間を含む空
間に水素ガスなどを放電ガスとするプラズマを生成する
ことができる。従って、装置の容器内面に基板処理プロ
セス時に堆積した膜や残留不純物を容器を分解したりす
ることなく容易に除去することができ、これらの処理プ
ロセスに対する悪影響が効果的に防止できる。
【図面の簡単な説明】
第1図はこの発明の第1実施例である半導体製造装置の
概略を示す断面図であって基板処理時の接続状!ぶを示
す図、第2図は第1図の装置の洗浄時の接続状態を示す
図、第3I21はこの発明の第2実施例である半導体製
造装置の概略を示す断面図であって基板処理時の接続状
態を示す図、第11図は第3図の実施例の洗浄時におけ
る接続状態を示す図、第5図は従来の半導体製造装置の
概略を示す断面図である。 図において、(1)は真空容器、(2)は基板、(3)
は高周波電源、(4)は高周波電極、(5)はアースシ
ールド、(6)はプラズマ、(7)はリミタ電極、(9
)は補助電極、(5a)、(7a)及び(9a)はスイ
ッチ、(Sl)は第1の放電空間、(S2)は第2の放
電空間である。 なお、各図中同一符号は同一または相当部分を示す。 亮1図 5 アースシールド 5a、7a又イ・7チ 渭2図 形3図 形4図 箒5図 と

Claims (1)

  1. 【特許請求の範囲】 真空容器内におかれた基板にガス放電を用いて薄膜形成
    などの処理を施す半導体製造装置であって、 前記真空容器内において、薄膜形成などの処理のための
    プラズマを生成する放電空間外の空間を含む空間に電界
    を形成し前記放電空間外の空間を含む空間にガス放電に
    よりプラズマを生成する手段を備えることを特徴とする
    半導体製造装置。
JP63158062A 1988-06-28 1988-06-28 半導体製造装置 Pending JPH029115A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP63158062A JPH029115A (ja) 1988-06-28 1988-06-28 半導体製造装置
US07/273,556 US5006192A (en) 1988-06-28 1988-11-21 Apparatus for producing semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP63158062A JPH029115A (ja) 1988-06-28 1988-06-28 半導体製造装置

Publications (1)

Publication Number Publication Date
JPH029115A true JPH029115A (ja) 1990-01-12

Family

ID=15663467

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63158062A Pending JPH029115A (ja) 1988-06-28 1988-06-28 半導体製造装置

Country Status (2)

Country Link
US (1) US5006192A (ja)
JP (1) JPH029115A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07122502A (ja) * 1993-10-21 1995-05-12 Nec Corp プラズマ加工装置
KR100415435B1 (ko) * 1999-09-21 2004-01-31 주성엔지니어링(주) 반도체 소자 제조장치
JP2006511059A (ja) * 2002-12-20 2006-03-30 ラム リサーチ コーポレーション 半導体チャンバ、及びプラズマ処理チャンバ内のプラズマの制御方法

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5316645A (en) * 1990-08-07 1994-05-31 Canon Kabushiki Kaisha Plasma processing apparatus
NL9002176A (nl) * 1990-10-08 1992-05-06 Philips Nv Werkwijze voor het verminderen van deeltjescontaminatie tijdens sputteren en een sputterinrichting voor gebruik van een dergelijke werkwijze.
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5304405A (en) * 1991-01-11 1994-04-19 Anelva Corporation Thin film deposition method and apparatus
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5266153A (en) * 1992-06-16 1993-11-30 National Semiconductor Corp. Gas distribution head for plasma deposition and etch systems
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JP3227522B2 (ja) * 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
DE4301188C2 (de) * 1993-01-19 2001-05-31 Leybold Ag Vorrichtung zum Beschichten oder Ätzen von Substraten
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07142444A (ja) * 1993-11-12 1995-06-02 Hitachi Ltd マイクロ波プラズマ処理装置および処理方法
US5591268A (en) * 1994-10-14 1997-01-07 Fujitsu Limited Plasma process with radicals
US5585012A (en) * 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation
JP3585606B2 (ja) * 1995-09-19 2004-11-04 アネルバ株式会社 Cvd装置の電極装置
KR100197649B1 (ko) * 1995-09-29 1999-06-15 김영환 박막 증착장치
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5897753A (en) 1997-05-28 1999-04-27 Advanced Energy Industries, Inc. Continuous deposition of insulating material using multiple anodes alternated between positive and negative voltages
JPH111770A (ja) * 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
JP3398027B2 (ja) * 1997-10-15 2003-04-21 株式会社荏原製作所 気相成長装置及びその洗浄方法
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6461970B1 (en) * 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
JP5165825B2 (ja) * 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
AU2001251216A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
ATE280437T1 (de) * 2001-05-31 2004-11-15 Cit Alcatel Abnehmbare schirmvorrichtung für plasmareaktoren
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6804136B2 (en) * 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7193893B2 (en) * 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7154140B2 (en) * 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
JP3671966B2 (ja) * 2002-09-20 2005-07-13 日新電機株式会社 薄膜形成装置及び方法
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8052799B2 (en) * 2006-10-12 2011-11-08 International Business Machines Corporation By-product collecting processes for cleaning processes
US8137501B2 (en) * 2007-02-08 2012-03-20 Lam Research Corporation Bevel clean device
KR20080105617A (ko) * 2007-05-31 2008-12-04 삼성모바일디스플레이주식회사 화학기상증착장치 및 플라즈마강화 화학기상증착장치
WO2009098784A1 (ja) * 2008-02-06 2009-08-13 Youtec Co., Ltd. プラズマcvd装置、プラズマcvd方法及び攪拌装置
JP2010034415A (ja) * 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理方法
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5603433B2 (ja) * 2010-12-28 2014-10-08 キヤノンアネルバ株式会社 カーボン膜の製造方法及びプラズマcvd方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP2013008770A (ja) * 2011-06-23 2013-01-10 Iwatani Internatl Corp 成膜装置での堆積物クリーニング方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102227783B1 (ko) 2016-12-27 2021-03-16 에바텍 아크티엔게젤샤프트 진공 플라즈마 작업편 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2023043720A (ja) * 2021-09-16 2023-03-29 キオクシア株式会社 基板処理装置、及び半導体装置の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2376904A1 (fr) * 1977-01-11 1978-08-04 Alsthom Atlantique Procede d'attaque d'une couche mince par decomposition d'un gaz dans un plasma
JPS5687672A (en) * 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
DD153497A3 (de) * 1980-02-08 1982-01-13 Georg Rudakoff Verfahren und vorrichtung zum plasmaaetzen oder zur plasma cvd
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS622619A (ja) * 1985-06-28 1987-01-08 Matsushita Electric Ind Co Ltd プラズマ反応装置
JPS6218030A (ja) * 1985-07-17 1987-01-27 Canon Inc イオンビ−ムエツチング装置
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
JPH0831442B2 (ja) * 1987-03-11 1996-03-27 株式会社日立製作所 プラズマ処理方法及び装置
US4786392A (en) * 1987-04-23 1988-11-22 Unisys Corporation Fixture for cleaning a plasma etcher
JPS6411872A (en) * 1987-07-07 1989-01-17 Matsushita Electric Ind Co Ltd Heat transfer printing ribbon

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07122502A (ja) * 1993-10-21 1995-05-12 Nec Corp プラズマ加工装置
KR100415435B1 (ko) * 1999-09-21 2004-01-31 주성엔지니어링(주) 반도체 소자 제조장치
JP2006511059A (ja) * 2002-12-20 2006-03-30 ラム リサーチ コーポレーション 半導体チャンバ、及びプラズマ処理チャンバ内のプラズマの制御方法

Also Published As

Publication number Publication date
US5006192A (en) 1991-04-09

Similar Documents

Publication Publication Date Title
JPH029115A (ja) 半導体製造装置
EP0678903A1 (en) Plasma treatment method and apparatus
JPH09148322A (ja) シリコン酸化膜の成膜方法及びプラズマcvd成膜装置
WO1997036461A1 (fr) Procede et dispositif de traitement plasmique
TW200823977A (en) Plasma doping method and plasma doping apparatus
JP2749630B2 (ja) プラズマ表面処理法
JPH07245193A (ja) プラズマ発生装置及びプラズマ処理装置
TW201530605A (zh) 電漿處理方法及電漿處理裝置
JPH02159027A (ja) プラズマ処理装置
TW201909272A (zh) 電漿處理裝置之洗淨方法
JPS62273731A (ja) プラズマ処理装置
JP3394263B2 (ja) 真空処理方法及び装置
JPH0776781A (ja) プラズマ気相成長装置
JPH02228035A (ja) 真空処理装置
JP2004214609A (ja) プラズマ処理装置の処理方法
JP3247491B2 (ja) プラズマ処理装置
JP2000049100A (ja) プラズマ処理装置とこの装置内でのパーティクルの発生低減方法
JPH10147877A (ja) ガスクリーニング方法
KR950000859B1 (ko) 장치 제조용 공정
JP2669249B2 (ja) プラズマ処理装置及び該装置のクリーニング方法
JPH1116891A (ja) プラズマ処理装置
JPH10330970A (ja) 反応性イオンエッチング装置
JPH08134666A (ja) ドライエッチング方法
JPH01192118A (ja) レジスト膜除去装置
JPH08274083A (ja) プラズマ処理装置