JP2000026975A - 表面処理装置 - Google Patents

表面処理装置

Info

Publication number
JP2000026975A
JP2000026975A JP10194674A JP19467498A JP2000026975A JP 2000026975 A JP2000026975 A JP 2000026975A JP 10194674 A JP10194674 A JP 10194674A JP 19467498 A JP19467498 A JP 19467498A JP 2000026975 A JP2000026975 A JP 2000026975A
Authority
JP
Japan
Prior art keywords
plasma
substrate
surface treatment
treatment apparatus
outlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10194674A
Other languages
English (en)
Inventor
Hiroyuki Mizukami
裕之 水上
Masayuki Koushiri
雅之 高尻
Yasumasa Toyoshima
康真 豊嶋
Toshihiro Tabuchi
俊宏 田渕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Komatsu Ltd
Original Assignee
Komatsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Komatsu Ltd filed Critical Komatsu Ltd
Priority to JP10194674A priority Critical patent/JP2000026975A/ja
Priority to PCT/JP1999/002583 priority patent/WO2000003065A1/ja
Priority to EP99921161A priority patent/EP1099779A4/en
Publication of JP2000026975A publication Critical patent/JP2000026975A/ja
Priority to US11/254,072 priority patent/US20100170440A9/en
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • AHUMAN NECESSITIES
    • A63SPORTS; GAMES; AMUSEMENTS
    • A63BAPPARATUS FOR PHYSICAL TRAINING, GYMNASTICS, SWIMMING, CLIMBING, OR FENCING; BALL GAMES; TRAINING EQUIPMENT
    • A63B2102/00Application of clubs, bats, rackets or the like to the sporting activity ; particular sports involving the use of balls and clubs, bats, rackets, or the like
    • A63B2102/32Golf

Abstract

(57)【要約】 【課題】荷電粒子の衝突による膜質の劣化を防止し、高
速且つ高品質に成膜可能な表面処理装置を提供する。 【解決手段】表面処理装置(1) のケーシング(2) はプラ
ズマ発生電極(5,5′)を備えたプラズマ発生室(3) と、
基板支持台(8) を備えた基板処理室(4) との二室に画成
されている。前記両室(3,4) の隔壁を構成する電極
(5′) にはプラズマ吹出口(6) が形成されている。更
に、同プラズマ吹出口(6) と基板支持台(8) 上に載置さ
れた基板(S) と間には、プラズマを横断する方向にメッ
シュ状導電性シート(9) が配されており、同シート(9)
にマイナスの可変バイアスを印加することにより、プラ
ズマ内のプラスの荷電粒子が同シート(9) に捕獲され、
プラズマから荷電粒子が排除される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は基板への各種表面処
理、特に基板への成膜処理に適した表面処理装置に関
し、更に詳しくは、プラズマ流に存在する荷電粒子によ
るダメージを低減し、高品質で高速に成膜が可能な表面
処理装置に関するものである。
【0002】
【従来の技術】従来の平行平板型プラズマCVD (Chem
ical Vapor Deposition)装置は、ケーシング内に一対の
平板状のプラズマ発生電極が平行に対向して設けられて
いる。前記プラズマ発生電極のうち、一方の電極は基板
支持台としての機能を兼ね備えており、更に、同装置は
基板の温度を、気相成長に適した温度に調整するために
ヒータが設けられている。前記一方の電極に基板を載置
した状態で、両プラズマ発生電極間に高周波数の電源
(13. 56MHZ の電源)による電圧が印加される
と、これら電極間で放電が行われる。この放電によりプ
ラズマが発生し、原料ガス、例えばモノシランガスがプ
ラズマ化され、前記基板表面にシリコン膜が形成され
る。
【0003】かかる従来の平行平板型のプラズマCVD
装置にあっては、基板を載置する平板状の前記プラズマ
発生電極の面積を大きくすることで、大面積の基板を一
度の成膜処理で成膜することができるといった利点を有
している。しかしながら、従来の平行平板型のプラズマ
CVD装置にあっては、両プラズマ発生電極によりプラ
ズマ化された原料ガスは成膜ガス処理室内に均一に拡散
され、その一部が前記電極上に載置された基板の成膜に
寄与するだけである。このため原料ガスの利用効率が低
く、例えばアモルファスシリコン薄膜や徴結晶シリコン
薄膜を基板上に成膜しようとする場合、成膜速度が0.
01μm/分程度と、投入電力が大きいにもかかわら
ず、成膜速度は遅い。そのため太陽電池などの比較的膜
厚の厚い半導体デバイスを製作するには、更に長時問を
要し、低スループット、高コストの主要因となってい
た。
【0004】そこで、成膜速度を上げるために、高周波
電源による投入電力を増大させることも考えられる。し
かしながら、両プラズマ発生電極間には当然に電流が流
れており、この電流の大きさに応じてプラズマ内の荷電
粒子が加速される。この加速された荷電粒子は電極間に
配された基板に直接衝突し、基板はこの荷電粒子の衝突
によるダメージで、膜質の劣化を来す。かかる荷電粒子
の衝突数は投入電力の増大に伴って増加し、同荷電粒子
の衝突ダメージによる膜質の劣化も著しくなる。更には
高周波電源による高周波電力の増大に伴い、気相中で微
粉末が多量に発生することになり、微粉末による膜質の
劣化も飛躍的に増大することとなる。
【0005】従って、従来の平行平板型のプラズマCV
D装置にあっては、こうした荷電粒子の衝突によるダメ
ージや微粉末による膜質の劣化を避けるために、投入電
力(投入パワー)を抑え、電流を少なくせざるを得な
い。即ち、実質的には投入電力、電流の上限値が存在
し、成膜速度を一定レベル以上に高めることができなか
った。
【0006】これに対して、例えば特開昭63−255
373号公報に開示されている反応装置は、ケーシング
が高周波電源に接続された対向する一対のプラズマ発生
電極と絶縁壁とにより囲まれたプラズマ発生室と基板処
理室との2室に画成されている。前記プラズマ発生室に
は原料ガス導入口が設けられ、一方の前記プラズマ発生
電極の中心に、前記プラズマ発生室から前記基板処理室
に連通する開口が形成されている。また、前記基板処理
室の前記開口に対向する位置には、基板が支持されてい
る。
【0007】前記反応装置では前記一対のプラズマ発生
電極に高周波電源により高周波電力を投入すると、両電
極間でプラズマが発生し、前記プラズマ発生室内に導入
された原料ガスがプラズマ化される。このとき、プラズ
マ発生室よりも基板処理室を低圧にすることで、プラズ
マがジェット流となって前記電極に形成された開口から
前記処理室へと噴き出し、同開口に対向して支持された
基板上に導かれる。同装置では更に、前記開口から基板
まで間にプラズマ流と平行な磁場を付与することで、プ
ラズマ流が更に集束されて基板に導かれる。
【0008】このようにプラズマ流を基板に向けて積極
的に吹き付ける反応装置では、投入電力を増大させるこ
となく、成膜速度を高めることができる。更には、成膜
速度が高まるにもかかわらず、薄膜の結晶化も促進さ
れ、従来よりも速い成膜速度で高品質の薄膜を形成する
ことができる。
【0009】
【発明が解決しようとする課題】上述の反応装置では、
電流の生じるプラズマ発生電極間に基板を載置すること
がないため、前記電流により生じた荷電粒子の衝突ダメ
ージの問題は解消される。ところで、プラズマはプラス
の荷電粒子とマイナスの荷電粒子とが同数存在するた
め、理論的には電気的に中性であるはずである。しかし
ながら、基板処理室内に噴出したプラズマ流の電位を測
定したところ、プラス側に帯電している。そのため、接
地されている基板とプラズマとの間には電位差が生じ、
この電位差によりプラズマ内の荷電粒子が加速される。
この加速された荷電粒子は基板表面に衝突し、依然とし
てそのダメージによる膜質の劣化が問題となる。
【0010】本発明はかかる問題を解決すべくなされた
ものであり、荷電粒子の衝突による膜質の劣化を防止
し、高速且つ高品質に成膜可能な表面処理装置を提供す
ることを目的とする。
【0011】
【課題を解決するための手段及び作用効果】かかる課題
を解決するために、本発明は、一対のプラズマ発生電
極、原料ガス導入口、及び基板支持台を備えたケーシン
グ内に、前記プラズマ発生電極によりプラズマを発生さ
せて原料ガスをプラズマ化し、前記基板支持台上に載置
された基板表面をプラズマ処理する表面処理装置であっ
て、前記ケーシングは、前記プラズマ発生電極を備えた
プラズマ発生室と、前記基板支持台を備えた基板処理室
との二室に画成され、同基板処理室は一以上のプラズマ
吹出口を介して前記プラズマ発生室と連通されてなり、
前記プラズマ吹出口の近傍と前記基板支持台の近傍との
間に荷電粒子排除手段が配されてなる、ことを特徴とす
る表面処理装置を主要な構成としている。なお、前記プ
ラズマ吹出口の近傍とは、同吹出口の上方、下方及び吹
出口内を含み、また、前記基板支持台の近傍とは、同支
持台の上方及びその側方周辺を含む。
【0012】このように、前記プラズマ吹出口の近傍と
前記基板支持台の近傍との間に荷電粒子排除手段を配す
ることにより、前記プラズマが基板に到達する前に同プ
ラズマから荷電粒子が排除される。そのため、前記基板
に衝突する荷電粒子の数が大幅に減少し、更にはその衝
突ダメージも小さくなるため、前記基板上には高品質の
膜が形成される。
【0013】また、プラズマ発生室と基板処理室との二
室に画成し、プラズマ発生室内のプラズマがプラズマ吹
出口から基板に向けて吹きつけるため、成膜速度も高ま
り、更には結晶化も促進されるため、均一の高品質な膜
質をもつ薄膜が高速で形成される。更には、前記プラズ
マ吹出口を多数設けることにより、大面積の基板に対し
ても均一な薄膜を高速で成膜できる。
【0014】なお、前記基板としてはガラス、有機フィ
ルム、或いはSUS等の金属を使用することができる。
さらに本発明の装置はエッチング等の表面処理にも使用
できるが、前記基板表面に多結晶シリコンやアモルファ
スシリコンなどのシリコン薄膜や酸化膜を形成する際に
特に好適に使用される。
【0015】前記プラズマ発生電極には直流電源又は高
周波電源を接続して直流〜高周波電圧まで印加すること
ができるが、特に高周波電力を投入されることが好まし
い。高周波によりプラズマ発生させる場合には、プラズ
マ中に発生する荷電粒子の数を少なくすることができ
る。なお、この投入電力は5〜500W、好ましくは5
〜200Wであることが望ましい。
【0016】前記荷電粒子排除手段は、前記プラズマを
横断して配され、電圧が印加された少なくとも1のプラ
ズマ通過孔を有する導電性部材であることが好ましく、
更には前記導電性部材はメッシュ状又はグリッド状の導
電性シートであることが好ましい。なお、前記導電性部
材に付与される電圧は、プラズマ発生電極に投入される
電力値により適宜設定されるが、前記投入電力が5〜5
00Wである場合には、前記導電性部材に付与される電
圧は好ましくは−200〜+200V程度であり、更に
好ましくは±数十〜±100Vである。
【0017】前記導電性部材にマイナスの電圧を印加す
ると、前記プラズマ内に含まれるプラスの荷電粒子が前
記導電性部材に捕獲され、前記プラズマから排除され
る。また、前記導電性部材にマイナスの電圧を印加する
と、前記プラズマ内に含まれるプラスの荷電粒子は前記
導電性部材との間に斥力が働いて、前記プラズマの流れ
から外側へ飛び出し、プラズマから荷電粒子が排除され
る。
【0018】前記荷電粒子排除手段は、前記プラズマ吹
出口から吹き出されたプラズマ流を挟んで配された一対
の電極を採用することもできる。この場合には、前記電
極のうちマイナス極側にプラスの荷電粒子が引きつけら
れて、プラズマ流から同荷電粒子が排除される。
【0019】更には前記荷電粒子排除手段は、磁力線が
前記プラズマの流れに対して直交する方向に作用する磁
場であってもよい。この場合には、プラスの荷電粒子が
前記磁力線によりマイナス極側に引きつけられ、プラズ
マの流れとは直交する方向に移動して、プラズマからプ
ラスの荷電粒子が排除される。
【0020】なお、上述した導電性部材、一対の対向電
極、或いは磁力線による前記荷電粒子排除手段は前記プ
ラズマ吹出口の一部を構成するように配することもでき
る。
【0021】前記プラズマ吹出口は所要のノズル形状を
有してなることが望ましい。所要のノズル形状とは、プ
ラズマ発生室のプラズマを積極的に吹出口内に引き込
み、基板処理室においてプラズマを所望の角度で拡散さ
せて噴出することのできる形状である。例えば、円形断
面の円柱形状や、プラズマ発生室から基板処理室に向け
て拡径する載頭円錐形状、及びその組み合わせ、更には
上流側の略半部が下流側に向けて縮径し、下流側の半部
が下流側に向けて拡径する形状などが挙げられる。更に
は前記プラズマ吹出口をスリット形状とすることも可能
である。
【0022】前記原料ガス導入口は、前記プラズマ発生
室内に開口させてもよく、或いは、前記プラズマ発生室
内にはキャリアガスのみを導入し、前記原料ガス導入口
は前記プラズマ吹出口の側面に開口させることもでき
る。前記原料ガス導入口を前記吹出口に開口させた場合
には、前記原料ガスは前記吹出口を通過するプラズマ化
されたキャリアガスによりプラズマ化される。この場合
には、前記プラズマ発生室の内壁面が前記原料ガスによ
り汚染されることがない。
【0023】更に、前記基板に電位を付与することも可
能である。その場合には前記荷電粒子排除手段をすり抜
けてプラズマ中に残存している荷電粒子のエネルギーを
制御することができ、荷電粒子の衝突によるダメージが
著しく低減される。
【0024】
【発明の実施の形態】以下、本発明の実施の形態につい
て、好適な実施例を参照して具体的に説明する。図1は
本発明の第1実施例である表面処理装置1の概略図であ
る。同装置1は外気と遮断され、接地されたケーシング
2が、プラズマ発生室3と基板処理室4との2室に画成
されている。前記プラズマ発生室3は図示せぬ原料ガス
導入口を備えており、モノシラン等の原料ガスが導入さ
れる。また、前記原料ガス導入口からは、プラズマの発
生を促進すると共にプラズマを安定化し、且つ原料ガス
を基板Sまで搬送するためのキャリアガスが、前記原料
ガスに混合されて導入される。なお、キャリアガス専用
の導入口を別途設けてもよい。
【0025】更に同プラズマ発生室3内には高周波電源
Pに接続された一対の板状プラズマ発生電極5,5′が
配されている。一対の電極5,5′のうち一方の電極5
は前記プラズマ発生室3の上壁3aに絶縁体3bを介し
て取り付けられており、他方の電極5′は前記基板処理
室4との隔壁を構成している。隔壁を構成する前記他方
の電極5′の中心にはプラズマ吹出口6が形成されてお
り、同プラズマ吹出口6を介して前記プラズマ発生室3
と基板処理室4とが連通されている。更に、前記プラズ
マ吹出口6には円筒形状のノズル体7が取り付けられて
いる。
【0026】前記基板処置室4内には前記プラズマ吹出
口6に対向する位置に基板支持台8が配されている。こ
の基板支持台8は接地されているため、同支持台8上に
載置された基板Sも同様に接地されることとなる。前記
支持台8の下方にはヒータ8aが備えられており、前記
基板支持台8上に載置された基板Sの温度を、気相成長
に適した温度に調整する。
【0027】更に、前記基板支持台8上に絶縁体からな
る支柱8bを介してメッシュ状の導電性シート9が取り
付けられている。なお、このメッシュ状導電性シート9
に替えて、グリット状などの多孔導電性シートを使用す
ることもできる。前記導電性シート9は前記基板支持台
8上に載置された基板Sの上方に、同基板Sとの間に間
隙を設けて同基板Sを被覆するように平行に配されてお
り、同シート9は直流の直流電源DCに接続されてい
る。なお、前記基板処理室4は図示せぬバルブ、圧力調
整弁及び真空ポンプにより、室圧が0.1〜数torr
に調整されている。
【0028】前記一対のプラズマ発生電極5,5′に高
周波電源Pにより高周波電力を投入すると、前記電極
5,5′間で放電が起こり、前記プラズマ発生室3内に
プラズマが発生する。そのプラズマにより、同プラズマ
発生室3内に導入された原料ガス及びキャリアガスがプ
ラズマ化される。このとき、前記基板処理室4は室圧が
0.1〜数torrと、前記プラズマ発生室3よりも低
圧に調整されているため、同プラズマ発生室3内のプラ
ズマは、前記プラズマ吹出口6に取り付けられたノズル
体7からジェット流となって前記基板処理室4内へと噴
出する。このとき、前記ジェット流は、前記ノズル体7
によりその流れ方向が基板Sに向けて積極的且つ確実に
案内される。このプラズマのジェット流により前記処理
室4内の基板S表面がプラズマ処理され、同基板4の表
面に薄膜が形成される。
【0029】このとき、上述したように、前記プラズマ
発生室3から前記基板処理室4へと噴出したプラズマは
プラスに帯電されており、接地されている基板Sとの間
に電位差が生じる。そのためプラズマ中のプラスの荷電
粒子が基板Sに向けて加速され、このプラスの荷電粒子
が前記基板Sに衝突し、その衝突ダメージにより膜質の
劣化を来す。しかしながら、本発明によれば、前記基板
Sの上方に同基板Sと平行して配された、即ち、プラズ
マの流れに直交して配されたメッシュ状の前記導電性シ
ート9に、直流電源DCにより負のバイアスを印加する
ことにより、プラスの荷電粒子が前記シート9により捕
獲され、プラズマ流からプラスの荷電粒子が排除され
る。そのため、前記基板Sに衝突する荷電粒子の数が大
幅に減少され、膜質の劣化を効果的に防ぐことができ
る。
【0030】なお、前記導電性シート9に正のバイアス
を印加することもでき、その場合には、プラスの荷電粒
子は前記シート9と反発してプラズマの流れ方向から外
側へ飛び出し、プラズマ流からプラスの荷電粒子が排除
される。この導電性シート9に付与される電圧の強度
は、前記プラズマ発生電極5,5′に投入される電力に
応じて適宜設定される。例えば前記プラズマ発生電極
5,5′の投入電力が5〜500Wの場合には、前記導
電性シート9には−200〜+200V程度の電圧が印
加される。
【0031】以下、本発明の他の実施例及び変形例につ
いて図面を参照して具体的に説明する。なお、以下の説
明において、上述の第1実施例と同一の構成には同一の
符号を付し、その詳細な説明は省略する。
【0032】図2は、本発明の第2実施例である表面処
理装置11の概略図である。同装置11は上述した第1
実施例の表面処理装置1とノズル体17の形状及びメッ
シュ状導電性シート9の取付位置が異なる他は、同一の
構成を備えている。第2実施例の表面処理装置11は、
プラズマ吹出口6に取り付けられたノズル体17は円形
断面をもち、且つその径がプラズマ発生室3から基板処
理室4へ向けて拡径する載頭円錐形状をなしている。こ
のノズル体17の前記基板処理室4側の端面には、直流
電源DCに接続されたメッシュ状導電性シート9が絶縁
体17aを介して取り付けられている。
【0033】この第2実施例の表面処理装置11にあっ
ても、上述した第1実施例と同様に、プラズマの流れに
直交してメッシュ状の前記導電性シート9が配されてお
り、この導電性シート9に可変電源に負のバイアスを印
加することにより、プラスの荷電粒子が前記シート9に
より捕獲され、或いは同シート9に正のバイアスを印加
することにより、プラスの荷電粒子がプラズマ流から外
側に弾きだされ、プラズマからプラスの荷電粒子が排除
される。そのため、前記基板Sに衝突する荷電粒子の数
が大幅に減少され、膜質の劣化を効果的に防ぐことがで
きる。なお、第2実施例では荷電粒子排除手段である導
電性シート9がノズル体17の端面に取り付けられてい
るため、基板処理室4に噴出される全てのプラズマが前
記導電性シート9を通過することとなり、より確実且つ
効果的に荷電粒子を排除することが可能となる。
【0034】また、ノズル体17の形状が前記基板処理
室4に向けて拡径する載頭円錐形状をなすため、プラズ
マが基板Sに向けて所望の角度で拡散し、大面積の前記
基板Sの表面に均一な膜厚で成膜が可能となる。
【0035】更に、図3は本発明の第3実施例による表
面処理装置21の概略図である。同装置21は上述した
第2実施例のノズル体17と同一形状の、絶縁性材料か
らなるノズル体27を使用している。そのため、このノ
ズル体27の基板処理室4側の端面には、直流電源DC
に接続されたメッシュ状導電性シート9を直接、取り付
けることができる。
【0036】なお、絶縁性材料からなるノズル体27を
採用する場合、メッシュ状導電性シート9はノズル体2
7内のいずれの部位に取り付けてもよく、例えば図4に
示す表面処理装置21′のように、メッシュ状導電性シ
ート9をノズル体27のプラズマ発生室3側の端面に取
り付けることもできる。
【0037】図5は本発明の第4実施例による表面処理
装置31の概略図である。同装置31ではメッシュ状導
電性シート9が、プラズマ発生室3側のプラズマ吹出口
6の近傍に、同吹出口6を被覆するよう、電極5′との
間に絶縁体5a′を介して取り付けられている。即ち、
前記導電性シート9は前記プラズマ吹出口6から噴出す
るプラズマに直交して配されており、前記プラズマ発生
室3から基板処理室4に噴出される全てのプラズマが前
記導電性シート9を通過し、荷電粒子が排除される。
【0038】図6及び図7は本発明の第5実施例による
表面処理装置41の概略図である。同装置41は基板処
理室4内の基板支持台8上に載置された基板Sを挟ん
で、即ち、プラズマ吹出口6から噴出したプラズマ流を
挟んで、一対の対向電極19a,19bが配されてい
る。この両電極19a,19bは接地されており、更に
一方の対向電極19aは直流電源DCに接続されてい
る。同電極19aにプラスの可変バイアスを印加する
と、両対向電極19a,19b間には矢印の方向(電極
19aから電極19bに向けて)電界が生じる。この電
界により、プラズマ内のプラスの荷電粒子は陰極である
電極19b側に引きつけられてプラズマ流から飛び出
し、プラスの荷電粒子が排除される。そのため、上述し
た他の実施例と同様に、基板Sに衝突する荷電粒子の数
が大幅に減少され、膜質の劣化を効果的に防ぐことがで
きる。
【0039】図8及び図9は本発明の第6実施例による
表面処理装置51の概略図である。同装置51は基板処
理室4内の基板支持台8上に載置された基板Sを挟ん
で、一対の永久磁石29a,29bが対向して配されて
いる。そのため前記磁石29a,29bの磁場は、図に
示すように磁力線がプラズマ吹出口6から噴出したプラ
ズマの流れに対して直交する方向に作用するように発生
する。そのため、プラズマ内のプラスの荷電粒子が前記
磁力線によりプラズマの流から外側に飛び出し、プラス
の荷電粒子が排除される。そのため、上述した他の実施
例と同様に、基板Sに衝突する荷電粒子の数が大幅に減
少され、膜質の劣化を効果的に防ぐことができる。な
お、前記永久磁石29a,29bに替えて、電磁石や超
伝導磁石を使用することも可能である。
【0040】図10は本発明の第7実施例による表面処
理装置61の概略図である。同装置61のノズル体37
は円形断面をもち、その上流側の略半部が円筒状をな
し、下流側の半部が下流側に向けて拡径する形状であ
る。基板処理室4の前記ノズル体37の取付端には一対
の電磁石29,29が対向して配されており、前記磁石
29,29の磁場は、磁力線がノズル体37を通過する
プラズマの流れに対して直交する方向に作用するよう形
成される。更に、前記基板支持台8上に絶縁体からなる
支柱8bを介してメッシュ状の導電性シート9が取り付
けられ、同シート9は直流電源DCに接続されており、
プラス或いはマイナスの可変バイアスが印加される。
【0041】前記装置61によれば、プラズマ発生室3
内のプラズマは、先ず、ノズル体37を通過する際に、
前記磁石29,29の磁場が作用される。そのためプラ
ズマ内のプラスの荷電粒子が前記磁石29に引きつけら
れてプラズマからプラスの荷電粒子が排除される。更に
その後、ノズル体37から吹き出されたプラズマは、プ
ラス又はマイナスのバイアスが印加された前記導電性シ
ート9を通過する際に、同シート9によりプラズマに残
留しているプラスの荷電粒子が排除される。このように
同装置61では磁石29,29と導電性シート9とによ
り2段階にわたってプラズマから荷電粒子が確実に排除
されるため、前記基板Sに衝突する荷電粒子の数が更に
大幅に減少されて、膜質の劣化をより効果的に防ぐこと
ができる。
【0042】なお、ノズル体としては本第7実施例のノ
ズル体37や、上述した円筒状及び載頭円錐状のノズル
体7,17,27以外にも、上流側の略半部が下流側に
向けて縮径し、下流側の半部が下流側に向けて拡径する
形状の縮小拡大ノズル体を使用することもできる。ノズ
ル体はプラズマ発生室3のプラズマを積極的に引き込
み、基板処理室4においてプラズマを所望の角度で拡散
させて噴出することのできる形状であることが望まし
く、特に縮小拡大ノズル体が好ましく採用される。
【0043】図11は本発明の第8実施例による表面処
理装置の要部を示す概略図である。同装置ではプラズマ
発生室3と基板処理室4との隔壁を構成するプラズマ発
生電極5′の、基板処理室4側の全面に板状の絶縁体1
0が、更に同絶縁体10の下面には導電性プレート39
が、積層状態で取り付けられている。前記導電性プレー
ト39は直流電源DCに接続され、可変バイアスが印加
される。更に、3層に積層された前記電極5′、絶縁体
10及び導電性プレート39の中心には円形断面のノズ
ル形状をなすプラズマ吹出口16が形成されている。
【0044】この第8実施例による表面処理装置は、前
記導電性プレート39がプラズマ吹出口16の一部を構
成しており、プラズマは前記プラズマ吹出口16を通過
する際に、マイナスの可変バイアスが印加された前記導
電性プレート39によりプラスの荷電粒子が捕獲され
る。このように前記プラズマからプラスの荷電粒子が排
除されるため、前記基板Sに衝突する荷電粒子の数が大
幅に減少され、前記荷電粒子の衝突ダメージによる膜質
の劣化が効果的に防止される。
【0045】図12は上述の第8実施例の変形例であ
り、3層に積層された電極5′、絶縁体10及び導電性
プレート39の中心には、円形断面をもち、その径がプ
ラズマ処理室3から基板処理室4に向けて漸増する、載
頭円錐状のノズル形状をなすプラズマ吹出口26が形成
されている。かかるノズル形状とすることで、プラズマ
が基板Sに向けて所望の角度で拡散し、大面積の前記基
板Sであってもその表面に均一な膜厚で成膜することが
できる。
【0046】図13は本発明の第9実施例による表面処
理装置の要部を示す概略図である。同装置ではプラズマ
発生室3と基板処理室4との隔壁を構成するプラズマ発
生電極5′の、基板処理室4側の全面に板状の絶縁体1
0が、積層状態で取り付けられている。この2層に積層
された前記電極5′及び絶縁体10の中心には円形断面
のノズル形状をなすプラズマ吹出口36が形成されてい
る。更に、板状絶縁体10の前記プラズマ吹出口36の
周面には、リング状の導電性部材49が取り付けられて
おり、このリング状導電性部材49は直流電源DCに接
続され、可変バイアスが印加される。
【0047】また、図14には本発明の第10実施例に
よる表面処理装置の要部が示されている。同装置もプラ
ズマ発生室3と基板処理室4との隔壁を構成するプラズ
マ発生電極5′の、基板処理室4側の全面に板状の絶縁
体10が、積層状態で取り付けられており、その2層に
積層された前記電極5′及び絶縁体10の中心には円形
断面のノズル形状をなすプラズマ吹出口36が形成され
ている。更に、板状絶縁体10の下面及び同絶縁体10
の前記プラズマ吹出口36の周面には、薄膜状の導電性
部材59がメッキ或いはペースト材料の塗布により、前
記絶縁体10に一体に付与されている。更に同薄膜状導
電性部材59は直流電源DCに接続され、可変バイアス
が印加される。
【0048】これら第9及び第10実施例による表面処
理装置も、上述した第8実施例と同様に、前記導電性部
材49,59がプラズマ吹出口36の一部を構成してい
る。そのため、プラズマは前記プラズマ吹出口36を通
過する際に、マイナスの可変バイアスが印加された前記
導電性部材49,59によりプラスの荷電粒子が捕獲さ
れ、前記プラズマからプラスの荷電粒子が排除される。
そのため、前記基板Sへの荷電粒子の衝突ダメージが低
減し、膜質の劣化が効果的に防止される。
【0049】図15は本発明の第11実施例による表面
処理装置の要部を示す概略図である。同装置ではプラズ
マ発生室3と基板処理室4との隔壁を構成するプラズマ
発生電極5′の中心に開口6′を形成し、その開口の内
周面に絶縁体リング20を取り付けている。更に同リン
グ20の内周面に直流電源DCに接続されたリング状の
導電性部材49を取り付け、この導電性部材49の内周
面がプラズマ吹出口46を構成する。そのため、同プラ
ズマ吹出口46を通過するプラズマは、マイナスの可変
バイアスが印加された前記リング状導電性部材49によ
り、プラスの荷電粒子が捕獲される。
【0050】図16は本発明の第12実施例による表面
処理装置の要部を示す概略図である。同装置ではプラズ
マ発生室3と基板処理室4との隔壁を構成するプラズマ
発生電極5′の下方に、絶縁性材料からなる内側周壁部
30a及び外側周壁部30bを介して導電性プレート3
9が取り付けられている。前記電極5′及び導電性プレ
ート39の中心に形成された開口6′,6″と、前記内
側周壁部30aの内周面とは同一の径寸法をもつ円形状
であり、それらは円柱ノズル形状をもつプラズマ吹出口
56を構成している。
【0051】前記電極5′、内側周壁部30a、外側周
壁部30b及び導電性プレート39により閉塞された空
間30cには原料ガスが充填されており、更に、前記内
側周壁部30aには前記プラズマ吹出口56に連通する
複数の原料ガス導入孔30cが形成されている。なお、
前記導入孔30cは前記内側周壁部30aの全周にわた
って連続して形成されたスリット形状とすることもでき
る。
【0052】同装置では、前記プラズマ発生室3内に原
料ガスは導入されず、キャリアガスのみが導入される。
一対のプラズマ発生電極5,5′に高周波電源Pにより
高周波電力を投入すると、前記電極5,5′間で放電が
起こり、前記プラズマ発生室3内にプラズマが発生す
る。そのプラズマにより、同プラズマ発生室3内に導入
されたキャリアガスがプラズマ化され、前記プラズマ吹
出口56からジェット流となって前記基板処理室4内へ
と噴出する。
【0053】このとき、前記プラズマ吹出口56を流れ
るプラズマに、同吹出口56の側面に開口する前記原料
ガス導入孔30cから、原料ガスが導入される。この原
料ガスはプラズマ化されたキャリアガスの持つエネルギ
ーにより分解され、プラズマ化される。更に、同プラズ
マ吹出口56を流れるプラズマは、マイナスの可変バイ
アスが印加された導電性プレート39により、プラスの
荷電粒子が排除される。
【0054】その後、前記プラズマ吹出口56から前記
基板処理室4へ吹き出したプラズマは、基板支持台8上
に載置された基板Sに作用し、同基板Sの表面がプラズ
マ処理される。このとき、前記プラズマ中に存在するプ
ラスの荷電粒子の数が大幅に低減されているため、かか
る荷電粒子の基板Sへの衝突ダメージも少なく、基板S
の表面には高品質の薄膜が均一に形成される。また、本
実施例では原料ガスを前記プラズマ発生室3ではなく、
プラズマ吹出口56の途中で導入することにより、前記
プラズマ発生室3の内壁面の原料ガスによる汚染が防止
される。
【0055】図17は上記第12実施例の変形例であ
り、プラズマ発生電極5′の下方に、絶縁性材料からな
る内側周壁部30aを介して導電性プレート39が取り
付けられている。前記電極5′及び導電性プレート39
の中心に形成された開口6′,6″と、前記内側周壁部
30aの内周面とは同一の径寸法をもつ円形状であり、
それらは円柱ノズル形状をもつプラズマ吹出口56を構
成している。更に、前記内側周壁部30aには複数の原
料ガス導入口30dが形成され、各導入口30dには原
料ガス供給パイプ30eが連結されている。かかる変形
例にあっては、原料ガスの供給圧をパイプ30eに取り
付けられた図示せぬバルブにより容易に調整可能であ
る。
【0056】なお、上述した全ての実施例及び変形例で
は、固定された基板支持台8上に基板Sを載置している
が、前記基板支持台8の基板載置面を例えばベルトコン
ベアなどにより構成し、基板Sをプラズマの流れ方向に
直交する方向に移動させながら、前記基板Sの表面にプ
ラズマ処理を施すことも可能である。その場合には、比
較的大きな面積の基板Sに対して成膜処理を施すことが
可能となる。
【0057】或いは、大面積の基板Sに成膜処理を施す
ために、例えば図18に示す第13実施例のように、3
層に積層された前記電極5′、絶縁体10及び導電性プ
レート39に、円形断面のノズル形状をなす複数のプラ
ズマ吹出口66を形成することも可能である。更には図
19に示すように、3層に積層された前記電極5′、絶
縁体10及び導電性プレート39に、複数のスリット状
のプラズマ吹出口76を形成してもよい。
【0058】更に、図20には本発明の第14実施例に
よる表面処理装置の要部を概略的に示す。前記装置はプ
ラズマ発生室3と基板処理室4との隔壁を構成するプラ
ズマ発生電極5′に複数のプラズマ吹出口86を形成
し、各吹出口86に上半部に円柱形状、下半部に載頭円
錐形状の流路を有するノズル体47を取り付けている。
更に各吹出口86には、前記プラズマ発生電極5′の下
面近傍に一対の永久磁石29′,29′が対向して配さ
れており、前記磁石29′,29′の磁場は、磁力線が
ノズル体47を通過するプラズマの流れに対して直交す
る方向に作用するよう形成される。更に、前記基板支持
台8上に絶縁体からなる支柱を介してメッシュ状の導電
性シート9が取り付けられ、同シート9は直流電源DC
に接続されており、プラス或いはマイナスの可変バイア
スが印加される。
【0059】前記装置によれば、プラズマ発生室3内の
プラズマは、先ず、ノズル体47を通過する際に、前記
磁石29′,29′の磁場により、更に、ノズル体47
から吹き出された後に前記導電性シート9によ9り、2
段階にわたってプラズマから荷電粒子が確実に排除され
るため、前記基板Sに衝突する荷電粒子の数が更に大幅
に減少されて、膜質の劣化をより効果的に防ぐことがで
きる。
【0060】更に、上述の全実施例及び変形例では、基
板Sを接地しているが、前記基板Sに電位を付与して、
荷電粒子が排除された後のプラズマと等電位にすること
もできる。その場合には、前記基板Sとプラズマとの間
に電位差がなくなるため、プラズマ内の荷電粒子が加速
されることはなく、荷電粒子の衝突によるダメージが著
しく低減される。また、プラズマ発生電極には高周波電
源Pにより高周波電力を投入しているが、直流電源によ
る直流電圧を印加することもできる。
【図面の簡単な説明】
【図1】本発明の第1実施例である表面処理装置の概略
図である。
【図2】本発明の第2実施例である表面処理装置の概略
図である。
【図3】本発明の第3実施例である表面処理装置の概略
図である。
【図4】上記第3実施例の変形例である表面処理装置の
概略図である。
【図5】本発明の第4実施例である表面処理装置の概略
図である。
【図6】本発明の第5実施例である表面処理装置の概略
図である。
【図7】上記表面処理装置の電場を示す上面図である。
【図8】本発明の第6実施例である表面処理装置の概略
図である。
【図9】上記表面処理装置の磁場を示す上面図である。
【図10】本発明の第7実施例である表面処理装置の概
略図である。
【図11】本発明の第8実施例である表面処理装置の要
部概略図である。
【図12】上記第8実施例の変形例である表面処理装置
の要部概略図である。
【図13】本発明の第9実施例である表面処理装置の要
部概略図である。
【図14】本発明の第10実施例である表面処理装置の
要部概略図である。
【図15】本発明の第11実施例である表面処理装置の
要部概略図である。
【図16】本発明の第12実施例である表面処理装置の
要部概略図である。
【図17】上記第12実施例の変形例である表面処理装
置の要部概略図である。
【図18】本発明の第13実施例である表面処理装置の
要部概略図である。
【図19】上記第13実施例の変形例である表面処理装
置の要部概略図である。
【図20】本発明の第14実施例である表面処理装置の
要部概略図である。
【符号の説明】
1,11,21,31,41,51,61 表面処理装置 2 ケーシング 3 プラズマ発生室 3a 上壁 3b 絶縁体 4 基板処理室 5,5′ プラズマ発生電極 5a′ 絶縁体 6,16,26,36,46,56,66,76,86 プラズマ吹出口 6′,6″ 開口 7,17,27,37,47 ノズル体 8 基板支持台 8a ヒータ 8b 支柱 9 メッシュ状導電性シー
ト 10 絶縁体 17a 絶縁体 19a,19b 対向電極 20 絶縁体リング 29 電磁石 29′ 永久磁石 29a,29b 永久磁石 30a 内側周壁部 30b 外側周壁部 30c 空間 30d 原料ガス導入口 30e 原料ガス導入パイプ 39 導電性プレート 49 リング状導電性部材 59 薄膜状導電性部材 S 基板 P 高周波電源 DC 直流電源
───────────────────────────────────────────────────── フロントページの続き (72)発明者 豊嶋 康真 神奈川県平塚市万田1200 株式会社小松製 作所研究本部内 (72)発明者 田渕 俊宏 神奈川県平塚市万田1200 株式会社小松製 作所研究本部内 Fターム(参考) 4K030 FA01 GA02 KA12 KA30 KA49 5F045 AA08 AC01 AE19 AE21 BB02 BB09 BB12 BB16 DP02 DP03 EF02 EH09 EH13 EH18 EH19 EH20

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 一対のプラズマ発生電極、原料ガス導入
    口、及び基板支持台を備えたケーシング内に、前記プラ
    ズマ発生電極によりプラズマを発生させて原料ガスをプ
    ラズマ化し、前記基板支持台上に載置された基板表面を
    プラズマ処理する表面処理装置であって、 前記ケーシングは、前記プラズマ発生電極を備えたプラ
    ズマ発生室と、前記基板支持台を備えた基板処理室との
    二室に画成され、 同基板処理室は一以上のプラズマ吹出口を介して前記プ
    ラズマ発生室と連通されてなり、 前記プラズマ吹出口の近傍と前記基板支持台の近傍との
    間に荷電粒子排除手段が配されてなる、ことを特徴とす
    る表面処理装置。
  2. 【請求項2】 前記プラズマ発生電極には高周波電力が
    投入されてなる請求項1記載の表面処理装置。
  3. 【請求項3】 前記荷電粒子排除手段は、前記プラズマ
    を横断して配され、電圧が印加された少なくとも1のプ
    ラズマ通過孔を有する導電性部材である請求項1又は2
    記載の表面処理装置。
  4. 【請求項4】 前記導電性部材はメッシュ状又はグリッ
    ド状の導電性シートである請求項3記載の表面処理装
    置。
  5. 【請求項5】 前記荷電粒子排除手段は、前記プラズマ
    吹出口から吹き出されたプラズマ流を挟んで配された一
    対の電極である請求項1又は2記載の表面処理装置。
  6. 【請求項6】 前記荷電粒子排除手段は、磁力線が前記
    プラズマの流れに対して直交する方向に作用する磁場で
    ある請求項1〜5のいずれかに記載の表面処理装置。
  7. 【請求項7】 前記プラズマ吹出口は所要のノズル形状
    を有してなる請求項1〜6のいずれかに記載の表面処理
    装置。
  8. 【請求項8】 前記原料ガス導入口は前記プラズマ吹出
    口の側面に開口してなる請求項1〜7のいずれかに記載
    の表面処理装置。
  9. 【請求項9】 前記荷電粒子排除手段は前記プラズマ吹
    出口の一部を構成してなる請求項3又は6記載の表面処
    理装置。
  10. 【請求項10】 前記プラズマ吹出口は円形断面である
    請求項1記載の表面処理装置。
  11. 【請求項11】 前記プラズマ吹出口はスリット形状で
    ある請求項1記載の表面処理装置。
  12. 【請求項12】 前記基板に電位が付与されてなる請求
    項1記載の表面処理装置。
JP10194674A 1998-07-09 1998-07-09 表面処理装置 Pending JP2000026975A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP10194674A JP2000026975A (ja) 1998-07-09 1998-07-09 表面処理装置
PCT/JP1999/002583 WO2000003065A1 (fr) 1998-07-09 1999-05-18 Appareil de traitement de surface
EP99921161A EP1099779A4 (en) 1998-07-09 1999-05-18 SURFACE TREATMENT APPARATUS
US11/254,072 US20100170440A9 (en) 1998-07-09 2005-10-19 Surface treatment apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10194674A JP2000026975A (ja) 1998-07-09 1998-07-09 表面処理装置

Publications (1)

Publication Number Publication Date
JP2000026975A true JP2000026975A (ja) 2000-01-25

Family

ID=16328420

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10194674A Pending JP2000026975A (ja) 1998-07-09 1998-07-09 表面処理装置

Country Status (4)

Country Link
US (1) US20100170440A9 (ja)
EP (1) EP1099779A4 (ja)
JP (1) JP2000026975A (ja)
WO (1) WO2000003065A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003055768A (ja) * 2001-06-07 2003-02-26 Ulvac Japan Ltd 成膜方法及び成膜装置
JP2005255492A (ja) * 2004-03-12 2005-09-22 Nano Giken Kk カーボンナノ構造の製造装置およびその製造方法
JP2006302625A (ja) * 2005-04-19 2006-11-02 Matsushita Electric Works Ltd プラズマ処理装置及びプラズマ処理方法
KR20160049630A (ko) * 2014-10-28 2016-05-10 최도현 파티클 저감 구조를 갖는 플라즈마 챔버
JP2016085935A (ja) * 2014-10-29 2016-05-19 東芝三菱電機産業システム株式会社 窒素ラジカル生成システム
KR20180040735A (ko) * 2015-09-11 2018-04-20 어플라이드 머티어리얼스, 인코포레이티드 슬롯이 있는 접지 플레이트를 갖춘 플라즈마 모듈
JP2019155294A (ja) * 2018-03-14 2019-09-19 株式会社東芝 水処理装置
WO2023063194A1 (ja) * 2021-10-13 2023-04-20 東京エレクトロン株式会社 プラズマ処理を行う装置、及びプラズマ処理を行う方法

Families Citing this family (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020197416A1 (en) * 2001-06-21 2002-12-26 Majewski Robert B. Gas jet deposition with multiple ports
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20080142481A1 (en) * 2006-12-18 2008-06-19 White John M In-situ particle collector
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
JP4582140B2 (ja) * 2007-11-22 2010-11-17 セイコーエプソン株式会社 基板の表面処理方法
JP2011524640A (ja) * 2008-06-11 2011-09-01 インテバック・インコーポレイテッド 太陽電池形成方法及び太陽電池
US20100089318A1 (en) * 2008-09-12 2010-04-15 Ovshinsky Stanford R Remote Plasma Apparatus for Manufacturing Solar Cells
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN106847736B (zh) 2011-11-08 2020-08-11 因特瓦克公司 基板处理系统和方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
TWI570745B (zh) 2012-12-19 2017-02-11 因特瓦克公司 用於電漿離子植入之柵極
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61261481A (ja) * 1985-05-13 1986-11-19 Komatsu Ltd 薄膜形成方法および装置
JPH0639707B2 (ja) * 1987-05-15 1994-05-25 日新電機株式会社 薄膜形成装置
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
JPH03146673A (ja) * 1989-11-02 1991-06-21 Canon Inc 薄膜堆積法及び薄膜堆積装置
JPH03158469A (ja) * 1989-11-14 1991-07-08 Canon Inc 薄膜処理法
JPH0775226B2 (ja) * 1990-04-10 1995-08-09 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン プラズマ処理方法及び装置
DE4118973C2 (de) * 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Vorrichtung zur plasmaunterstützten Bearbeitung von Substraten und Verwendung dieser Vorrichtung
JPH06177051A (ja) * 1992-12-10 1994-06-24 Tdk Corp Cvd装置
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003055768A (ja) * 2001-06-07 2003-02-26 Ulvac Japan Ltd 成膜方法及び成膜装置
JP2005255492A (ja) * 2004-03-12 2005-09-22 Nano Giken Kk カーボンナノ構造の製造装置およびその製造方法
JP2006302625A (ja) * 2005-04-19 2006-11-02 Matsushita Electric Works Ltd プラズマ処理装置及びプラズマ処理方法
KR20160049630A (ko) * 2014-10-28 2016-05-10 최도현 파티클 저감 구조를 갖는 플라즈마 챔버
KR101670296B1 (ko) * 2014-10-28 2016-10-28 최도현 파티클 저감 구조를 갖는 플라즈마 챔버
JP2016085935A (ja) * 2014-10-29 2016-05-19 東芝三菱電機産業システム株式会社 窒素ラジカル生成システム
KR20180040735A (ko) * 2015-09-11 2018-04-20 어플라이드 머티어리얼스, 인코포레이티드 슬롯이 있는 접지 플레이트를 갖춘 플라즈마 모듈
JP2018534723A (ja) * 2015-09-11 2018-11-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スロット付きグランドプレートを有するプラズマモジュール
KR102589972B1 (ko) * 2015-09-11 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 슬롯형 접지 플레이트를 갖춘 플라즈마 모듈
JP2019155294A (ja) * 2018-03-14 2019-09-19 株式会社東芝 水処理装置
JP7086653B2 (ja) 2018-03-14 2022-06-20 株式会社東芝 水処理装置
WO2023063194A1 (ja) * 2021-10-13 2023-04-20 東京エレクトロン株式会社 プラズマ処理を行う装置、及びプラズマ処理を行う方法

Also Published As

Publication number Publication date
US20100170440A9 (en) 2010-07-08
US20060191479A1 (en) 2006-08-31
EP1099779A4 (en) 2006-03-22
WO2000003065A1 (fr) 2000-01-20
EP1099779A8 (en) 2001-11-28
EP1099779A1 (en) 2001-05-16

Similar Documents

Publication Publication Date Title
JP2000026975A (ja) 表面処理装置
TWI720010B (zh) 利用二次電漿佈植的電漿蝕刻系統及方法
JP7224096B2 (ja) プラズマ処理装置用部品の溶射方法及びプラズマ処理装置用部品
JP5179389B2 (ja) シャワーヘッド及び基板処理装置
JP3953247B2 (ja) プラズマ処理装置
JPS6254078A (ja) 陰極スパツタリング処理により基板に薄層を被着する装置
JP2009057637A (ja) ヘリカル磁気共振コイルを利用したイオン化物理的気相蒸着装置
JP2924891B1 (ja) スパッタリング装置
JP2009224441A (ja) シャワーヘッド及び基板処理装置
JP2010103455A (ja) プラズマ処理装置
JP6544902B2 (ja) プラズマ処理装置
US20110247928A1 (en) Sputtering apparatus and sputtering method
US6468387B1 (en) Apparatus for generating a plasma from an electromagnetic field having a lissajous pattern
JP2001271168A (ja) 表面処理装置
JP2000054145A (ja) 表面処理装置
CN112899656B (zh) 镀膜装置的进气系统
JPH1074738A (ja) ウェーハ処理装置
JP2002180257A (ja) プラズマ処理装置と薄膜形成方法および表面処理方法
JPH07238370A (ja) スパッタリング式成膜装置
JP2001308080A (ja) プラズマ処理装置
JP2010123627A (ja) 真空処理装置
JP5585294B2 (ja) プラズマ処理装置およびそれを用いた薄膜の製造方法
JP2004183021A (ja) 成膜装置及び成膜方法
JPH0414217A (ja) 乾式薄膜加工装置
JP2000273645A (ja) 表面処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071127

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080408