JP2018534723A - スロット付きグランドプレートを有するプラズマモジュール - Google Patents

スロット付きグランドプレートを有するプラズマモジュール Download PDF

Info

Publication number
JP2018534723A
JP2018534723A JP2018512362A JP2018512362A JP2018534723A JP 2018534723 A JP2018534723 A JP 2018534723A JP 2018512362 A JP2018512362 A JP 2018512362A JP 2018512362 A JP2018512362 A JP 2018512362A JP 2018534723 A JP2018534723 A JP 2018534723A
Authority
JP
Japan
Prior art keywords
slot
blocker plate
gas
plasma source
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018512362A
Other languages
English (en)
Other versions
JP6892439B2 (ja
Inventor
ジョゼフ ユドフスキー,
ジョゼフ ユドフスキー,
ジョン シー. フォスター,
ジョン シー. フォスター,
カロル ベラ,
カロル ベラ,
ソメシュ カンデルウォール,
ソメシュ カンデルウォール,
マンディアム スリイラム,
マンディアム スリイラム,
田中 啓一
啓一 田中
竹下 健二
健二 竹下
信弘 坂本
信弘 坂本
匠 柳川
匠 柳川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018534723A publication Critical patent/JP2018534723A/ja
Application granted granted Critical
Publication of JP6892439B2 publication Critical patent/JP6892439B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

処理チャンバと使用するためのプラズマ源アセンブリは、ブロッカプレートを通る少なくとも1つの細長いスロットを有するブロッカプレートを含む。 細長いスロットは、ブロッカプレートの側面に対して、種々の長さ及び角度を有し得る。
【選択図】図6

Description

[0001]本開示の実施形態は、概して、基板を処理するための装置に関する。より具体的には、本開示の実施形態は、バッチプロセッサのような処理チャンバと使用するための、モジュラー型容量結合プラズマ源(modular capacitively coupled plasma source)に関する。
[0002]一般に、半導体デバイスの形成は、複数のチャンバを含む基板処理プラットフォームにおいて行われる。幾つかの事例においては、マルチチャンバ処理プラットフォーム又はクラスタツールの目的は、制御された環境において、1枚の基板上で2つ以上のプロセスを連続して実行することである。しかし、他の事例においては、マルチチャンバ処理プラットフォームは、基板に対して単一の処理ステップしか行わない場合がある。この場合、追加のチャンバは、プラットフォームが基板を処理する速度を最大化することが意図されている。後者の場合、基板に対して実行されるプロセスは、通常バッチプロセスであり、比較的多数の基板、例えば、25又は50枚の基板が、所与のチャンバ内で同時に処理される。バッチ処理は、採算に合うように個々の基板に実施するには所要時間が長すぎるプロセス(例えば、原子層堆積(ALD)プロセス及び一部の化学気相堆積(CVD)プロセス)にとって特に有益である。
[0003]一部のALDシステム、特に回転式基板プラテンを備えた空間的ALDシステムにとっては、モジュラー型プラズマ源、すなわち、容易にシステム内に挿入することができる源が有益である。プラズマ源は、プラズマが生成される空間、並びにワークピースを荷電粒子及び活性化学ラジカル種(active chemical radical species)のフラックスに曝す通路からなる。
[0004]これらの用途では、容量結合プラズマ(CCP)源がよく使用される。なぜなら、ALD用途でよく用いられる圧力範囲(1〜50Torr)において、CCP内でプラズマを生成することは容易であるからである。ウエハをプラズマの活性種に曝すために、孔の配列がよく使用される。しかしながら、活性種の相対的密度は、孔の配列全体にわたって均一ではないことが発見されてきた。
[0005]したがって、当該分野においては、活性種の密度の均一性の向上をもたらす容量結合プラズマ源が必要とされている。
[0006]本開示の1つ又は複数の実施形態は、ハウジング、ブロッカプレート、及びRFホット電極を備えたプラズマ源アセンブリを対象としている。ブロッカプレートは、ハウジングと電気的に連通している。ブロッカプレートは、フィールドを画定する内周端部と、外周端部と、第1の側面と、第2の側面とを有する。細長いスロットは、フィールドの内部にあり、ブロッカプレートを貫通して延びている。細長いスロットは、長さと幅を有する。RFホット電極は、ハウジングの内部にあり、前面と背面、内周端部と外周端部を有する。RFホット電極の前面は、間隙を画定するために、ブロッカプレートから離間されている。
[0007]本開示の追加の実施形態は、内周端部、外周端部、第1の側面と第2の側面を有するくさび形状のハウジングを備えたプラズマ源アセンブリを対象としている。くさび形状のブロッカプレートは、ハウジングと電気的に連通している。ブロッカプレートは、フィールドを画定する内周端部と、外周端部と、第1の側面と、第2の側面とを有する。フィールドは、ブロッカプレートの第1の側面に対して実質的に平行な第1の細長いスロット、ブロッカプレートの第2の側面に対して実質的に平行にブロッカプレートを貫通して延びる第2の細長いスロット、及び第1の細長いスロットと第2の細長いスロットとの間の第3の細長いスロットを備えている。第3の細長いスロットは、第2の細長いスロットの長さの約20%から約80%の範囲内の長さを有する。第2の細長いスロットは、第1の細長いスロットの長さの約20%から約80%の範囲内の長さを有する。くさび形状のRFホット電極は、ハウジングの内部にあり、前面と背面、内周端部と外周端部を有しており、RFホット電極の前面は、間隙を画定するために、ブロッカプレートから離間されている。
[0008]本開示のさらなる実施形態は、処理チャンバを対象としている。サセプタアセンブリは、処理チャンバの内部にある。サセプタアセンブリは、中心軸の周りで複数の基板を支持して回転させる上面を有する。ガス分配アセンブリは、処理チャンバ内にあり、サセプタアセンブリの上面に対向する前面を有し、それにより、サセプタアセンブリの上面に向けてガスの流れを方向付ける。ガス分配アセンブリは、内周端部、外周端部、第1の側面と第2の側面を有するくさび形状のハウジングを備えたプラズマ源アセンブリを含む。くさび形状のブロッカプレートは、ハウジングと電気的に連通している。ブロッカプレートは、フィールドを画定する内周端部と、外周端部と、第1の側面と、第2の側面とを有する。フィールドは、ブロッカプレートの第1の側面に対して実質的に平行な第1の細長いスロット、ブロッカプレートの第2の側面に対して実質的に平行にブロッカプレートを貫通して延びる第2の細長いスロット、及び第1の細長いスロットと第2の細長いスロットとの間の第3の細長いスロットを備えている。第3の細長いスロットは、第2の細長いスロットの長さの約20%から約80%の範囲内の長さを有し、第2の細長いスロットは、第1の細長いスロットの長さの約20%から約80%の範囲内の長さを有する。くさび形状のRFホット電極は、ハウジングの内部にある。RFホット電極は、前面と背面、内周端部と外周端部を有する。RFホット電極の前面は、間隙を画定するために、ブロッカプレートから離間されている。ブロッカプレートの内周端部は、ブロッカプレートの外周端部よりも、サセプタアセンブリの上面からさらに離間されている。
[0009]本開示の実施形態の上述の特徴を詳しく理解できるように、上記で簡単に要約されている本開示の実施形態のより詳細な説明が、実施形態を参照することによって得ることができる。そのうちの幾つかの実施形態は添付の図面に示されている。しかしながら、本開示は他の等しく有効な実施形態も許容し得るので、添付の図面は、本開示の典型的な実施形態のみを例示しており、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
本開示の1つ又は複数の実施形態に係る、基板処理システムの概略的断面図を示す。 本開示の1つ又は複数の実施形態に係る、基板処理システムの斜視図を示す。 本開示の1つ又は複数の実施形態に係る、基板処理システムの概略を示す。 本開示の1つ又は複数の実施形態に係る、ガス分配アセンブリの前面の概略図を示す。 本開示の1つ又は複数の実施形態に係る、処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態に係る、プラズマ源アセンブリの概略断面図を示す。 本開示の1つ又は複数の実施形態に係る、ブロッカプレートの斜視図を示す。 本開示の1つ又は複数の実施形態に係る、ブロッカプレートの概略正面図を示す。 本開示の1つ又は複数の実施形態に係る、ブロッカプレートの概略正面図を示す。 本開示の1つ又は複数の実施形態に係る、ブロッカプレートの概略正面図を示す。 本開示の1つ又は複数の実施形態に係る、ブロッカプレートの概略正面図を示す。 本開示の1つ又は複数の実施形態に係る、ブロッカプレートの概略正面図を示す。 本開示の1つ又は複数の実施形態に係る、傾斜したブロッカプレートを有するプラズマ源アセンブリの概略断面図を示す。 本開示の1つ又は複数の実施形態に係る、ブロッカプレートの概略正面図を示す。 スロットの幅の関数としてのプラズマのイオン流量のグラフを示す。 スロットの幅の関数としてのプラズマのイオン流量のグラフを示す。
[0026]本開示の実施形態は、スループットを最大化し、且つ、処理の効率性を改善する、連続基板堆積のための基板処理システムを提供する。この基板処理システムは、堆積前及び堆積後の処理にも使用することができる。
[0027]本明細書及び添付の特許請求の範囲で使用される場合、「基板」及び「ウエハ」という用語は、交換可能に使用されており、いずれも処理が作用する表面又は表面の一部のことを指す。これも当業者には当然のことであるが、基板に対して言及がなされるとき、文脈上他のことが明示されない限り、基板の一部のみを指すこともあり得る。さらに、基板上への堆積に対して言及がなされるとき、それは、ベア基板と、1つ又は複数の膜又はフィーチャが上部に堆積又は形成された基板との両方を意味することもあり得る。
[0028]本明細書及び添付の特許請求の範囲で使用される、「反応性ガス」、「前駆体」、「反応物」等の用語は、交互に使用され、基板表面と反応する種を含むガスを意味する。例えば、第1の「反応性ガス」は、単に基板の表面上に吸着され、第2の反応性ガスとのさらなる化学反応のために利用することができる。
[0029]本明細書及び添付の特許請求の範囲で使用される、「減少圧力」という用語は、約100トル未満、又は約75トル未満、又は約50トル未満、又は約25トル未満の圧力を意味する。例えば、約1トルから約25トルの範囲内であると規定される「中間圧力」は、減少圧力である。
[0030]数多くの用途において、回転式プラテンチャンバが検討されている。このようなチャンバでは、1つ又は複数のウエハが、回転式ホルダー(「プラテン」)の上に置かれる。プラテンが回転するにつれて、ウエハは様々な処理領域間を移動する。例えば、ALDでは、処理領域でウエハが前駆体及び反応物に曝露されることになる。さらに、プラズマ曝露は、反応物として使用されてもよく、或いは、膜成長の強化又は膜特性の改質のために膜又は基板表面を処理するよう使用されてもよい。本開示の幾つかの実施形態は、回転式プラテンALDチャンバ(rotating platen ALD chamber)を使用する際に、ALD膜の均一な堆積及び後処理(例えば、高密度化)をもたらす。
[0031]回転式プラテンALDチャンバは、従来の時間領域ALD又は空間的ALDによって膜を堆積することができる。時間領域ALDでは、ウエハ全体が、第1の処理ガスに曝露され、パージングされ、次いで、第2の処理ガスに曝露される。空間的ALDでは、ウエハの一部が、第1の処理ガスに曝露され、一部が第2の処理ガスに曝露され、これらのガス流を通るウエハの動きにより層が堆積される。
[0032]本明細書及び添付の特許請求の範囲において使用される場合、「パイ形状」及び「くさび形状」という用語は、概して扇形の本体を説明するために交換可能に使用される。例えば、くさび形状のセグメントは、円形又はディスク形状の構造体の断片であり得る。パイ形状のセグメントの内周端部は、尖っていてもよく、又は平坦な端部となるよう切断されたり、丸みを帯びるように加工されたりしてもよい。基板の経路は、ガスポートに対して垂直であり得る。幾つかの実施形態では、各ガスインジェクタアセンブリは、基板が横断する経路に対して実質的に垂直な方向で延在する複数の細長いガスポートを備えており、ガスポートの前端は、プラテンに対して実質的に平行である。本明細書及び添付の特許請求の範囲において使用される場合、「実質的に垂直(substantially perpendicular)」という表現は、基板の移動の概略方向が、ガスポートの軸に対してほぼ垂直(例えば、約40°から90°)な平面に沿った方向であることを意味する。くさび形状のガスポートにおいては、ガスポートの軸は、ポートの長さに沿って延在する、ポートの幅の中心として画定された線であると見なすことができる。
[0033]図1は、ガス分配アセンブリ120(インジェクタ又はインジェクタアセンブリとも呼ばれる)、及びサセプタアセンブリ140を含む、処理チャンバ100の断面を示す。ガス分配アセンブリ120は、処理チャンバ内で使用される、任意の種類のガス供給デバイスである。ガス分配アセンブリ120は、サセプタアセンブリ140に対面する前面121を含む。前面121は、サセプタアセンブリ140に向けてガスの流れを供給するための、任意の数の開口又は様々な開口を有し得る。ガス分配アセンブリ120は、外周端部124も含む。外周端部124は、図示の実施形態では、実質的に円形である。
[0034]使用されるガス分配アセンブリ120の特定の種類は、使用中の特定の処理に応じて変わる場合がある。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される任意の種類の処理システムと使用することができる。様々な種類のガス分配アセンブリ(例えばシャワーヘッド)が用いることができるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ALDガス分配アセンブリで特に有用であり得る。本明細書及び添付の特許請求の範囲において使用される場合、「実質的に平行(実質的に平行)」という表現は、ガスチャネルの長手方向軸が大体同じ方向に延在することを意味する。ガスチャネルの平行度は若干不完全であってもよい。複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAのチャネル、少なくとも1つの第2の反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は少なくとも1つの真空Vのチャネルを含み得る。第1の反応性ガスAの1つ又は複数のチャネル、第2の反応性ガスBの1つ又は複数のチャネル、及びパージガスPの1つ又は複数のチャネルから流れるガスは、ウエハの上面に向けて方向付けられる。ガス流の一部は、ウエハの表面にわたって水平に移動し、パージガスPの1つ又は複数のチャネルを通って処理領域から出る。基板がガス分配アセンブリの一端から他端まで移動することで、基板は各々の処理ガスに順に曝露され、基板表面上に層が形成されることになる。
[0035]幾つかの実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットで作られた剛性の固定体である。1つ又は複数の実施形態では、ガス分配アセンブリ120は、図2に示すように、複数の個別のセクタ(例えば、インジェクタユニット122)で構成されている。単片体又は複数のセクタ体のいずれであっても、記載された本開示の様々な実施形態と共に使用することができる。
[0036]サセプタアセンブリ140は、ガス分配アセンブリ120の下方に位置付けされる。サセプタアセンブリ140は、上面141を含み、上面141において少なくとも1つの凹部142を含む。サセプタアセンブリ140は、底面143及び端部144も有する。凹部142は、処理される基板60の形状とサイズに応じて、任意の適切な形状とサイズであってもよい。図1に示す実施形態では、凹部142は、ウエハの底を支持する平らな底部を有しているが、凹部の底部は変動し得る。幾つかの実施形態では、凹部の外周端部の周りには、ウエハの外周端部を支持するよう寸法形成された段差領域がある。この段差によって支持されるウエハの外周端部の面積は、例えば、ウエハの厚さ、及びウエハの背面に既にあるフィーチャの存在に応じて変動し得る。
[0037]幾つかの実施形態では、図1に示すように、サセプタアセンブリ140の上面141の凹部142は、凹部142で支持される基板60が、サセプタ140の上面141と実質的に同一平面の上面61を有するように、寸法形成される。本明細書及び添付の特許請求の範囲で使用される場合、「実質的に同一平面」という表現は、ウエハの上面とサセプタアセンブリの上面が、±0.2mm内で同一平面にあることを意味する。幾つかの実施形態では、2つの上面は、±0.15mm、±0.10mm、又は±0.05mm内で同一平面にある。
[0038]図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇、下降、且つ回転させることが可能な支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中央内部にヒータ、又はガスライン、又は電子部品を含み得る。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を広げたり狭めたりして、サセプタアセンブリ140を適切な位置へと移動させる、主たる手段であり得る。さらに、サセプタアセンブリ140は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170が生じるように、サセプタアセンブリ140に対して微調整を行うことができる微調整アクチュエータ162を含み得る。幾つかの実施形態では、間隙170の距離は、約0.1mmから約5.0mmの範囲内、又は約0.1mmから約3.0mmの範囲内、又は約0.1mmから約2.0mmの範囲内、又は約0.2mmから約1.8mmの範囲内、又は約0.3mmから約1.7mmの範囲内、又は約0.4mmから約1.6mmの範囲内、又は約0.5mmから約1.5mmの範囲内、又は約0.6mmから約1.4mmの範囲内、又は約0.7mmから約1.3mmの範囲内、又は約0.8mmから約1.2mmの範囲内、又は約0.9mmから約1.1mmの範囲内であるか、或いは約1mmである。
[0039]図に示す処理チャンバ100は、サセプタアセンブリ140が複数の基板60を保持することができるカルーセル型チャンバである。図2に示すように、ガス分配アセンブリ120は、複数の個別のインジェクタユニット122を含み得る。各インジェクタユニット122は、ウエハがインジェクタユニットの下方で移動するにつれて、ウエハ上に膜を堆積させることが可能である。2つのパイ形状のインジェクタユニット122が、サセプタアセンブリ140のほぼ両側で、サセプタアセンブリ140の上に位置付けされているように示されている。インジェクタユニット122の数は、例示目的のためにのみ示されている。インジェクタユニット122の数は、より多くてもよく、又はより少なくてもよいことを理解されたい。幾つかの実施形態では、サセプタアセンブリ140の形状に適合する形状を形成するのに十分な数のパイ形状のインジェクタユニット122が存在する。幾つかの実施形態では、個々のパイ形状のインジェクタユニット122は、それぞれ、他のインジェクタユニット122のいずれにも影響を与えることなく、個別に移動してもよく、取り外されてもよく、且つ/又は交換されてもよい。例えば、1つのセグメントを上昇させることにより、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60をローディング/アンローディングすることを可能にすることができる。
[0040]複数のウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバを使用することができ、それにより、複数のウエハが同じプロセスの流れを経る。例えば、図3に示すように、処理チャンバ100は、4つのガスインジェクタアセンブリ及び4つの基板60を有する。処理開始時、基板60は、インジェクタアセンブリ30間に位置付けされ得る。サセプタアセンブリ140を45°回転17させることにより、ガス分配アセンブリ120間にある各基板60が、膜堆積のために、ガス分配アセンブリ120の下方の点線円で示されているように、ガス分配アセンブリ120の方に移動させられる。さらに45°回転させると、基板60はインジェクタアセンブリ30から離れるように移動する。空間的ALDインジェクタを用いると、インジェクタアセンブリに対してウエハが移動している間、ウエハ上に膜が堆積される。幾つかの実施形態では、サセプタアセンブリ140は、増分で回転し、基板60がガス分配アセンブリ120の下方で停止することが防止される。基板60の数とガス分配アセンブリ120の数は、同じであってもよく、又は異なっていてもよい。幾つかの実施形態では、ガス分配アセンブリと同じ数のウエハが処理される。1つ又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4つのガス分配アセンブリが存在する場合、4xの処理されるウエハが存在し、ここでxは1以上の整数値である。
[0041]図3に示す処理チャンバ100は、実行可能な一構成を表しているに過ぎず、本開示の範囲を限定すると見なすべきではない。ここでは、処理チャンバ100は、複数のガス分配アセンブリ120を含む。図示している実施形態では、4つのガス分配アセンブリ(インジェクタアセンブリ30とも呼ばれる)があり、処理チャンバ100の周囲で均等に離間している。図示の処理チャンバ100は八角形であるが、当業者であれば、これは1つの可能な形状であり、本開示の範囲を限定すると見なすべきではないことを理解されよう。図示のガス分配アセンブリ120は台形であるが、単一の円形構成要素であってもよく、又は、図2に示すように、複数のパイ形状のセグメントで構成されてもよい。
[0042]図3に示す実施形態は、ロードロックチャンバ180、又は、バッファステーションのような補助チャンバを含む。このチャンバ180は、処理チャンバ100の側面に接続されており、それにより、例えば、基板(基板60とも呼ばれる)が処理チャンバ100にローディングされたり、処理チャンバ100からアンローディングされることが可能となる。基板をサセプタ上に移動させるため、ウエハロボットをチャンバ180内に配置してもよい。
[0043]カルーセル(例えば、サセプタアセンブリ140)の回転は、連続的であってもよく、又は非連続的であってもよい。連続処理においては、ウエハは、常に回転しており、各インジェクタに順に曝露される。非連続処理においては、ウエハは、インジェクタ領域に移動しから停止し、次に、インジェクタ間の領域84に移動してから停止し得る。例えば、ウエハが、インジェクタ間領域から移動し、インジェクタを越えて(又は、インジェクタに隣接するように停止して)、引き続き、次のインジェクタ間領域へと移動し、そこでカルーセルが再度停止し得るように、カルーセルは回転することができる。インジェクタ間で止まることにより、各層の堆積と堆積との間に、追加の処理ステップ(例えば、プラズマへの曝露)を行うための時間が与えられ得る。
[0044]図4は、ガス分配アセンブリ220のセクタ又は一部を示し、これは、インジェクタユニット122と呼ばれ得る。インジェクタユニット122は、個別に使用してもよく、又は他のインジェクタユニットと組み合わせて使用してもよい。例えば、図5に示すように、図4のインジェクタユニット122が4つ組み合わされて、単一のガス分配アセンブリ220が形成される。(分かりやすくするために、4つのインジェクタユニットを分ける線は示されていない。)図4のインジェクタユニット122は、パージガスポート155及び真空ポート145に加えて、第1の反応性ガスポート125と第2の反応性ガスポート135の両方を有しているが、インジェクタユニット122には、これらの構成要素が全て必要なわけではない。
[0045]図4及び図5の両方を参照すると、1つ又は複数の実施形態に係るガス分配アセンブリ220は、複数のセクタ(又はインジェクタユニット122)を備えてもよく、各セクタは同一であるか、又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に位置付けられており、ガス分配アセンブリ220の前面121には、複数の細長いガスポート125、135、145が備え付けられている。複数の細長いガスポート125、135、145、155は、内周端部123に隣接する領域から、ガス分配アセンブリ220の外周端部124に隣接する領域に向かって延在する。図示の複数のガスポートは、第1の反応性ガスポート125、第2の反応性ガスポート135、第1の反応性ガスポート及び第2の反応性ガスポートのそれぞれを取り囲む真空ポート145、並びにパージガスポート155を含む。
[0046]図4又は図5に示す実施形態を参照した場合、ポートが少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると述べたとしても、ポートは、単に内側領域から外側領域まで径方向に延在するだけではないことがある。真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲むので、ポートは、接線方向に延在し得る。図4及び図5に示す実施形態では、くさび形状の反応性ガスポート125、135は、内周領域及び外周領域に隣接する端部を含むすべての端部が、真空ポート145によって囲まれている。
[0047]図4を参照すると、基板が経路127に沿って移動するにつれて、基板表面の各部分が様々な反応性ガスに曝露される。基板は、経路127を辿ると、パージガスポート155、真空ポート145、第1の反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2の反応性ガスポート135、そして、真空ポート145に曝露されるか、又はそれらに「遭遇」する。ゆえに、図4に示す経路127の終点では、基板は、第1の反応性ガスポート125及び第2の反応性ガスポート135からのガス流に曝露されており、層が形成される。図示のインジェクタユニット122は、四分円をなしているが、より大きくてもよく、又はより小さくてもよい。図5に示すガス分配アセンブリ220は、連続的に接続された、4つの図4のインジェクタユニット122の組み合わせであると見なすことができる。
[0048]図4のインジェクタユニット122は、複数の反応性ガスを分離させるガスカーテン150を示す。「ガスカーテン」という用語は、反応性ガスを混合しないように分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、真空ポート145の第1反応性ガスポート125に隣り合った部分、中間のパージガスポート155、及び真空ポート145の第2の反応性ガスポート135に隣り合った部分を含む。このガス流と真空との組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止又は最小化するために使用することができる。
[0049]図5を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせは、複数の処理領域250への分離をもたらす。処理領域は、処理領域250間のガスカーテン150によって、個々の反応性ガスポート125、135の周囲で大まかに画定されている。図5に示す実施形態では、8つの別個の処理領域250が作られており、それらの間に8つの別個のガスカーテン150がある。処理チャンバは、少なくとも2つの処理領域を有し得る。幾つかの実施形態では、少なくとも3、4、5、6、7、8、9、10、11、又は12個の処理領域が存在する。
[0050]処理中、基板は、いかなる時点においても、1つより多い処理領域250に曝露され得る。しかしながら、種々の処理領域に曝露されている部分では、ガスカーテンが2つの部分を分離するようになる。例えば、基板の先端部が第2の反応性ガスポート135を含む処理領域に入った場合、基板の中央部はガスカーテン150の下方に入り、基板の後端部は、第1の反応性ガスポート125を含む処理領域の中に留まることになる。
[0051]ファクトリインターフェース280は、例えば、ロードロックチャンバであり得るが、処理チャンバ100に接続されているように示されている。基板60は、ガス分配アセンブリ220に重なり合うように示されており、参照用のフレームが提供される。基板60は、多くの場合、サセプタアセンブリ上に置かれて、ガス分配アセンブリ120(ガス分配プレートとも呼ばれる)の前面121付近で保持され得る。基板60は、ファクトリインターフェース280を介して、処理チャンバ100の中へとローディングされ、基板支持体又はサセプタアセンブリ上に置かれる(図3参照)。基板60は、第1反応性ガスポート125に隣接して、2つのガスカーテン150a、150bの間に配置されているので、処理領域の中に位置付けされているように示され得る。経路127に沿って基板60を回転させることにより、基板は、処理チャンバ100をぐるりと反時計回りに移動することになる。ゆえに、基板60は、第1の処理領域250aから第8の処理領域250h(その間に全ての処理領域が含まれる)に曝露されることになる。図示のガス分配アセンブリを用いた、処理チャンバを巡る各サイクルでは、基板60は、第1の反応性ガス及び第2の反応性ガスの4つのALDサイクルに曝露されることになる。
[0052]図5のようなバッチ処理装置における従来型のALDシーケンスでは、空間的に分離されたインジェクタからの化学物質A及びBの流れがそれぞれ維持され、それらの間にポンプ/パージセクションがある。この従来型のALDシーケンスには、開始パターン及び終了パターンがあり、それゆえに堆積された膜が不均一となる場合がある。本発明の発明者らは、意外にも、空間的ALDバッチ処理チャンバ内で実施される時間ベースのALDプロセスが、より均一性の高い膜を設けることを発見した。ガスAへの曝露、反応性ガスがない状態、ガスBへの曝露、反応性ガスがない状態という基本プロセスは、基板をインジェクタの下方でさっと動かし、基板を化学物質Aと化学物質Bのそれぞれで浸して、膜に開始パターン及び終了パターンが形成されることを避けるためのものである。発明者らは、意外にも、ターゲット膜厚が薄く(例えば20ALDサイクル未満の厚さ)、開始パターン及び終了パターンがウエハの均一性の性能に大きな影響を与える場合に、時間ベースの手法が特に有益であることを見い出した。発明者らは、本明細書に記載されたSiCN、SiCO、及びSiCONの膜を生成する反応プロセスは、時間領域(time−domain)プロセスでは達成されないことも発見した。処理チャンバをパージするために必要な時間量が、基板表面からの材料の剥離をもたらす。記載された空間的ALDプロセスでは、ガスカーテンの下にある時間が短いため、この剥離は発生しない。
[0053]したがって、本開示の実施形態は、複数の処理領域250a〜250hを有し、各処理領域がガスカーテン150によって隣接領域から分離されている、処理チャンバ100(例えば、図5に示す処理チャンバ)が伴う処理方法を対象としている。処理チャンバ内のガスカーテンと処理領域の数は、ガス流の構成に応じた任意の適切な数であってもよい。図5に示す実施形態は、8つのガスカーテン150と、8つの処理領域250a〜250hとを有している。ガスカーテンの数は、概して、処理領域の数と同じか、又はそれを上回る。例えば、領域250aが反応性ガス流を有さず、ローディングエリアとしての役割を果たすにすぎない場合、処理チャンバは、7つの処理領域と8つのガスカーテンとを有することになる。
[0054]複数の基板60が、図1及び図2に示すサセプタアセンブリ140のような基板支持体上に配置される。複数の基板60は、処理ために処理領域をぐるりと回転する。概して、ガスカーテン150は、チャンバの中に反応性ガスが流れ込んでいない時間帯を含め、処理の間ずっと稼働している(ガスが流れ、真空がオンになっている)。
[0055]第1の反応性ガスAが処理領域250のうちの一又は複数の中に流れ込んでいる間に、不活性ガスは、第1の反応性ガスAが流れ込んでいない任意の処理領域250の中に流し込まれる。例えば、第1の反応性ガスが処理領域250bから処理領域250hまでに流れ込んでいる場合、不活性ガスは、処理領域250aに流れ込むことになる。不活性ガスは、第1の反応性ガスポート125又は第2の反応性ガスポート135を通して流れることができる。
[0056]処理領域内の不活性ガス流は、一定であることもあり、変動することもある。幾つかの実施形態では、反応性ガスは不活性ガスと共流する。不活性ガスは、キャリア及び希釈剤として作用する。反応性ガスの量はキャリアガスに比べて少ないことから、共流によって、隣接した領域間の圧力の差異を少なくし、処理領域間のガス圧のバランスをより容易に取ることができる。
[0057]本開示の幾つかの実施形態は、インジェクタモジュールを対象としている。インジェクタモジュールは、空間的ALD処理チャンバに関連して説明されるが、当業者であれば、このモジュールは、空間的ALDチャンバに限定されるものではなく、ガス流の均一性向上が有益である任意のインジェクタ用途に適用することができることを理解されよう。
[0058]本開示の幾つかの実施形態は、有利には、モジュラー型プラズマ源、すなわち、容易に処理システム内に挿入することができ、処理システムから取り外すことができる源を提供する。かような源は、そのすべての又はほとんどのハードウェアが、原子層堆積処理と同じ圧力レベル(典型的に、1から50トル)で作動し得る。本開示の幾つかの実施形態は、ウエハ表面全体にわたって改善されたイオン流量を有するプラズマ源を提供する。1つ又は複数の実施形態は、有利には、プラズマ源用のブロッカプレートを提供する。このブロッカプレートは、製造が比較的容易であり、多数の小さな孔ではなく、少数の細長いスロット化された開孔を用いる。幾つかの実施形態は、有利には、基板表面に対して可変距離を有する傾斜したブロッカプレートを用いて、基板表面の上方のプラズマ密度の均一性を改善する。本開示の1つ又は複数の実施形態は、直接的なプラズマ曝露から導電材料を保護する誘電スリーブを設けることにより、金属汚染が改善されたプラズマ源を提供する。
[0059]RFホット電極は、ホット電極と接地電極との間の8.5mmの間隙(間隙は、3mmから25mmの範囲内であり得る)内でプラズマを生成する。電極の上部は、厚さのある誘電体(例えば、セラミック)で覆われてもよく、次にそれは接地面で覆われてもよい。RFホット電極及び接地構造体は、アルミニウムのような優良な導電体から作られる。熱膨張に対応するため、2片の誘電体(例えば、セラミック)がRFホット電極の長端部に置かれる。例えば、接地されたアルミニウム片は、間隙がない状態で誘電体に隣接するように置かれる。接地された片は、構造体の中に摺動することができ、バネを用いてセラミックに対して保持することができる。バネは、間隙がまったくない状態で、RFホット電極に対して、接地されたアルミニウム/誘電体の「サンドウィッチ」全体を圧縮し、擬似(spurious)プラズマが生じる可能性を失くすか、又は最小限に抑える。これにより、部品が共に保持されて間隙がなくなるが、熱膨張に起因する摺動は幾らか許容される。
[0060]プラズマ内で生成された活性種にウエハを曝露することは、プラズマが孔の配列を通って流れることを可能にすることにより実現することが多い。孔の寸法は、ウエハ表面に到達する活性種の相対的な量を決定する。「流量が高い」孔、例えば、隣接する孔に比べて荷電粒子の流量が過剰である孔は、処理において不均一を引き起す場合があり、処理がウエハに損傷を与える場合がある。
[0061]ウエハの表面は、ブロッカプレート350の前面から任意の適切な距離にあってもよい。幾つかの実施形態では、ブロッカプレート350の前面とウエハ表面との間の距離は、約2mmから約16mmの範囲内、又は約4mmから約15mmの範囲内、又は約6mmから約14mmの範囲内、又は約8mmから約13mmの範囲内、又は約10mmから約13mmの範囲内、又は約12mmである。
[0062]図6から図14を参照すると、本開示の1つ又は複数の実施形態は、モジュラー型容量結合プラズマ源300を対象としている。本明細書及び添付の特許請求の範囲で使用される場合、「モジュラー型(modular)」という用語は、プラズマ源300を処理チャンバに取り付けるか、又は処理チャンバから取り外すことができることを意味する。モジュラー型の源は、概して、一人で移動させたり、取り外したり、取り付けたりすることができる。
[0063]プラズマ源300は、ブロッカプレート350及びガス空間313を有するハウジング310を含む。ブロッカプレート350は、電気的に接地されており、ホット電極320と連動して間隙316内でプラズマを形成する。ブロッカプレート350は、細長いスロット355が貫通する肉厚を有しており、それにより、間隙316内で点火されたプラズマが、細長いスロット355を通過して、間隙316に対してブロッカプレート350の反対側にある処理領域314の中に入ることが可能となる。ブロッカプレート350の厚さは、任意の適切な厚さ、例えば、約0.5mmから約10mmの範囲内であり得る。間隙316は、例えば、ホット電極320のサイズ又は幅に応じた任意の適切なサイズであり得る。幾つかの実施形態では、間隙316は、約3mmから約25mmの範囲内である。1つ又は複数の実施形態では、間隙316は、約4mmから約20mmの範囲内、又は約5mmから約15mmの範囲内、又は約6mmから約10mmの範囲内、又は約8mmから約9mmの範囲内、又は約8.5mmである。
[0064]ハウジング310は、円形、四角形、又は細長形であってもよく、これは、ブロッカプレート350の前面を見たときに、長軸と短軸があることを意味する。例えば、2つの長い側部と2つの短い側部を有する四角形は、細長い形を形成し、細長い軸が、長い側部間の真ん中で延在する。幾つかの実施形態では、ハウジング310は、くさび形状であり、2つの長い側部と、短い端部と、長い端部を有する。短い端部は尖っていてもよく、短い端部と長い端部のいずれか又はその両方が、直線状又は湾曲状であってもよい。
[0065]ブロッカプレート350は、ハウジング310と電気的に通じている。幾つかの実施形態のブロッカプレート350は、図7で示されているように、内周端部351、外周端部352、フィールド356を画定する第1の側面353と第2の側面354を有する。細長いスロット355は、フィールド356の内部に配置されており、ブロッカプレート350の肉厚357を貫通して延びている。細長いスロット355は、長さL及び幅Wを有する。スロットは、直線状、湾曲状、くさび形状、又は楕円形状であり得る。ここで使用されている直線状のスロットは、端部間の平均距離に対して5%を超えて変動しない距離だけ互いから離間されている、細長い端部を有する。スロットが湾曲状の端部を有する場合、スロットの端部間の距離は、スロットの長さの中間の90%に基づいて決定される。
[0066]細長いスロット355のサイズ及び形状は、ブロッカプレート350及び/又はハウジング310のサイズと形状とともに変動し得る。スロットの幅及び長さは、プラズマ密度の均一性に影響を与え得る。幾つかの実施形態では、細長いスロット355は、約2mmから約20mmの範囲内、又は約3mmから約16mmの範囲内、又は約4mmから約12mmの範囲内の幅Wを有する。発明者らは、意外にも、細長いスロットの側部に隣接するプラズマ密度がスロットの中央部分におけるプラズマ密度よりも大きいことを発見した。スロットの幅を縮小することにより、プラズマ密度を増大させることができる。発明者らは、意外にも、スロットの幅の縮小及びプラズマ密度の増大は、非直線的関係であることを発見した。
[0067]幾つかの実施形態の細長いスロット355の長さLは、ブロッカプレート350の内周端部351と外周端部352との間の距離の約20%から約95%の範囲内である。幾つかの実施形態では、細長いスロット355の長さLは、ブロッカプレート350の内周端部351と外周端部352との間の距離の約30%、40%、50%、60%、70%、又は80%より大きい。
[0068]ブロッカプレート350は、例えば、ハウジング310の形状及びブロッカプレート350に対して基板が横断する経路に応じた任意の適切な形状であってもよい。幾つかの実施形態では、図8で示されるように、ブロッカプレート350は、外周端部352よりも内周端部351においてより狭い幅を有するくさび形状である。幾つかの実施形態では、図8で示されるように、細長いスロット355は、ブロッカプレート350の第1の側面353又は第2の側面354のうちの1つに対して実質的に平行であり、ここでは第1の側面353に平行であるように示されている。本明細書及び添付の特許請求の範囲において使用される場合、上記に関連して使用される「実質的に平行」という表現は、言及された側面に最も近い細長いスロット355の端部の、言及された側面からの距離が、スロットと当該側面との間の平均距離に対して約20%、15%、10%、又は5%を超えずに変動する距離に留まることを意味する。ブロッカプレート350がくさび形状であり、細長いスロット355が四角形であるので、幾何学的にスロットは1つよりも多くの側面に対して平行であることはできない。
[0069]幾つかの実施形態では、細長いスロット355の長さLは、ブロッカプレート350の第1の側面353及び/又は第2の側面354のうちの少なくとも1つに対して実質的に平行である。図9の実施形態では、くさび形状のブロッカプレート350のフィールド356の中心軸357に沿って中央に置かれるくさび形状のスロット355を示す。本実施形態では、細長いスロット355の両側は、第1の側面353又は第2の側面354に対して実質的に平行である。本実施形態のくさび形状のスロット355は、フィールド356の外周端部352よりもフィールド356の内周端部351の近くでより狭い幅を有する。
[0070]幾つかの実施形態では、細長いスロットのいずれの側も、ブロッカプレートの第1の側面又は第2の側面のいずれに対して平行ではない。例えば、長方形の細長いスロットを有する長方形のブロッカプレート350は、細長いスロットの両側が、ブロッカプレートの第1の側面及び第2の側面の両方に対して実質的に平行であり得る。同様に、長方形のスロットがブロッカプレートの幅の中心線から外れた場合、細長いスロットは、ブロッカプレートのいずれの側に対して平行とはならない。
[0071]細長いスロット355の数は変動し得る。幾つかの実施形態では、フィールド356内に第1の細長いスロット355があり、フィールド356内に第2の細長いスロット365がある。図10に示す実施形態では、ブロッカプレート350は、第1の細長いスロット355、第2の細長いスロット365、及び第3の細長いスロット375を含むフィールド356を有する。細長いスロット355、365、375は、それぞれ、くさび形状であるが、くさび形状又は長方形のいずれかであってもよい。
[0072]図11は、フィールド356が第1の細長いスロット355及び第2の細長いスロット365を有する別の実施形態を示す。これらの細長いスロットの両方が、長方形であり、それぞれが、ブロッカプレートの異なる側面に対して実質的に平行である。ここで使用されている「長方形(rectangular)」とは、概して長方形の形状を意味しており、直角がないように端部に丸みを付けることは許容されている。第1の細長いスロット355は、第1の側面353又は第2の側面354のうちの1つに対して実質的に平行であり得、第2の細長いスロット365は、ブロッカプレート350の第1の側面353及び第2の側面354のうちの他方に対して実質的に平行であり得る。図示の実施形態では、第1の細長いスロット255は、第1の側面353に対して実質的に平行であり、第2の細長いスロット365は、第2の側面354に対して実質的に平行である。
[0073]複数の細長いスロットがブロッカプレート350において含まれる場合、それぞれのスロットの長さは、他のスロットの長さとは同じであってもよく、又は異なっていてもよい。図10の実施形態は、ほぼ等しい長さの3つの細長いスロットを有しているが、図11は、第2のスロットより長い第1のスロットを示す。幾つかの実施形態では、第2の細長いスロットは、第1の細長いスロットとは異なる長さである場合、第1の細長いスロットの約20%から約80%の範囲内の長さを有する。
[0074]図12は、3つの細長いスロットを有するブロッカプレート350の別の実施形態を示す。ここでは、第1の細長いスロット355、第2の細長いスロット365、及び第3の細長いスロット375は、それぞれ異なる長さを有する。幾つかの実施形態では、第1の細長いスロット355は、ブロッカプレート350の第1の側面353に対して実質的に平行であり且つ隣接している。第2の細長いスロット365は、ブロッカプレート350の第2の側面354に対して実質的に平行であり且つ隣接している。第2の細長いスロット365の長さは、第1の細長いスロット355の長さの約20%から約80%の範囲内である。第3の細長いスロット375は、第1の細長いスロット355と第2の細長いスロット365との間にあり、第2の細長いスロット365の長さの約20%から約80%の範囲内の長さを有する。第3の細長いスロット375は、第2の側面354に対して実質的に平行であるように示されているが、異なる配向があってもよい。
[0075]直線状のスロットは、内周端部から外周端部への方向において、より均一なプラズマ密度をもたらすことが観察されているが、基板が回転する際には、外周端部付近での曝露が結果的に短くなる。くさび形状のスロットは、外周端部付近での曝露を増大させることが発見されているが、長さに沿ったプラズマ密度の差異がより多くなる場合がある。複数の直線状のスロットを有することは、外周端部付近のプラズマ曝露の増大に有用であるが、より短いスロットの開始点においてプラズマ密度が著しく増大することがある。直線状のスロットの利点は、必要であれば、プラズマ曝露を増大させるために、追加のスロットを使用することができることにある。
[0076]直線状のスロットとくさび形状のスロットとを混合させることにより、プラズマ密度及び均一性を改善することができる。幾つかの実施形態では、第1のスロットは、直線状であり、第2のスロットは、より短くて、反転したくさび形状である。ここで使用されている反転したくさび形状とは、スロットの内側端部がスロットの外側端部より広いことを意味する。理論に縛られないが、直線状のスロットを使用する場合に比べて、第2のスロットの開始点におけるプラズマ密度の増大は少なくなると考えられている。なぜなら、反転したくさび形状の端部は、この位置では、互いからさらに離れているからである。
[0077]ブロッカプレート350は、サセプタアセンブリ140の上面141に対して実質的に平行であってもよく、又は傾斜していてもよい。図13は、サセプタアセンブリ140の上面141に対して、ブロッカプレート350の内周端部351がブロッカプレート350の外周端部352より高い実施形態を示す。ブロッカプレート350が基板60に隣接するように位置付けされていると、内周端部351は、外周端部352より基板60から離れる。理論に縛られるわけではないが、ウエハ表面に対するブロッカプレート350の傾斜は、表面までの距離を関数として、ウエハの上方のプラズマ密度を変化させる。内側端部付近よりも外側端部付近のイオンがより多くウエハに影響を与えることができ、内側端部から外側端部へのプラズマの曝露の均等化に用いることができる。
[0078]図14を参照すると、幾つかの実施形態では、細長いスロット355は、誘電材料386でライニングされる。理論に縛られるわけではないが、スロットを誘電体でライニングすることにより、スロット周囲の金属がプラズマへの直接的な曝露から保護され、金属汚染が改善されると考えられている。これは、スロット355の端部から金属ブロッカプレート350のスパッタリングを防止又は最小化することに役立ち、金属汚染を減らす。誘電材料386は、ブロッカプレートの前面に隣接するプラズマ強度/密度を低下させると考えられている。誘電材料は、化学作用に対応する任意の適切な誘電体又は低スパッタ材料であり得る。
[0079]再び図6を参照すると、プラズマ源300は、RFホット電極320を含む。この電極320は、さらに「ホット電極(hot electrode)」、「RFホット(RF hot)」などと呼ばれている。細長いRFホット電極320は、前面321、背面322、及び細長い側面323を有する。ホット電極320は、細長い軸を画定する第1の端部324及び第2の端部325をさらに含む。間隙316がホット電極320の前面321とブロッカプレート350との間に形成されるように、細長いRFホット電極320は、ブロッカプレート350から離間されている。細長いRFホット電極320は、限定するわけではないが、アルミニウムを含む任意の適切な導電性材料で作製され得る。
[0080]幾つかの実施形態は、RFホット電極320の第1の端部324及び第2の端部325のうちの1つ又は複数と接触する終端誘電体(end dielectric)330を含む。終端誘電体330は、RFホット電極320とプラズマ源300の側壁311との間に位置付けされ、ホット電極320を電気接地から電気的に絶縁する。1つ又は複数の実施形態では、終端誘電体330は、ホット電極320の第1の端部324及び第2の端部325の両方と接触している。終端誘電体330は、限定するわけではないが、セラミックを含む任意の適切な誘電材料から作製され得る。図面で示す終端誘電体330は、L字型であるが、任意の適切な形状を用いてもよい。
[0081]摺動式接地接続340が、RFホット電極320の第1の端部324及び第2の端部325のうちの1つ又は複数、或いは、側面において位置付けされてもよい。摺動式接地接続340が、ホット電極320に対して終端誘電体330の反対側に位置付けされる。摺動式接地接続340は、終端誘電体330によって、RFホット電極320との直接接続から絶縁される。摺動式接地接続340及び終端誘電体330は、協働してガス密封を維持し、ホット電極320の側面周囲でガスが漏れずにホット電極が膨張することを可能にする。摺動式接地接続340は、限定するわけではないが、アルミニウムを含む任意の適切な材料から作製され得る。摺動式接地接続340は、終端誘電体330の側面に対して接地された終端部を設け、それにより、確実に電界の発生を止め、プラズマが終端誘電体330の側面に迷い込む可能性を最小限にする。
[0082]シール箔342は、終端誘電体330の反対側で、摺動式接地接続340において位置付けされてもよい。摺動式接地接続340がブロッカプレート350上で摺動するにつれて、シール箔342は、ハウジング310のブロッカプレート350と摺動式接地接続340との間の電気的接続を形成する。シール箔342は、限定するわけではないが、アルミニウムを含む任意の適切な材料から作製され得る。シール箔342は、前面と摺動式接地接続との間の電気的接続が維持される限り、ホット電極320の伸縮と共に移動し得る薄い可撓性の材料であり得る。
[0083]クランプ面及びナット344が、ホット電極320、終端誘電体330、摺動式接地接続340、及びシール箔342の組み合わせの終端に位置付けされ得る。プラズマ源のサイズと形状に応じて、他のクランプ面及びナットが、この組み合わせの任意の側面にあってもよく、複数がこの組み合わせの各側面に沿ってあってもよい。クランプ面及びナットは、構成要素の組み合わせに内向きの圧力を供給して密封を形成し、それにより、プラズマガスがホット電極320の背面に回ることを可能にし得るような、終端誘電体330と摺動式接地接続340との間の分離を防ぐ。クランプ面及びナット344は、限定するわけではないが、アルミニウム及びステンレス鋼を含む任意の適切な材料から作製され得る。
[0084]幾つかの実施形態では、誘電体スペーサ370は、細長いRFホット電極320の背面322に隣接するように位置付けされる。誘電体スペーサ370は、限定するわけではないが、セラミック材料を含む任意の適切な誘電材料から作製され得る。誘電体スペーサ370は、RFホット電極320とハウジング310の上部との間に非導電性セパレータを設ける。この非導電性セパレータがなければ、RFホット電極320とハウジング310との間の容量性結合により、プラズマがガス空間313内で形成される可能性がある。
[0085]誘電体スペーサ370は、任意の適切な厚さであってもよく、任意の数の個々の層で作られてもよい。図6に示す実施形態では、誘電体スペーサ370は、1つの層から作製されるが、誘電体スペーサ370の総厚を構成する複数の層を使用してもよい。個々の副層は、それぞれ、同じ厚さであってもよく、又は、個々に決められた厚さを有してもよい。
[0086]幾つかの実施形態では、誘電体スペーサ370の上方には、接地プレート380がある。接地プレート380は、ハウジング310の内部に位置付けされ、RFホット電極320に対して誘電体スペーサ370の反対側にある。接地プレート380は、限定するわけではないが、電気接地に接続することができる、アルミニウムを含む、任意の適切な導電性材料から作製され得る。接地プレート380は、RFホット電極320をガス空間313からさらに絶縁し、ガス空間313内で、又は、プラズマの形成が意図されている間隙316以外の領域内でプラズマが形成されることを防止する。
[0087]図面では、接地プレート380が、誘電体スペーサ370、又は、個々の誘電体スペーサ層の総厚とおよそ同じ厚さであるかのように示しているが、これは1つの可能な実施形態であるに過ぎない。接地プレート380の厚さは、プラズマ源の特定の構成に応じた任意の適切な厚さであり得る。幾つかの実施形態では、接地プレートの厚さを選ぶ基準は、例えば、ガス孔の掘削をより容易にするのに十分な薄さであるが、述べられた様々なバネの力に耐えるのに十分な厚さであることにある。さらに、接地プレート380の厚さは、通常は溶接接続される同軸給電部が確実且つ適切に取り付けられるように調整され得る。
[0088]本開示の幾つかの実施形態は、複数の圧縮要素382を含む。圧縮要素382は、接地プレート380の背面381をRFホット電極320の方向に向けて力を方向付ける。この圧縮力により、接地プレート380、誘電体スペーサ370、及びRFホット電極320が互いに押圧され、それぞれ隣接する構成要素同士の間の任意の隙間が最小限になるか、又はなくなる。この圧縮力は、ガスが浮遊プラズマとなり得るRFホット電極である空間内に、ガスが流入することを防ぐのに役立つ。適切な圧縮要素382は、接地プレート380の背面381に特定の力をもたらすように調節又は調整することができる要素であり、限定するわけではないが、バネやネジを含む。
[0089]同軸RF供給ライン360は、細長いハウジング310を通過し、プラズマを間隙316内で生成するためにRFホット電極320用の電力を供給する。同軸RF供給ライン360は、絶縁体366によって分離された外側導体362及び内側導体364を含む。外側導体362は、電気接地と電気的に連通しており、内側導体364は、細長いRFホット電極320と電気的に連通している。本明細書及び添付の特許請求の範囲において使用される場合、「電気的に連通(electrical communication)」という表現は、構成要素同士が、直接的に接続されているか、又は中間構成要素を介して接続されており、電気抵抗が少ないことを意味する。
[0090]同軸RF供給部は、外側導体が接地プレートで終端するように構成され得る。内側導体は、RFホット電極で終端し得る。供給部が大気圧にあるとき、源の中で中間圧力を可能とするために、Oリングを供給構造体の底部に位置付けしてもよい。幾つかの実施形態では、ガスは、同軸供給部の外周周辺の源に供給される。
[0091]ガスがプラズマ容積に達するためには、接地プレート、肉厚セラミック、及びRFホット電極は、貫通孔が穿孔される場合がある。孔のサイズは、孔の中での点火を防ぐほどに小さくてよい。接地プレート及びRFホット電極については、幾つかの実施形態の孔の直径は、<1mm、例えば、約0.5mmである。誘電体の中の高電界は、孔の中の浮遊プラズマの可能性をなくすか、又は最小限にすることに役立ち得る。
[0092]RF供給部は、同軸伝送線の形態であってもよい。外側導体は、接地プレートに接続されるか、又は接地プレートで終端されており、内側導体は、RFホット電極に接続されるか、又は接地プレートで終端されている。接地プレートは、限定するわけではないが、金属ガスケットを含む任意の適切な方法で金属筐体又はハウジングに接続され得る。これは、リターン電流の対称的な形状を確保するのに役立つ。すべてのリターン電流は、供給部の外側導体を昇流し、RFノイズを最小限にする。
[0093]幾つかの実施形態では、RF供給部は、ホットプレートへの対称的なRF供給電流、及び対称的なリターン電流を供給するように設計されている。すべてのリターン電流は、供給部の外側導体を昇流し、RFノイズを最小限にし、操作に対する源の設置の影響を最小限にする。
[0094]本開示の追加の実施形態は、プラズマ源アセンブリのブロッカプレートに隣接する処理チャンバの中に基板を位置付けることを含む方法を対象としている。ブロッカプレートは、本明細書に記載された様々な実施形態のうちの任意のものである。次いで、プラズマは、プラズマ源の中で生成され、基板に向かってブロッカプレート内の1つ又は複数のスロットを通って流れることが可能となる。
[0095]実施例
[0096]様々な幅のスロットを有するブロッカプレートを用いるプラズマアセンブリが、イオン流量の均一性に関して分析された。図15及び図16は、スロットの幅の関数としてのプラズマのイオン流量のグラフを示す。200W、13.5MHzでのアルゴンプラズマが、これらの研究において使用された。19mm、10mm、6mm、4mm、3.5mm、3mm、2.5mm、及び2mmのスロット幅を有するブロッカプレートが分析された。幅広いスロットについては、プラズマ密度のピークがスロットの端部の近くにあることが発見された。図15で示すように、より広いスロット幅では、イオン流量の2つのピークが観察された。図15の2mmスロットで見られるように、スロットの幅が縮小するにつれて、スロット開口の近くのプラズマピークが合体するので、プラズマ密度が増大する。図16に示すさらなる研究では、スロットが約3mmの幅を有すると、イオン流量が、2つのピークから単一のピークに移行したことを示した。
[0097]本開示の幾つかの実施形態は、処理チャンバ内で弓状経路に沿って位置付けされた少なくとも1つの容量結合されたくさび形状プラズマ源100を備えた処理チャンバを対象としている。本明細書及び添付の特許請求の範囲において使用される場合、「弓状経路(arcuate path)」という用語は、円形又は楕円形の経路の少なくとも一部を辿る任意の経路を意味する。弓状経路は、少なくとも約5°、10°、15°、20°の経路の一部に沿った基板の運動を含む。
[0098]本開示の追加の実施形態は、複数の基板を処理する方法を対象としている。複数の基板が処理チャンバ内の基板支持体にロードされる。複数の基板のそれぞれがガス分配アセンブリを通り、基板上に膜を堆積するために、基板支持体は回転させられる。基板を容量系結合されたパイ形状のプラズマ源に隣接するプラズマ領域に移動させるように、基板支持体が回転させられ、プラズマ領域の中で実質的に均一なプラズマが生成される。これは、所定の厚さの膜が形成されるまで反復される。
[0099]カルーセルの回転は、連続的又は非連続的であり得る。連続処理においては、ウエハは、常に回転しており、各インジェクタに順に曝露される。非連続処理においては、ウエハは、インジェクタ領域に移動しから停止し、次に、インジェクタ間の領域に移動してから停止し得る。例えば、ウエハが、インジェクタ間領域から移動し、インジェクタを越えて(又は、インジェクタに隣接するように停止して)、引き続き、次のインジェクタ間領域へと移動し、そこでカルーセルが再度停止し得るように、カルーセルは回転することができる。インジェクタ間で止まることにより、各層の堆積と堆積との間に、追加の処理(例えば、プラズマへの曝露)を行うための時間が与えられ得る。
[0100]プラズマの周波数は、使用されている特定の反応性種に応じて調整され得る。適切な周波数は、限定されないが、400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、及び100MHzを含む。
[0101]1つ又は複数の実施形態によると、基板は、層の形成の前及び/又は後に処理の対象となる。この処理は、同じチャンバの中で、或いは、1つ又は複数の別々の処理チャンバの中で実行され得る。幾つかの実施形態では、基板は、第1チャンバから、さらなる処理のために別個の第2チャンバに移動させられる。基板は、第1のチャンバから別個の処理チャンバへ直接移動させることができるし、或いは、第1のチャンバから1つ又は複数の移送チャンバへ移動させ、それから、別個の処理チャンバへ移動させることができる。したがって、処理装置は、移送ステーションと連通する複数のチャンバを備え得る。この種の装置は「クラスタツール」又は「クラスタシステム」などと呼ばれ得る。
[0102]概して、クラスタツールは、複数のチャンバを備えるモジュールシステムであり、基板の中心検出及び配向、ガス抜き、アニール、堆積、並びに/或いはエッチングを含む様々な機能を実行する。1つ又は複数の実施形態では、クラスタツールは、少なくとも第1のチャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することが可能なロボットを収納することができる。移送チャンバは、典型的には、真空条件に維持され、且つ、1つのチャンバから、別のチャンバ及び/又はクラスタツールの前端に配置されたロードロックチャンバに、基板を往復搬送するための中間ステージを設ける。本開示に適合し得る、周知の2つのクラスタツールは、Centura(登録商標)とEndura(登録商標)であり、両方とも、カリフォルニア州サンタクララのApplied Materials, Inc.から入手可能である。しかしながら、チャンバの正確な構成及び組み合わせは、本明細書に記載された処理の特定のステップを実行する目的で変更してもよい。使用可能な他の処理チャンバは、限定されないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及びその他の基板処理を含む。クラスタツールのチャンバ内でプロセスを実行することにより、後続膜の堆積に先立って酸化を起こすことなく、空気中の不純物による基板の表面汚染を回避することが可能である。
[0103]1つ又は複数の実施形態によると、基板は、継続的に真空条件又は「ロードロック」条件の下にあり、あるチャンバから次のチャンバへと移されるときに周囲空気に曝露されない。したがって、移送チャンバは、真空下にあり、真空圧力下で「ポンプダウン」される。処理チャンバ又は移送チャンバ内には、不活性ガスが存在し得る。幾つかの実施形態では、基板の表面上に層を形成した後、反応物の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。1つ又は複数の実施形態によれば、パージガスが堆積チャンバの出口で噴射され、反応物質が、堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動することが防止される。このようにして、不活性ガスの流れが、チャンバの出口でカーテンを形成する。
[0104]処理中、基板は加熱又は冷却されてもよい。このような加熱又は冷却は、限定されないが、基板支持体(例えば、サセプタ)の温度を変化させることと、加熱又は冷却されたガスを基板表面に流すこととを含む任意の適切な手段によって達成することができる。幾つかの実施形態では、基板支持体は、基板温度を導電的に変化させるよう制御することができるヒータ/クーラを含む。1つ又は複数の実施形態では、基板温度を局所的に変化させるため、使用するガス(反応性ガス又は不活性ガス)が加熱又は冷却される。幾つかの実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラは、基板表面に隣接するようチャンバ内に配置される。
[0105]処理中、基板は、さらに静止していてもよく、又は回転してもよい。回転基板は、連続的に又は不連続なステップで、回転することができる。例えば、基板は、処理全体を通して回転していてもよく、或いは、種々の反応性ガス又はパージガスへの曝露と曝露の間に、少しずつ回転してもよい。処理中に基板を(連続的又は段階的に)回転させることは、例えば、ガス流形状の局所的可変性の影響を最小限に抑え、より均一な堆積又はエッチングを生じさせることに役立つことができる。
[0106]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及びさらなる実施形態を考案してもよい。本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. プラズマ源アセンブリであって、
    ハウジング、
    前記ハウジングと電気的に連通しているブロッカプレートであって、前記ブロッカプレートが、フィールドを画定する内周端部と、外周端部と、第1の側面と、第2の側面と、を有し、細長いスロットが、前記フィールドの内部にあり、前記ブロッカプレートを貫通して延び、前記細長いスロットが、長さと幅を有する、ブロッカプレート、及び
    前記ハウジングの内部のRFホット電極であって、前記RFホット電極が、前面と背面、内周端部と外周端部を有し、前記RFホット電極の前記前面が、間隙を画定するために、前記ブロッカプレートから離間されている、RFホット電極
    を備えているプラズマ源アセンブリ。
  2. 前記細長いスロットの前記長さが、前記ブロッカプレートの前記第1の側面及び/又は前記第2の側面のうちの少なくとも1つに対して実質的に平行である、請求項1に記載のプラズマ源アセンブリ。
  3. 前記細長いスロットが、約2mmから約20mmの範囲内の幅を有する、請求項1に記載のプラズマ源アセンブリ。
  4. 前記細長いスロットの前記長さが、前記内周端部と前記外周端部との間の距離の約50%から約95%の範囲内である、請求項1に記載のプラズマ源アセンブリ。
  5. 前記ブロッカプレートが、前記外周端部よりも前記内周端部においてより狭い幅を有するくさび形状である、請求項1に記載のプラズマ源アセンブリ。
  6. 前記細長いスロットが、前記ブロッカプレートの前記第1の側面又は前記第2の側面のうちの1つに対して平行である、請求項5に記載のプラズマ源アセンブリ。
  7. 前記細長いスロットが、前記フィールドの中心軸に沿って中央に置かれる、請求項5に記載のプラズマ源アセンブリ。
  8. 前記細長いスロットが、前記フィールドの前記外周端部の近くよりも前記フィールドの前記内周端部の近くでより狭い幅を有するくさび形状である、請求項7に記載のプラズマ源アセンブリ。
  9. 前記フィールド内に第1の細長いスロット、且つ前記フィールド内に第2の細長いスロットがある、請求項5に記載のプラズマ源アセンブリ。
  10. 前記第1の細長いスロットが、前記ブロッカプレートの前記第1の側面又は前記第2の側面のうちの一方に対して実質的に平行であり、前記第2の細長いスロットが、前記第1の側面又は前記第2の側面のうちの他方に対して実質的に平行である、請求項9に記載のプラズマ源アセンブリ。
  11. 前記第1の細長いスロットが、前記第2の細長いスロットとは異なる長さを有し、前記第1の細長いスロットが、前記ブロッカプレートの前記第1の側面に対して実質的に平行であり、前記第2の細長いスロットが、前記第1の細長いスロットより短い長さを有し、且つ前記ブロッカプレートの前記第2の側面に対して実質的に平行である、請求項9に記載のプラズマ源アセンブリ。
  12. 前記フィールド内に第1の細長いスロット、前記フィールド内に第2の細長いスロット、且つ前記フィールド内に第3の細長いスロットがある、請求項5に記載のプラズマ源アセンブリ。
  13. 前記第1の細長いスロット、前記第2の細長いスロット、及び前記第3の細長いスロットが、それぞれ、異なる長さを有し、前記第1の細長いスロットが、前記ブロッカプレートの前記第1の側面に対して実質的に平行であり且つ隣接し、前記第2の細長いスロットが、前記ブロッカプレートの前記第2の側面に対して実質的に平行であり且つ隣接し、前記第1の細長いスロットの長さの約50%から約80%の範囲内の長さを有し、前記第3の細長いスロットが、前記第1の細長いスロットと前記第2の細長いスロットとの間にあり、前記第2の細長いスロットの前記長さの約50%から約80%の範囲内の長さを有する、請求項12に記載のプラズマ源アセンブリ。
  14. 基板の隣に位置付けされたときに前記ブロッカプレートの前記内周端部が前記ブロッカプレートの前記外周端部より基板から離れるように、前記内周端部が、前記外周端部より高い、請求項5に記載のプラズマ源アセンブリ。
  15. 前記細長いスロットが、誘電材料でライニングされている、請求項5に記載のプラズマ源アセンブリ。
JP2018512362A 2015-09-11 2016-09-09 スロット付きグランドプレートを有するプラズマモジュール Active JP6892439B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562217705P 2015-09-11 2015-09-11
US62/217,705 2015-09-11
PCT/US2016/050956 WO2017044754A1 (en) 2015-09-11 2016-09-09 Plasma module with slotted ground plate

Publications (2)

Publication Number Publication Date
JP2018534723A true JP2018534723A (ja) 2018-11-22
JP6892439B2 JP6892439B2 (ja) 2021-06-23

Family

ID=58240191

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018512362A Active JP6892439B2 (ja) 2015-09-11 2016-09-09 スロット付きグランドプレートを有するプラズマモジュール

Country Status (6)

Country Link
US (1) US20170076917A1 (ja)
JP (1) JP6892439B2 (ja)
KR (1) KR102589972B1 (ja)
CN (1) CN108028164B (ja)
TW (1) TWI719049B (ja)
WO (1) WO2017044754A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020031177A (ja) * 2018-08-24 2020-02-27 株式会社Screenホールディングス 基板処理装置
JP2021507453A (ja) * 2017-12-15 2021-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 垂直プラズマ源からの改良されたプラズマ暴露のために成形された電極

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
TWI733021B (zh) 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
US11355321B2 (en) 2017-06-22 2022-06-07 Applied Materials, Inc. Plasma reactor with electrode assembly for moving substrate
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
JP2004006211A (ja) * 2001-09-27 2004-01-08 Sekisui Chem Co Ltd プラズマ処理装置
JP2004507861A (ja) * 1999-12-13 2004-03-11 セメクイップ, インコーポレイテッド イオン注入イオン源、システム、および方法
US20120225193A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus And Process For Atomic Layer Deposition
JP2015032486A (ja) * 2013-08-02 2015-02-16 三菱電機株式会社 プラズマ処理装置、プラズマ処理方法、接着方法および複合構造体
WO2015023945A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JP3343629B2 (ja) * 1993-11-30 2002-11-11 アネルバ株式会社 プラズマ処理装置
WO2002043803A1 (en) * 2000-11-30 2002-06-06 Semequip, Inc. Ion implantation system and control method
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6753507B2 (en) * 2001-04-27 2004-06-22 Kyocera Corporation Wafer heating apparatus
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
JP2005142486A (ja) * 2003-11-10 2005-06-02 Pearl Kogyo Co Ltd 整合器
CN101228288B (zh) * 2005-07-26 2011-12-28 Psm有限公司 注射型等离子体处理设备和方法
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR101087445B1 (ko) * 2007-03-27 2011-11-25 세키스이가가쿠 고교가부시키가이샤 플라즈마 처리 장치
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
DE102007037406A1 (de) * 2007-08-08 2009-06-04 Neoplas Gmbh Verfahren und Vorrichtung zur plasmagestützten Oberflächenbehandlung
JP5150217B2 (ja) * 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9082839B2 (en) * 2011-03-14 2015-07-14 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN105765697B (zh) * 2013-11-26 2020-03-17 应用材料公司 用于批处理的倾斜板及其使用方法
JP2017503079A (ja) * 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
JP5938491B1 (ja) * 2015-03-20 2016-06-22 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
JP2004507861A (ja) * 1999-12-13 2004-03-11 セメクイップ, インコーポレイテッド イオン注入イオン源、システム、および方法
JP2004006211A (ja) * 2001-09-27 2004-01-08 Sekisui Chem Co Ltd プラズマ処理装置
US20120225193A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus And Process For Atomic Layer Deposition
JP2015032486A (ja) * 2013-08-02 2015-02-16 三菱電機株式会社 プラズマ処理装置、プラズマ処理方法、接着方法および複合構造体
WO2015023945A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021507453A (ja) * 2017-12-15 2021-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 垂直プラズマ源からの改良されたプラズマ暴露のために成形された電極
JP7069319B2 (ja) 2017-12-15 2022-05-17 アプライド マテリアルズ インコーポレイテッド 垂直プラズマ源からの改良されたプラズマ暴露のために成形された電極
JP2020031177A (ja) * 2018-08-24 2020-02-27 株式会社Screenホールディングス 基板処理装置
JP7105649B2 (ja) 2018-08-24 2022-07-25 株式会社Screenホールディングス 基板処理装置

Also Published As

Publication number Publication date
KR20180040735A (ko) 2018-04-20
KR102589972B1 (ko) 2023-10-13
CN108028164A (zh) 2018-05-11
TWI719049B (zh) 2021-02-21
JP6892439B2 (ja) 2021-06-23
US20170076917A1 (en) 2017-03-16
WO2017044754A1 (en) 2017-03-16
CN108028164B (zh) 2020-12-29
TW201714493A (zh) 2017-04-16

Similar Documents

Publication Publication Date Title
KR102434975B1 (ko) 용량성 커플링된 플라즈마 소스 아래의 워크피스의 균일한 조명을 위한 홀 패턴
US9711330B2 (en) RF multi-feed structure to improve plasma uniformity
JP6892439B2 (ja) スロット付きグランドプレートを有するプラズマモジュール
KR102662705B1 (ko) 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
JP6518725B2 (ja) ラテラルプラズマ/ラジカル源
US11315763B2 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
TWI793218B (zh) 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US20230307213A1 (en) Vertically adjustable plasma source

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190906

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210427

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210527

R150 Certificate of patent or registration of utility model

Ref document number: 6892439

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250