CN110100297B - 射频电容耦合蚀刻反应器 - Google Patents

射频电容耦合蚀刻反应器 Download PDF

Info

Publication number
CN110100297B
CN110100297B CN201780081151.6A CN201780081151A CN110100297B CN 110100297 B CN110100297 B CN 110100297B CN 201780081151 A CN201780081151 A CN 201780081151A CN 110100297 B CN110100297 B CN 110100297B
Authority
CN
China
Prior art keywords
radio frequency
capacitively coupled
compartment
workpiece
etching apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780081151.6A
Other languages
English (en)
Other versions
CN110100297A (zh
Inventor
J.维沙特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Evatec AG
Original Assignee
Evatec AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Evatec AG filed Critical Evatec AG
Publication of CN110100297A publication Critical patent/CN110100297A/zh
Application granted granted Critical
Publication of CN110100297B publication Critical patent/CN110100297B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Abstract

在其中较小电极被主要蚀刻的电容耦合蚀刻反应器中,较大电极的表面通过主体(例如,板)而增大,该主体与较大电极处于相同的电位并浸没在等离子体空间中。在主体中设置等离子体可在其中燃烧的开口的图案,以便控制对放置在较小电极上的基底的蚀刻效果的分布。

Description

射频电容耦合蚀刻反应器
技术领域
本发明涉及双电极电容耦合射频蚀刻反应器或设备,并且基本上遵守Koenig定律,如例如US 6 248 219中所陈述的。
背景技术
在此类反应器或设备中,等离子体空间仅与这样的电极装置处于操作接触中:该电极装置包含第一电极装置和面对第一电极装置的第二电极装置。Koenig定律限定了,邻近于射频等离子体放电在其之间产生的电极表面的时间平均电位的下降比率由提高到四次方的相应电极表面面积的反比来给出。在如所提到的专利中还陈述了Koenig定律有效的条件。从此得到以下技术人员的知识:暴露于射频等离子体的较小电极表面被主要溅射脱落(换句话说,被蚀刻),较大电极表面主要被溅射涂覆。
发明内容
本发明的目的提供所陈述类型的改进的蚀刻反应器或设备。
这通过被构造成用以在预定条件(包括预定压力条件)下进行蚀刻操作的电容耦合射频真空蚀刻设备来实现。这样的设备包括真空接收件,也被陈述为外壳。
在真空接收件中,提供了等离子体空间,所述等离子体空间仅与一个电极装置接触,所述一个电极装置接触包含第一电极装置和面对第一电极装置的第二电极装置。
不导电的或者以电悬浮方式操作的其他构件可与等离子体空间接触,但将不被视为电极。
等离子体仅由两个电极操作及影响的事实对Koenig型反应器是至关重要的。
第一电极装置限定暴露于等离子体空间的第一电极表面。
第二电极装置限定暴露于等离子体空间的第二电极表面,并且包括具有载体表面的工件载体的表面。
第一电极表面大于第二电极表面。
第一电极装置经由匹配箱装置电连接到射频产生器装置的输出装置,并自其来供应。
第一电极装置包括具有周围表面的金属主体,该周围表面自由地暴露于并浸没在等离子体空间中,借此周围表面是第一电极表面的一部分。
当我们陈述主体的周围表面自由地暴露于等离子体空间时,清楚的是,该表面的一些小的且可忽略的部分并非自由地暴露,而是必要地用于建立在等离子体空间内的主体的机械底座。
借助于这样的主体,第一电极装置的有效表面被显著地扩大。
在根据本发明的设备的一个实施例中,金属主体包括贯通开口和/或贯通缝的图案,所述贯通开口和/或贯通缝被定制成使得在操作中等离子体在预定条件下在所述贯通开口中燃烧。
通过这样的贯通开口和/或贯通缝,可控制在离子体空间中的等离子体分布,且因此控制对工件或基底的蚀刻效果的分布。
在根据本发明的设备的一个实施例中,第一电极表面包括沿着第一平面延伸的第一表面区域、沿着第二平面延伸的所述主体的第二表面区域,所述第一和第二表面区域限定间隙,该间隙被定制成使得在操作中等离子体在预定条件下在该间隙中并沿着该间隙燃烧。
如果这样的主体被构造并被安装成恰好形成具有恰好足够大来允许等离子体在其中燃烧但并非显著更大的宽度的间隙,则在不显著增加等离子体空间的总体积且因此增加真空接收件的总体积的情况下,第一电极的有效表面变得显著扩大。
在根据本发明的设备的一个实施例中,第二电极表面包括沿着第三平面延伸的表面区域,并且所述第一、第二及第三平面是平行平面。
因此,反应器在一个实施例中且主要地是平行电极反应器。
在一个实施例中,所陈述的金属主体是板。
在根据本发明的电容耦合射频真空蚀刻设备的一个实施例中,射频产生器装置在输出装置处产生处于特高频率的至少一个第一等离子体供应信号,并在输出装置处产生处于比特高频率更低的高频率的至少一个第二等离子体供应信号,并且第一电极装置经由匹配箱装置电连接到输出装置并且在操作中由第一和第二等离子体供应信号来供电。
至少在蚀刻操作期间,第二电极装置电连接到系统接地片。
由于在等离子体空间中的等离子体的双或甚至多射频频率供应,等离子体密度及因此蚀刻效率显著地增加。然而,反应器或设备的总体构造因以下事实而得到了显著的促进:仅第一电极装置以多射频来供应并且设有匹配箱装置,而第二电极装置保持在电接地电位上。因为是该第二电极装置提供了工件支撑,所以工件装卸(handling)设备的构造由此也得到了显著的简化。
在根据本发明的设备的一个实施例中,第一等离子体供应信号和第二等离子体供应信号经由匹配箱装置在局部不同的接触点处连接到第一电极装置。
在根据本发明的设备的一个实施例中,射频产生器装置经由匹配箱装置在多于一个局部不同的接触点处连接到第一电极装置。
刚刚陈述的两个实施例均可改进在等离子体空间中的等离子体分布,并且尤其是对于蚀刻大的工件或基底,可贡献于减少驻波的发生。
在根据本发明的设备的实施例中,其中,如所陈述的,至少第一特高频率和第二高频率供应信号被施加到第一电极装置,在一个实施例中,有效的是:
10 MHz ≤ fvhf ≤ 400 MHz
10 MHz ≤ fvhf ≤ 300 MHz
20 MHz ≤ fvhf ≤ 300 MHz
20 MHz ≤ fvhf ≤ 100 MHz
以及:
0.01 fvhf ≤ fhf ≤ 0.5 fvhf
0.05 fvhf ≤ fhf ≤ 0.5 fvhf
由此,fhf代表高频率供应信号的频率,并且fvhf代表特高频率供应信号的频率。
在根据本发明的设备的一个实施例中,工件载体可朝向第一电极装置以及从第一电极装置驱动地移动。
在根据本发明的设备的一个实施例中,工件载体不可朝向第一电极装置以及从第一电极装置移动。
在根据本发明的设备的一个实施例中,工件载体不可朝向第一电极装置以及从第一电极装置移动,并且第一电极装置包括用于加载/卸载工件的能够驱动地移动的门。
在根据本发明的设备的一个实施例中,外壳被细分为:泵送(pumping)隔间,该泵送隔间包括泵送端口;以及蚀刻隔间,该蚀刻隔间包括第一电极装置。这些隔间由具有贯通开口和/或贯通缝的图案的遮板(shroud)或边沿隔开,所述贯通开口和/或贯通缝被定制成使得在操作中等离子体在预定蚀刻条件下不会在其中燃烧。
这样的双隔间结构允许通过大的泵送端口实现高泵送效率,不过将蚀刻隔间保持为小的,其恰好适合于特定工件或基底以及电极装置。在不考虑泵送端口要求的情况下,蚀刻隔间的体积可被完全用于电极装置。
在根据本发明的设备的一个实施例中,外壳被细分为:泵送隔间,其包括泵送端口;以及蚀刻隔间,其包括第一电极装置。这些隔间由遮板或边沿隔开。遮板或边沿保持框架,该框架限定至蚀刻隔间的工件通达开口。框架由遮板或边沿借助于相互限定在泵送隔间和蚀刻隔间之间的贯通间隙的众多辐条来保持。所述间隙被定制成使得在操作中等离子体不会在其中燃烧。在另外的实施例中,框架由此由边沿或遮板借助于辐条以这样的方式保持:使得框架可在热负荷下自由地膨胀和缩回。
在根据本发明的设备的一个实施例中,外壳同样被细分为:泵送隔间,其包括泵送端口;以及蚀刻隔间,其包括第一电极装置。这些隔间由具有贯通开口或贯通缝的图案的遮板或边沿隔开,所述贯通开口或贯通缝被定制成使得在操作中等离子体在预定蚀刻条件下不会在其中燃烧。遮板或边沿保持框架,该框架限定至蚀刻隔间的工件通达开口。工件载体可从加载/卸载位置驱动地移动到处理位置中,并且反之亦然。框架在处理位置中用作用于工件载体上的工件或基底的向下保持构件。
技术人员知道,当应用预定处理条件时,真空等离子体何时将在空隙中(例如,在孔中、在缝中、在间隙中)燃烧或将不燃烧。
在根据本发明的设备的一个实施例中,外壳同样被细分为:泵送隔间,其包括泵送端口;以及蚀刻隔间,其包括第一电极装置。这些隔间由遮板或边沿隔开。遮板或边沿保持框架,该框架限定至蚀刻隔间的工件通达开口。工件载体可从加载/卸载位置驱动地移动到处理位置中,并且反之亦然。框架被构造成以便在处理位置中用作用于工件载体上的工件或基底的向下保持构件。遮板或边沿借助于相互限定在泵送隔间和蚀刻隔间之间的贯通间隙的众多辐条来保持框架。贯通间隙被定制成使得在操作中等离子体在预定蚀刻条件下不会在其中燃烧。框架由边沿或遮板借助于辐条来保持,使得它可在热负荷下自由地膨胀和缩回。
在根据本发明的设备的一个实施例中,辐条被构造为可压缩和/或可弯曲的构件,且因此弹性地允许框架自由膨胀和缩回。
在根据本发明的设备的一个实施例中,辐条的至少一部分各自限定长度范围方向并且被安装到框架,使得相应的长度范围方向在相应的辐条固定的位置处以角度α与所述框架上的切线相交,对于此有效的是:
90° > α ≥ 0°。
在根据本发明的设备的一个实施例中,工件载体包括适于接收液体加热或冷却介质的通道装置。
在根据本发明的设备的一个实施例中,外壳被细分为:泵送隔间,其包括泵送端口;以及蚀刻隔间,其包括第一电极装置。这些隔间由具有贯通开口和/或贯通缝的图案的遮板或边沿隔开,所述贯通开口和/或贯通缝被定制成使得在操作中等离子体在预定蚀刻条件下不会在其中燃烧。工件载体可从加载/卸载位置驱动地移动到处理位置中,并且反之亦然。提供向下保持构件,其被定制成在暴露于蚀刻隔间的工件或基底表面的周边处和沿着该周边将工件或基底向下机械地保持在工件载体上且在处理位置中。工件载体包括:通道装置,其适于接收液体加热或冷却介质;以及另外的通道装置,其适于接收热传导气体。该另外的通道装置通过在工件载体的载体表面处的孔口或缝图案来进行排放。
在根据本发明的设备的一个实施例中,在载体表面处进行排放的另外的通道装置以及孔口或缝被定制成以便沿着在所述载体表面与基底或工件之间的间隙的周边建立热传导气体的压力,该压力至少等于在所述间隙的更中心部分中和沿着所述更中心部分的压力。
在根据本发明的设备的一个实施例中,外壳被细分为:泵送隔间,其包括泵送端口;以及蚀刻隔间,其包括第一电极装置。这些隔间由具有贯通开口和/或贯通缝的图案的遮板或边沿隔开,所述贯通开口和/或贯通缝被定制成使得在操作中等离子体在所述预定蚀刻条件下不会在其中燃烧。遮板或边沿是外壳的一部分,抑或包括外壳的一部分以及第一电极装置的一部分。
在根据本发明的设备的一个实施例中,外壳被细分为:泵送隔间,其包括泵送端口;以及蚀刻隔间,其包括第一电极装置。这些隔间由具有贯通开口和/或贯通缝的图案的遮板或边沿隔开。如之前在不同背景下所陈述的,这些开口和/或贯通缝被定制成使得在操作中等离子体在预定蚀刻条件下不会在其中燃烧。工件载体可从加载/卸载位置驱动地移动到处理位置中,并且反之亦然。遮板或边沿在工件支撑件的处理位置中通过不同的、分布的且弹性的接触构件电连接到工件支撑件。
在根据本发明的设备的一个实施例中,如上文所陈述的,射频产生器装置在输出装置处产生处于特高频率的至少一个第一等离子体供应信号,并且同时在输出装置处产生比所述特高频率更低的高频率的至少一个第二等离子体供应信号。第一电极装置经由匹配箱装置电连接到输出装置并且在操作中由第一等离子体供应信号和由第二等离子体供应信号来供电。第二电极装置电连接到系统接地片,并且第一等离子体供应信号的频率为约60 MHz,第二等离子体供应信号的频率为约13 Mhz。
请注意,在其中特高频率供应信号以及高频率供应信号被施加到第一电极装置的所有实施例中,至少在蚀刻操作的时间间隔期间同时施加所述至少两个供应信号。
在根据本发明的设备的一个实施例中,用于蚀刻的预定压力条件是在0.1 Pa和0.5 Pa之间,包括两个界限。
在根据本发明的设备的一个实施例中,自由地暴露于并浸没在等离子体空间中的金属主体的周围表面的第一部分与第一电极表面的面对该第一部分的第二部分之间的间隔为10 mm至40 mm,优选地为20 mm。
在根据本发明的设备的一个实施例中,在第一电极表面的面对工件载体的主要部分和工件载体的表面的主要部分之间的间隔为40 mm至80 mm,包括两个界限,优选地为65mm。
根据本发明的设备的一个实施例被成形为用于矩形或方形基底。
在根据本发明的设备的一个实施例中,射频产生器装置被构造成在操作期间对至少一个射频供应信号进行频率调制和功率调制中的至少一者。
在根据本发明的设备的一个实施例中,存在以下特征中的至少一者:
•射频产生器装置被定制成将特高频率供应信号和高频率供应信号供应给第一电极装置,特高频率供应信号的频率是高频率供应信号的频率的整数倍;
•射频产生器装置被定制成将特高频率供应信号和高频率供应信号供应给第一电极装置并对所陈述的供应信号进行相位锁定(phase lock);
•射频产生器装置被定制成将特高频率供应信号和高频率供应信号供应给第一电极装置并且用于调整所陈述的供应信号的相互定相;
•射频产生器装置被定制成将特高频率供应信号和高频率供应信号供应给第一电极装置并且在操作期间改变所陈述的供应信号的相互定相。
本发明还涉及工件或基底处理车间,其包括根据本发明或本发明的实施例中的一个或多于一个的至少一个电容耦合射频设备。在一个实施例中,车间是直线式(inline)车间,该直线式车间包括卷到卷(coil-to-coil)箔处理车间。在直线式车间中,工件以固定节奏从一个处理站连续运输到下一处理站。在另外的实施例中,处理站是这样的类型:其中,处理站如通过装卸器(handler)(例如,中央装卸器)以可选择的节奏被加载有至少一个工件或基底和卸载至少一个工件或基底。
本发明还涉及蚀刻工件或基底或者制造经蚀刻的工件或基底的方法,所述方法通过使用如下来实现:根据本发明或根据本发明的实施例中的一个或多于一个的电容耦合射频真空蚀刻设备、或者根据本发明的车间。
在根据本发明的方法的一个变型中,在反应气体氛围中执行蚀刻,该反应气体氛围优选地包含氧或者氧和氟。由此,氧以及氟可分别由含氧气体(例如,由N2O)和由含氟气体(如例如,由CF4、SF6、NF3、C4F8等)来提供。
如果不矛盾的话,则可组合电容耦合射频真空设备的实施例中的一个或多于一个。
附图说明
现将通过示例并借助于附图来进一步描述本发明。
附图示出:
图1最示意性地且简化地示出了根据本发明的设备的实施例;
图2同样示意性地且简化地示出了根据本发明且根据从图1中的A-A所见的关于图1的实施例的视图的设备的实施例;
图3以透视图示意性地且简化地示出了图2的实施例的辐条到框架的联结;
图4示意性地且简化地示出了依照根据本发明的设备的实施例的至第一电极装置的叠加供应信号的射频供应;
图5示意性地且简化地示出了依照根据本发明的设备的实施例的到第一电极装置的供应信号的射频供应;
图6示意性地且简化地示出了根据本发明的设备的实施例的边沿或遮板的一部分;
图7示意性地且简化地示出了根据本发明的设备的实施例,其中基底载体或工件载体没有朝向第一电极装置提升或从第一电极装置缩回;
图8最简化地且示意性地示出了根据本发明的设备的实施例;
图9示意性地且简化地示出了扩大在根据本发明的设备的实施例处的第一电极装置的有效表面的特征;
图10示意性地且简化地示出了将根据本发明的设备的实施例的第二电极装置连接到接地电位的一个变型;
图11示意性地且简化地示出了在根据本发明的设备的实施例处实现工件或基底支撑件和遮板或边沿的配合的一个变型;
图12示意性地且简化地示出了如被应用于根据本发明的设备的一个实施例的遮板或边沿构造的原理;
图13示意性地且简化地示出了如被应用于根据本发明且根据借助于图12所解释的原理的设备的一个实施例的遮板或边沿构造的实施例;
图14以沿着图13的线B-B的局部截面表示示意性地且简化地示出了具有基底或工件的可提升且可缩回的工件载体与如图13的边沿或遮板和框架装置的相互作用;
图15示意性地且简化地示出了如在根据本发明的设备的一个实施例中提供的工件载体的局部表示;
图16示意性地且简化地示出了具有一个或多于一个根据本发明的设备的根据本发明的直线式车间;
图17示意性地且简化地示出了根据本发明的车间的另外的实施例;
图18和图19示意性地且简化地示出了根据本发明的车间的另外的实施例。
具体实施方式
贯穿本说明书和权利要求,在以下有效的情况下,我们将频率f陈述为特高频率fvhf
10 MHz ≤ fvhf ≤ 400 MHz
10 MHz ≤ fvhf ≤ 300 MHz
20 MHz ≤ fvhf ≤ 300 MHz
20 MHz ≤ fvhf ≤ 100 MHz。
贯穿本说明书和权利要求,在以下有效的情况下,我们将频率f陈述为高频率fhf
0.01 fvhf ≤ fhf ≤ 0.5 fvhf
0.05 fvhf ≤ fhf ≤ 0.5 fvhf
图1的实施例的且根据本发明的设备1(其也将称为反应器)包括在金属外壳3内的真空室。在外壳3内,泵送隔间5通过具有贯通孔和/或贯通缝11的密集图案的分隔遮板或边沿9来与蚀刻隔间7隔开。下隔间(泵送隔间5)包括大的泵送端口13,泵装置15可连接到该泵送端口。
金属工件支撑件(也称为基底支撑件)19具有:第一金属部分19a,其刚性地安装并且电连接到金属外壳3;以及可移动部分19b,其可相对于部分19a向上及向下驱动地移动,如由双箭头W所示。可移动部分19b承载金属工件载体或基底载体19c。图1中并未示出用于部分19b和19c的驱动器。
部分19c(尤其是在其边缘修饰上部位置中)电联结到接地,例如,经由到部分19a的金属波纹管21。
如示意性地示出的,金属外壳3可在23处电连接到系统接地G连接器,如例如图1中所示。
外壳3还例如在25处电连接到遮板或边沿9,并且例如在27处电连接到部分19a。部分19b例如在28处电连接到基底载体19c。
在蚀刻隔间7内,提供第一电极装置29。第一电极装置29(其提供反应器1的较大电极表面,因此该电极表面被主要溅射涂覆)包括罐形或壶形电极主体31,该电极主体具有板形基部33和框架状侧壁35。罐形或壶形电极主体31靠近外壳3并且沿着外壳3且与外壳3分离地驻留。它可例如经由电隔离层或通过电隔离构件(未示出)安装到外壳3。
第一电极装置29如由线38所示经由匹配箱装置39电连接到供应产生器装置37。由此,根据本发明的一个实施例,电极主体31的基部33例如基本上居中地连接到匹配箱装置39的输出装置的至少两个输出41vhf及41hf。具有频率fvhf的第一等离子体供应信号从输出41vhf供应第一电极装置29,并且叠加在第一等离子体供应信号上的来自输出41hf的具有频率fhf的第二等离子体供应信号供应第一电极装置29。第一和第二等离子体供应信号由供应产生器装置37来产生,该供应产生器装置例如包括用于第一等离子体供应信号的产生器和用于第二等离子体供应信号的第二产生器。产生器装置37具有至匹配箱的输出40vhf和输出40hf
至少在蚀刻处理时间跨度内的时间间隔期间,由此甚至在蚀刻处理时间跨度内的主要时间间隔期间或者甚至在整个蚀刻处理时间跨度期间,将第一和第二等离子体供应信号以叠加的方式同时施加到第一电极装置29。
匹配箱装置39被构造成防止由于等离子体供应信号的叠加而引起vhf等离子体供应信号加载于hf产生器输出,并且反之亦然。如图1中示意性地示出的,在输出40vhf处产生的fvhf上调谐的带阻滤波器43vhf阻止来自输出40vhf的信号加载于输出40hf。类似地,在输出40hf处产生的fhf上调谐的带阻滤波器43hf阻止来自输出40hf的信号加载于输出40vhf。这等同于分别经由带通滤波器来供应电极装置29。
第二电极装置45包括处于其提起位置(b)中的工件支撑件19的工件载体19c,该提起位置是驻留在工件载体19c上的板形工件或基底的蚀刻位置。
如上文所陈述的,工件载体19c处于系统接地电位上。例如,考虑到将基底装卸到反应器和从反应器装卸基底,这显著地简化了反应器的总体构造。当基底因此在接地电位上操作时,电极装置29例如通过如下而在悬浮直流电位上操作:电极装置29通常在匹配箱装置39中通过电容耦合到供应产生器装置37(如由电容器34示意性地示出的)而与直流解耦。
一般来说,并且在该实施例中,较大电极由不同的射频频率来供应,并且基底载体(较小电极)在接地电位上操作。
在接地电位上操作的遮板9在电方面是第二电极装置45的一部分。
射频等离子体PL被限制在以下各者之间:暴露于蚀刻隔间7的工件载体19c的表面19ci、遮板9的上表面9i和电极主体31的内表面31i。
在电极主体31的外表面31o和外壳3之间,没有产生等离子体,这是由于在外壳3和电极主体31的外表面31o之间的间隙相应地被构想成例如使得该间隔在预定操作蚀刻条件下小于暗区距离(dark space distance)的事实所引起的,或者例如由于以介电材料间隔层填充相应的间隙的事实所引起的。
遮板9中的贯通开口或贯通缝11的尺寸被设计得如此小,以至于等离子体在所陈述的条件下不会在其中燃烧。贯通缝比所陈述的暗区距离更窄。贯通孔的直径也小于所陈述的暗区距离。然而,贯通孔口或贯通缝的密度足够高,以确保从蚀刻隔间7到泵送隔间5的非常低的气体流动阻力,从而确保非常有效地泵送出蚀刻掉的材料。因为遮板9中的贯通孔口或缝11的尺寸设计成使得等离子体不会在其中燃烧,所以由这样的孔口和/或缝造成的第二电极装置45的表面增加不会影响电极装置29和45之间的溅射/蚀刻分布。
通过显著扩大第一电极装置29的电极表面,显著地改进了基底47的蚀刻效率。这通过提供远离电极主体31的表面31i的至少一个金属主体50(例如,板形)来实现。例如板形的金属主体50具有总体周围表面50i,该总体周围表面自由地暴露于等离子体空间PL,用于安装和电馈送主体50的一些小区域除外。在基底47的蚀刻过程的所存在的条件下例如通过两个等离子体供应信号而被电射频供应,如在52处示意性地示出的,并且与表面31i间隔开大于暗区距离的距离d1,例如板形的主体50变得完全浸没在射频等离子体中。其总体表面50i是第一电极装置29的电极表面的一部分。
因此,根据本发明,在基本上遵守Koenig定律的反应器中,金属主体被浸没在等离子体空间中并且处于较大电极装置的射频电位。
借助于贯通开口和/或贯通缝54(其尺寸设计成允许等离子体通过其燃烧)的所选择的图案,可调整沿着工件或基底47的蚀刻速率分布,例如,以用于应对沿着基底47的周边的可能影响该分布的边界效应。为这样做,所提出的是,沿着板形主体50的周边的实质范围部分和在其附近提供增加密度的贯通开口和/或沿着所陈述的周边部分和在其附近提供延伸的缝。
通过将在待蚀刻的基底47的表面和板形主体50的表面之间的距离d2适当地选择为足够大,可最小化板形主体50中的贯通孔或贯通缝54对基底47上的蚀刻速率分布所造成的模糊或映照(picturing)。在反应器1的良好实施例中,所陈述的贯通缝54被实现为包括沿着板形主体50的周边且邻近电极主体31的侧壁35的细长缝或甚至由这些细长缝组成。
为了最小化至系统接地G的射频回流阻抗(return impedance),遮板或边沿9例如通过弹性接触构件56(例如,遍及工件或基底载体19c的周边分布)与工件载体19c电接触。由此,在图1的实施例中,射频电流并联地沿着外壳3和沿着工件支撑件19被引导到系统接地G。
气体(尤其是仅惰性工作气体,如例如Ar)由气体馈送管线53馈送到等离子体空间PL中。反应器1也可例如在含氧或者含氧加氟的氛围中用于反应等离子体蚀刻。在这种情况下,相应的反应气体或气体混合物也通过相应的气体馈送管线被馈送到等离子体空间。
由于强大的泵装置15可连接到单独的泵送隔间5(该泵送隔间的尺寸设计可完全独立于具有针对第一电极装置29和第二电极装置45的相应表面范围条件的蚀刻隔间7的尺寸设计)中的大的泵送端口13的事实,并且由于等离子体空间PL通过遮板9中的贯通开口或贯通缝11的密集图案而处于气体流(不是等离子体)连接的事实,实现了从蚀刻隔间7非常有效地泵送移除蚀刻掉的材料。
要注意的是,在本发明的框架中,基底载体19c不需要能够朝向电极装置29向上移动以及从电极装置29向下移动,而是可被设置成固定在例如图1中在(b)处所示的上部位置中。
图2同样示意性地且简化地示出了从图1的A-A所见的穿过图1的实施例的视图的示例。待蚀刻的基底被假定为矩形或方形。因此,遮板9形成矩形或方形装卸开口55(图1)的框架,基底载体19c向着装卸开口55被提升到蚀刻位置(图1中 (b))中或朝向基底加载位置(图1中(a))降低。遮板或边沿9是包括辐条12之间的贯通缝的密集图案的金属板。根据该示例,在遮板11的一个半部中,缝11基本上在矩形或方形遮板的一条对角线的方向上延伸,在遮板或边沿9的另一半部中,缝11基本上在另一条对角线的方向上延伸。在图2中由11a和11b来陈述相应地指向的缝。在限定缝11的辐条12邻接装卸开口55处,端部12e是自由的,如图3中所示,其自由地支撑在陶瓷材料框架57中。缝被加工到板形遮板9中。
由于在该示例中辐条12的端部12e相对于框架57自由地膨胀(如由图3中的双箭头V所陈述的)的事实,因此遮板9和框架57的最暴露于由射频蚀刻过程所造成的热负荷的部分可相对于彼此自由地膨胀,从而避免遮板9的翘曲和/或使陶瓷材料框架57受到应力、翘曲及可能地稍微移位。
缝11的固体材料表面与开放空间表面的比率为约1:1,并且缝的宽度d3在3 mm和10 mm之间。
如目前所使用的良好的操作参数:
氩的操作压力:0.1至.0.5 Pa
fvhf:60 MHz
fhf:13.56 MHz
vhf供应信号的功率<hf供应信号的功率
d1:在所陈述的操作条件下大于暗区距离:d1 ≥ 20mm
d2:在所陈述的操作条件下大于暗区距离:d2 ≥ 65 mm。
如图4中示意性地示出的,叠加的vhf及hf等离子体供应信号可在罐形电极主体31处的局部不同的接触点C1至Cn处和/或在至金属主体50的接触点E1至En处被馈送到第一电极装置29。
如图5中示意性地示出的,由此,vhf等离子体供应信号和hf等离子体供应信号可分别在用于vhf供应信号的一个或多于一个局部不同的点C11至C1n和/或E11至E1n以及用于hf供应信号的C21至C2n和/或E21至E2n处单独地被供应给第一电极装置29、电极主体31和/或金属主体50。仅一个C1x和/或E1x和/或仅一个C2x和/或E2x接触点也是可能的。
电极主体31和/或金属主体50可被细分为相互电隔离的段,每个段被供应有第一和第二等离子体供应信号中的至少一者。
射频产生器装置还可产生可在蚀刻操作期间相对于fvhf进行频率调制和/或可进行功率调制的信号作为vhf第一等离子体供应信号。附加地或替代地,产生器装置可产生可在蚀刻操作期间相对于fhf进行频率调制或可进行功率调制的信号作为hf第二等离子体供应信号。
所选择的fvhf还可以是fhf的整数倍、被相位锁定或没有被相位锁定,并且有可能具有可调整的、可能地随时间变化的相互定相。
处于不同fhf的多于一个第二等离子体供应信号可以以叠加的方式被施加到第一电极装置29。
如图6中示意性地示出的,还可通过以下方式增加第一电极表面31i:由电极主体31的相应部分319实现遮板或边沿9的上部部分,以及由外壳3的遮蔽部分39遮蔽部分319的底表面,以限定在预期的蚀刻条件下比暗区距离更窄的至部分319的间隙。部分319和39两者分别使贯通开口或贯通缝1131和113对齐。显然,还可通过以下方式来显著扩大第一电极表面:使板形主体50与主体31类似成形为罐状或壶状和/或提供多于一个的金属主体50,例如,板形的且以大于暗区距离的距离交错。
在图1的实施例中,工件载体19c动态地操作,即,可向上及向下移动。在其下部装卸位置中,例如通过双向加载锁(load-lock)60来向它加载待蚀刻的工件或基底以及卸载经蚀刻处理的工件或基底。工件载体19c从装卸位置(a)向上移动到蚀刻位置(b)中以蚀刻处理基底,以及从蚀刻位置(b)向下移动到装卸位置(a)中以卸载经蚀刻处理的基底。
在图7中,最示意性地且简化地示出了这样的实施例:其中工件或基底载体19c是固定的,即,不可朝向第一电极装置以及从第一电极装置移动。电极主体31可包括可例如通过驱动器62来打开和关闭的门31d。在关闭位置中,门可以是电极主体31的一部分并且仍然贡献于内表面31i。工件或基底例如经由双向加载锁60被加载在工件或基底载体19c上以及从工件或基底载体19c卸载。
工件或基底载体也可通过加载锁60与相应的基底或工件一起装卸,且因此在任何情况下都不可朝向第一电极装置31提升以及不可从第一电极装置31缩回。
替代地,在没有设置如主体31中的门31d的门的情况下,可沿具有罐状或壶状主体31的第一电极装置下方的路线加载和卸载具有或不具有工件或基底载体的基底或工件。
在图8中,最简化地且示意性地示出了遵守Koenig定律的电容耦合射频真空蚀刻设备。射频等离子体空间被限制在第一较大电极装置829和第二较小电极装置845之间。第一较大电极装置以具有至少一个频率的射频驱动信号且相对于在参考电位上(例如,接地电位上)的金属外壳803来操作。因此,在操作中,在金属外壳803和较大电极装置829之间存在射频电位差。在电极装置829的外表面831o和外壳803壁的内表面之间的间隔d4对于从电极装置829到外壳803的射频功率损失是决定性的。为了最小化这样的射频损失,应将d4选择得尽可能大来最小化所陈述的表面之间的电容,限定间隙832。另一方面,在所陈述的间隙832中的等离子体产生将被排除,这要求d4在所存在的蚀刻条件下小于暗区距离。
为了解决这个问题,在间隙832中设置一个或多于一个电悬浮金属屏830,遍及表面831o和金属外壳803的内表面。通过这样的悬浮屏,所陈述的表面之间的电容被保持为小的,但是由于在所述一个或多个屏的相邻表面和表面831o以及金属外壳803的内表面之间的间隔d5分别被保持为小于暗区距离,所以等离子体不会在间隙832中点燃。如图8中示意性地示出的,可借助于电隔离距离保持器834来安装屏830。
由图8所解释的方面将最小化从大的射频操作电极通过间隙到真空处理接收件的周围金属外壳的射频功率损失,并且由此通过设置沿着间隙和在间隙中并且以电悬浮方式安装的一个或多于一个金属屏来避免在这样的间隙中产生射频等离子体。在这样的屏之间以及在这样的屏、电极表面和金属外壳表面之间的所有子间隙在待在真空外壳中操作的过程的处理参数下比暗区距离更窄。这个方面本身可能被认为有创新性。
在图9中,最简化地且示意性地示出了根据本发明的措施,以扩大根据本发明的电容耦合射频真空蚀刻设备的第一电极装置的有效表面,该电容耦合射频真空蚀刻设备遵守Koenig定律。
射频等离子体反应空间PL被限制在真空外壳903中的较大的第一电极装置929和第二较小电极装置945之间。电极装置929、945相对于彼此由具有一个或多于一个频率的射频供应来馈送。通过在电极装置929处设置至少一个金属主体950,显著扩大了第一较大电极装置829的表面,所述金属主体是例如板形或罐形的并且浸没在等离子体反应空间PL中并在电极装置929的其余部分的电位上操作。
图10简化地且示意性地示出了电容耦合射频真空蚀刻设备,类似于图1的实施例,该电容耦合射频真空蚀刻设备包括具有大的泵送端口1013的泵送隔间1005、以及具有蚀刻隔间1007。类似于图1的实施例,包括工件载体1019c的第二较小电极装置1045在接地电位上操作,并且一旦被提升到蚀刻位置中,就通过分布的弹性接触构件1056电接触具有泵送贯通孔口和/或贯通缝(图10中未示出)的遮板1009。因为遮板1009电连接到金属外壳1003(类似于图1中的遮板9连接到外壳3)并且外壳1003在接地电位上操作,因此工件载体1019c也变得紧紧地连接到接地电位。
与如在图1的背景下所陈述的接地概念相反,根据图10的实施例,射频回流路径被选择为尽可能短,根据在图1的背景下所陈述的接地概念,射频电流回流路径被引导经由一对并联阻抗(即,经由外壳3沿着泵送隔间5和经由工件支撑件19)向下到系统接地G。到系统接地G的系统接地片1023居中地设置在蚀刻隔间1007的顶部处。可由图10的接地概念来代替图1中所示的接地概念,因为在两种情况下,作为第二较小电极装置的工件载体都在接地电位上操作而不在偏压电位上操作。
图11简化地且示意性地示出了设备的实施例,类似于图1的实施例,该设备包括具有大的泵送端口1113的泵送隔间1105、以及具有蚀刻隔间1107。装卸开口1155由具有贯通孔口或贯通缝1111的边沿或遮板1109限制。装卸开口1155由框架1157(在良好的变型中由陶瓷材料制成)界定。
与如图1中所示的工件载体19c和工件或基底47与遮板或边沿9的相互作用相反,依照根据图11的实现形式,具有框架1157的遮板1109用作用于工件或基底1147的止动器,使得在工件载体1119c的蚀刻位置中,工件或基底1147的上表面被定位成与边沿或遮板1109基本上齐平。由此,处于其蚀刻位置中的工件或基底1147变得被牢固地偏压且保持在工件载体1119c和框架1157之间。附加地,图11示出了弹性接触构件1156可机械地联接到工件载体1119c,而根据图1,它们机械地联接到遮板9。两种变型都是可能的,并且如下组合也是可能的:其中弹性接触构件56、1156中的一些机械地联接到可移动工件载体19c、1119c,而一些机械地联接到固定的边沿或遮板9、1109。
尤其是,如果如所陈述的那样在蚀刻操作期间遮板或边沿的边界与工件或基底机械地相互作用,则在处理期间保持这样的相互作用精确恒定是重要的。在图11的实施例中,这样的相互作用在蚀刻处理期间偏压且保持工件或晶圆1147。因此,一般地且尤其是在这种情况下,尽管存在不同材料和结构的相互热膨胀,也必须实现高的机械稳定性,所述相互热膨胀可能会导致框架和遮板的相互移位和/或翘曲且对基底或工件(尤其是薄且大的基底)产生相应的影响。
尽管存在这样的事实,即,在根据图3的实施例中,辐条12的端部并未牢固地联结到框架57的事实很好地考虑到了辐条12和框架57的不同热膨胀,但是该图3的实施例在如图11的情况下不是最佳的,在图11中,框架与工件或晶圆1147机械地相互作用,如在蚀刻处理期间。
图12最示意性地且简化地且以边沿或遮板装置1209上的顶视图示出了用于圆形工件或基底1247的环形框架1257,该圆形工件或基底呈图3中所示的构造的替代构造。它被定制成在蚀刻处理期间利用框架1257作为用于基底1247的机械止动器和向下保持构件。然而,如果如上文所陈述的那样基底载体是固定的且实际上不需要止动器,也可应用它。
图12中仅示出了几根辐条1212。辐条1212的一个端部1212e1如例如通过胶合、焊接、软钎焊、旋拧而机械地固定到框架1257。另一端部1212e2相对于外壳1203被机械地固定,如图12中仅示意性地表示的。所有辐条1212一起形成了具有贯通缝1211的边沿或遮板1209,所述贯通缝足够窄以防止等离子体在其中燃烧。
辐条1212关于框架1257相对于外壳1203的相对膨胀E用作片弹簧。辐条1212(如在1212'处以虚线示意性地示出的,其可成曲线或弯曲)限定长度方向D并且被安装到框架1257,使得长度延伸方向D在辐条固定的位置处以角度α与框架1257上的切线T相交,该角度α不是90°,而是小于90°且下至0°。因为在所陈述的角度范围中,角度α相对于辐条1212的总体片弹簧效应不是关键性的,因此如图12中在1212p处以虚线示意性地表示的,辐条1212可相互平行地布置在框架1257的周边的所选择的区段上。事实上,辐条用作可弯曲的构件。
框架1257通过众多辐条1212而变得被稳定地安装,并且可在热负荷时自由地膨胀和缩回而没有任何翘曲,使得实现工件或基底1247的非常精确的定位及保持。
如图12中在1212"处所示,如果可压缩成“Z字形”或波形,则辐条或辐条中的一些(最一般地是可压缩的或可弯曲的)也可在α=90°下布置。事实上,它们于是用作可压缩构件。
图13以类似于图3的表示的表示来示出了用于大的矩形基底或工件的边沿或遮板1309和框架1357装置,并且所述装置与刚刚在图12的背景下所陈述的一般方法一致地构造。
图14以沿着图13的线B-B的局部截面表示来示出了带有基底或工件1447的可提升且可缩回的工件载体1419c与如图13的边沿或遮板1309和框架1357装置的相互作用。
根据图13和图14,类似于一般图12的教示,框架1357限定方形基底或工件的方形装卸开口1355。
如从图14可看出的并且类似于图12,辐条1312的一个端部1312e1固定到框架1357。在具体示例中,它们在1358处被胶合并且被偏压在框架1357和框架对应物1357a之间,在良好实施例中,所述框架和框架对应物两者均由陶瓷材料(如氧化铝)制成。
另一端部1357e2(见图13)刚性地直接或间接连接到外壳1303。相应地指向的辐条1312的四个区段I至IV被设置成由接合部1358隔开,所述接合部被设置成在相互交叉的位置处垂直于框架切线而没有固定到框架1357/1357a。在良好实施例中,辐条1312、接合部1358和整个遮板或边沿1309的周围框架状部分1359由单一金属板制成,辐条1312之间的缝被加工到该单一金属板中。
如从图14变得显而易见的是,当移动到边缘处理位置1147u中时,工件载体1419c绕过框架对应物1357a的边界,使得工件或基底1447变成朝向框架1357偏压。
必须指出,代替使用基底保持框架(如框架1357)的是,可以以不同的方式将基底或工件牢固地保持在工件载体(如1419c)上,例如,通过使用静电力(因此借助于静电盘)或通过在基底或工件下方建立比用于边缘修饰(edging)过程的真空更小的压力的真空吸盘来实现。在这样的情况下,遮板或边沿的热负荷可以是基本上不太重要的。
在根据本发明的电容耦合射频真空蚀刻设备中,可以可替换地应用不同定制的工件载体或盘。
在一个实施例中,将工件载体冷却。它包括用于液体冷却介质的通道的系统,所述通道为如图14中在1448处以虚线以及图1中在20处也以虚线所陈述的。
在如所陈述的实施例中,真空外壳分隔成泵送隔间和蚀刻隔间,并且基底或工件被牢固地偏压且保持在工件载体上。通过在冷却的工件载体和工件或基底的底表面之间建立热传导气体垫(cushion)来改进对工件或基底的冷却。热传导气体从工件载体的冷却的表面和工件或基底的底表面之间的间隙流动到泵送隔间中,并且仅以可忽略不计的方式流动到蚀刻隔间中。
图15中示意性地示出了在设备的实施例处的这种方法。工件载体1519c借助于用于液体冷却介质的通道1548的系统来冷却。工件载体1519c还包括邻近且沿着其上表面1552的气体通道系统1550。孔口或缝1554将气体通道系统1550连接到工件载体1519c的表面1552。气体通道系统1552连接到热传导气体的气体源(未示出)。气体通道系统1552以及缝或孔口1554被定制成以便沿着工件或基底1547的底表面建立基本上均匀的压力分布,至多沿着工件载体1519c的周边且因此沿着基底或工件1547的周边具有增加的压力。技术人员知道如何通过相应地定制沿着气体通道系统1552的流动阻力的分布和/或孔口或缝1554的分布和/或孔口或缝1554的流动阻力的分布来沿着工件或基底的底表面建立相应的压力分布。
如在工件载体1519c的径向范围r上示意性地定性地示出的,将压力P建立成沿着工件载体的表面而基本上恒定或者如以虚线所示沿着基底或工件1547的周边具有相应的最大値。
在其中遮板或边沿将整个真空接收件或外壳划分成蚀刻隔间和泵送隔间的设备的那些实施例中,如图15中在HG处所示,热传导气体流可离开在基底或工件与工件载体的上表面之间的间隙而仅进入泵送隔间中。此处,蚀刻隔间1507通过遮板或边沿装置1509与泵送隔间1505隔开。在处理工件或基底1547期间,工件或基底1547例如由框架1557来机械地保持,并且基本上将蚀刻隔间密封成与泵送隔间隔绝。因此,关于气体流以及在处理期间,两个隔间仅通过遮板或边沿1509中的孔口或缝连通。因为在冷却的工件载体1519c的上表面与工件或基底1547的底表面之间的间隙在处理期间位于框架1557的泵送隔间1505侧上,因此热传导气体HG离开所陈述的间隙而排他地进入泵送隔间1505中。由此,边缘修饰隔间和边缘修饰过程不受热传导气体HG(如例如He)的影响。
一个或多于一个根据本发明的设备可被利用于所谓的直线式工件或基底处理车间中,其中,在一系列固定的处理站中至少一个工件或至少一批工件从一个处理站运输到下一个处理站。图16中示意性地示出了这样的车间。
一个工件或基底或者一批工件或基底1647沿着包括一连串处理站16011、16012....的处理车间1600来输送。这些处理站中的至少一者在其方面中的至少一者下是根据本发明的设备。处理站16011可以例如是脱气站,处理站16012可以是所陈述的设备。在根据图16的车间1600中,在处理站1601n中的每个处同时处理一个工件或基底或者一批工件或基底,并且将一个工件或基底或者一批工件或基底同时从一个处理站输送到下一处理站。如果我们在图16的直线式车间1600的实施例中将单个工件或基底也陈述为一批(仅具有一个单个工件或基底),则所输送的批以及所处理的批的范围沿着该一连串(处理站)是恒定的。由此,输送路径PC可以是直线的或成曲线的,例如,如在PCF’处以虚线所例示的圆形地弯曲。所提供的且根据本发明设备可被构造成具有可提升的工件载体或具有不可提升的工件载体。如果提供多于一个这样的设备,则一些设备可被构造成具有可提升的工件载体,一些设备具有不可提升的工件载体。它们不需要以相同方式来构造,而是可包括一个或多于一个不同的实施例。
在包括根据本发明的设备中的至少一者并且可能地实现其至少一个实施例的直线式车间1700的图17实施例中,在处理站17011、17012、17013中同时处理的批(可能具有仅一个工件或基底)的数目是不同的。作为示例,脱气站17011同时处理数目为N的批,冷却站17012同时处理不同数目M的批,而根据本发明的设备17011同时处理一批。批输入到处理站和批从处理站输出的平均速率是相等的。由此,所考虑的同时输入到处理站和同时从处理站输出的批的数目可以是不同的。输送路径PC可以是直线的或成曲线的,例如,如在PC’处以虚线所例示的圆形地弯曲。所提供的且根据本发明的设备可被构造成具有可提升的工件载体或具有不可提升的工件载体。如果提供多于一个设备,则一些设备可被构造成具有可提升的工件载体,一些设备具有不可提升的工件载体。它们不需要以相同方式来构造。
图18示出了包括一个或多于一个根据本发明的设备的直线式车间1800的具体示例。
工件或基底是从卷1851退绕并重新缠绕在卷1852上的箔1847。在卷之间,箔1847传送通过包括至少一个根据本发明的设备1801的真空处理车间1800。在该实施例中,设备1801的工件载体是不可提升的。
根据图19,将至少一个根据本发明的设备集成在可被称为群集车间的非直线式车间1900中。多于一个处理站18011、18012等通过中央装卸器1950加载和卸载有一个或多于一个批1947(批可仅包括一个工件或基底)。装卸器1950具有至少一个驱动地可扩展和可缩回的臂1952(该臂具有用于批1947的支撑件1954),并且可绕中心轴线A驱动地旋转。
在包括根据本发明的反应器中的至少一者的这种车间中,被馈送有批1947的处理站的顺序、同时输送的批1947的数目、在相应处理站中同时处理的批的数目、以及在相应处理站中的处理持续时间是可选择的并且是可变化地控制的。所提供的且根据本发明的设备可被构造成具有可提升的工件载体或具有不可提升的工件载体。如果提供多于一个设备,则一些设备可被构造成具有可提升的工件载体,一些设备具有不可提升的工件载体。它们不需要以相同方式来构造。
槪述如所描述的本身被认为可能有创新性的真空设备的另外的方面:
真空设备包括外壳(3)和工件载体,并且其中,所述外壳(3)被细分为:泵送隔间(7),其包括泵送端口(13);以及处理隔间(5),所述隔间(5、7)由具有贯通开口或贯通缝(11)的图案的遮板或边沿(9)隔开,从而在预定处理条件下暴露等离子体,所述工件载体可从加载/卸载位置驱动地移动到处理位置中,并且反之亦然,在所述工件载体(19c)上的工件或基底在所述处理位置中通过向下保持构件(57)并遍及暴露于所述处理隔间的工件或基底表面的周边而被机械地保持(57)在所述工件载体上,所述工件载体(19c)包括适于保持液体加热或冷却介质的通道装置(20)、以及适于保持热传导气体的另外的通道装置,该另外的通道装置通过孔口或缝装置与在所述工件或基底的表面(该表面与暴露于所述处理隔间的所述表面相对)之间的间隙连通。

Claims (45)

1.一种电容耦合射频真空蚀刻设备,所述电容耦合射频真空蚀刻设备被构造成用于在预定条件下进行蚀刻操作并且包括:
•真空接收件,所述真空接收件也被称为外壳;
•在所述真空接收件中,等离子体空间仅与包含第一电极装置和面对所述第一电极装置的第二电极装置的一个电极装置处于操作接触;
•所述第一电极装置限定暴露于所述等离子体空间的第一电极表面;
•所述第二电极装置限定暴露于所述等离子体空间的第二电极表面,并且包括工件载体的表面;
•所述第一电极表面大于所述第二电极表面;
•所述第一电极装置经由匹配箱装置电连接到射频产生器装置的输出装置,所述射频产生器装置产生等离子体供应射频信号;
其中,所述第一电极装置包括具有周围表面的金属主体,所述周围表面自由地暴露于并浸没在所述等离子体空间中,所述周围表面是所述第一电极表面的一部分。
2.根据权利要求1所述的电容耦合射频真空蚀刻设备,其中,所述金属主体包括贯通开口和/或贯通缝的图案,所述贯通开口和/或贯通缝被定制成使得在操作中等离子体在所述预定条件下在所述贯通开口中燃烧。
3.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述第一电极表面包括沿着第一平面延伸的第一表面区域、沿着第二平面延伸的第二表面区域,所述第一和第二表面区域限定间隙,所述间隙被定制成使得在操作中等离子体在所述预定条件下在所述间隙中并沿着所述间隙燃烧。
4.根据权利要求3所述的电容耦合射频真空蚀刻设备,其中,所述第二电极表面包括沿着第三平面延伸的表面区域,并且所述第一、第二及第三平面是平行平面。
5.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述金属主体是板。
6.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中:
•所述射频产生器装置在所述输出装置处产生处于特高频率的至少一个第一等离子体供应信号,并在所述输出装置处产生处于比所述特高频率更低的高频率的至少一个第二等离子体供应信号,
•所述第一电极装置经由所述匹配箱装置电连接到所述输出装置并且在操作中由所述第一等离子体供应信号和由所述第二等离子体供应信号来供电;
•至少在蚀刻操作期间,所述第二电极装置电连接到系统接地片。
7.根据权利要求6所述的电容耦合射频真空蚀刻设备,其中,所述第一等离子体供应信号和所述第二等离子体供应信号在局部不同的接触点处连接到所述第一电极装置。
8.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述射频产生器装置在多于一个局部不同的接触点处连接到所述第一电极装置。
9.根据权利要求6所述的电容耦合射频真空蚀刻设备,其中,有效的是:
10 MHz ≤ fvhf ≤ 400 MHz
以及:
0.01 fvhf ≤ fhf ≤ 0.5 fvhf
fhf是所述高频率的供应信号的频率,并且fvhf是所述特高频率的供应信号的频率。
10.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述工件载体能够朝向所述第一电极装置以及从所述第一电极装置驱动地移动。
11.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述工件载体无法朝向所述第一电极装置以及从所述第一电极装置移动。
12.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述工件载体无法朝向所述第一电极装置以及从所述第一电极装置移动,并且所述第一电极装置包括用于加载/卸载工件的能够驱动地移动的门。
13.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述外壳被细分为:泵送隔间,所述泵送隔间包括泵送端口;以及蚀刻隔间,所述蚀刻隔间包括所述第一电极装置,所述泵送隔间和所述蚀刻隔间由具有贯通开口或贯通缝的图案的遮板或边沿隔开,所述贯通开口或贯通缝被定制成使得在操作中在所述预定条件下等离子体不会在其中燃烧。
14.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,
其中,所述外壳被细分为:泵送隔间,所述泵送隔间包括泵送端口;以及蚀刻隔间,所述蚀刻隔间包括所述第一电极装置,所述泵送隔间和所述蚀刻隔间由遮板或边沿隔开,所述遮板或边沿保持框架,所述框架限定至所述蚀刻隔间的工件通达开口,所述框架由所述遮板或边沿借助于相互限定在所述泵送隔间和所述蚀刻隔间之间的贯通缝的众多辐条来保持,所述贯通缝被定制成使得在操作中在所述预定条件下等离子体不会在其中燃烧,所述框架由所述边沿或遮板借助于所述辐条保持。
15.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述外壳被细分为:泵送隔间,所述泵送隔间包括泵送端口;以及蚀刻隔间,所述蚀刻隔间包括所述第一电极装置,所述泵送隔间和所述蚀刻隔间由具有贯通开口或贯通缝的图案的遮板或边沿隔开,所述贯通开口或贯通缝被定制成使得在操作中在所述预定条件下等离子体不会在其中燃烧,所述遮板或边沿保持框架,所述框架限定至所述蚀刻隔间的工件通达开口,所述框架被安装到所述遮板或边沿,所述工件载体能够从加载/卸载位置驱动地移动到处理位置中,并且反之亦然,所述框架在所述处理位置中用作用于所述工件载体上的工件或基底的向下保持构件。
16.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述外壳被细分为:泵送隔间,所述泵送隔间包括泵送端口;以及蚀刻隔间,所述蚀刻隔间包括所述第一电极装置,所述泵送隔间和所述蚀刻隔间由遮板或边沿隔开,所述遮板或边沿保持框架,所述框架限定至所述蚀刻隔间的工件通达开口,所述工件载体能够从加载/卸载位置驱动地移动到处理位置中,并且反之亦然,所述框架在所述处理位置中用作用于所述工件载体上的工件或基底的向下保持构件,并且所述遮板或边沿借助于相互限定在所述泵送隔间和所述蚀刻隔间之间的贯通缝的众多辐条来联结到所述框架,所述贯通缝被定制成使得在操作中在所述预定条件下等离子体不会在其中燃烧,所述框架借助于所述辐条以这样的方式被安装到所述遮板或边沿,使得所述框架能够在热负荷下自由地膨胀和缩回。
17.根据权利要求14所述的电容耦合射频真空蚀刻设备,其中,所述辐条被构造为可压缩和/或可弯曲的构件。
18.根据权利要求14所述的电容耦合射频真空蚀刻设备,其中,所述辐条各自限定长度范围方向并且被安装到所述框架,使得相应的长度范围方向在相应的辐条固定的位置处以角度α与所述框架上的切线相交,对于此有效的是:
90° > α ≥ 0°。
19.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述工件载体包括适于接收液体加热或冷却介质的通道装置。
20.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述外壳被细分为:泵送隔间,所述泵送隔间包括泵送端口;以及蚀刻隔间,所述蚀刻隔间包括所述第一电极装置,所述泵送隔间和所述蚀刻隔间由具有贯通开口或贯通缝的图案的遮板或边沿隔开,所述贯通开口或贯通缝被定制成使得在操作中在所述预定条件下等离子体不会在其中燃烧,所述工件载体能够从加载/卸载位置驱动地移动到处理位置中,并且反之亦然,向下保持构件被构造成在所述处理位置中在暴露于所述蚀刻隔间的工件或基底表面的周边处和沿着所述周边将所述工件或基底向下保持在所述工件载体上,所述工件载体包括:通道装置,所述通道装置适于接收液体加热或冷却介质;以及另外的通道装置,所述另外的通道装置适于接收热传导气体并且通过在用于所述工件或基底的所述工件载体的载体表面处的孔口和/或缝图案来进行排放。
21.根据权利要求20所述的电容耦合射频真空蚀刻设备,在所述载体表面处进行排放的所述另外的通道装置以及孔口和/或缝的图案被定制成以便沿着在所述载体表面和基底或工件之间的间隙的周边建立热传导气体的压力,所述压力至少等于在所述间隙的更中心部分中和沿着所述更中心部分的压力。
22.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述外壳被细分为:泵送隔间,所述泵送隔间包括泵送端口;以及蚀刻隔间,所述蚀刻隔间包括所述第一电极装置,所述泵送隔间和所述蚀刻隔间由具有贯通开口或贯通缝的图案的遮板或边沿隔开,所述贯通开口或贯通缝被定制成使得在操作中在所述预定条件下等离子体不会在其中燃烧,所述遮板或边沿是所述外壳的一部分,或者包括所述外壳的一部分以及所述第一电极装置的一部分。
23.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述外壳被细分为:泵送隔间,所述泵送隔间包括泵送端口;以及蚀刻隔间,所述蚀刻隔间包括所述第一电极装置,所述泵送隔间和所述蚀刻隔间由具有贯通开口和/或贯通缝的图案的遮板或边沿隔开,所述贯通开口和/或贯通缝被定制成使得在操作中在所述预定条件下等离子体不会在其中燃烧,所述工件载体能够从加载/卸载位置驱动地移动到处理位置中,并且反之亦然,所述遮板或边沿在所述处理位置中通过不同的、分布的且弹性的接触构件电连接到所述工件载体。
24.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,
•所述射频产生器装置在输出装置处产生处于特高频率的至少一个第一等离子体供应信号,并在所述输出装置处产生处于比所述特高频率更低的高频率的至少一个第二等离子体供应信号,
•所述第一电极装置经由匹配箱装置电连接到所述输出装置并且在操作中由所述第一等离子体供应信号和由所述第二等离子体供应信号来供电;
•所述第二电极装置电连接到系统接地片,
•所述产生器装置产生处于60 MHz的所述第一等离子体供应信号、处于13 MHz的所述第二等离子体供应信号。
25.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,用于所述蚀刻的预定压力条件是0.1 Pa至0.5 Pa,包括两个界限。
26.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,在自由地暴露于并浸没在所述等离子体空间中的所述金属主体的所述周围表面的第一部分与所述第一电极表面的面对所述第一部分的第二部分之间的间隔为10 mm至40 mm。
27.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,在所述第一电极表面的且面对所述工件载体的主要部分与所述工件载体的表面的主要部分之间的间隔为40 mm至80 mm。
28.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,所述电容耦合射频真空蚀刻设备被成形为用于矩形或方形基底。
29.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,所述射频产生器装置被构造成在操作期间对到所述第一电极装置的至少一个射频供应信号进行频率调制和功率调制中的至少一者。
30.根据权利要求1或2所述的电容耦合射频真空蚀刻设备,其中,存在以下特征中的至少一者:
•所述射频产生器装置被定制成将特高频率的供应信号和高频率的供应信号供应给所述第一电极装置,所述特高频率的供应信号的频率是所述高频率的供应信号的频率的整数倍;
•所述射频产生器装置被定制成将特高频率的供应信号和高频率的供应信号供应给所述第一电极装置并对所述供应信号进行相位锁定;
•所述射频产生器装置被定制成将特高频率的供应信号和高频率的供应信号供应给所述第一电极装置并且用于调整所述供应信号的相互定相;
•所述射频产生器装置被定制成将特高频率的供应信号和高频率的供应信号供应给所述第一电极装置并且在操作期间改变所述供应信号的相互定相。
31.根据权利要求9所述的电容耦合射频真空蚀刻设备,其中,
10 MHz ≤ fvhf ≤ 300 MHz。
32.根据权利要求9所述的电容耦合射频真空蚀刻设备,其中,
20 MHz ≤ fvhf ≤ 300 MHz。
33.根据权利要求9所述的电容耦合射频真空蚀刻设备,其中,
20 MHz ≤ fvhf ≤ 100 MHz。
34.根据权利要求9所述的电容耦合射频真空蚀刻设备,其中,
0.05 fvhf ≤ fhf ≤ 0.5 fvhf
35.根据权利要求3所述的电容耦合射频真空蚀刻设备,其中,
所述间隙是尽可能窄的。
36.根据权利要求26所述的电容耦合射频真空蚀刻设备,其中,
在自由地暴露于并浸没在所述等离子体空间中的所述金属主体的所述周围表面的第一部分与所述第一电极表面的面对所述第一部分的第二部分之间的间隔为20 mm。
37.根据权利要求27所述的电容耦合射频真空蚀刻设备,其中,在所述第一电极表面的且面对所述工件载体的主要部分与所述工件载体的表面的主要部分之间的间隔为65 mm。
38.一种处理车间,所述处理车间用于处理工件或基底,所述处理车间包括至少一个根据权利要求1至37中任一项的电容耦合射频真空蚀刻设备。
39.根据权利要求38所述的处理车间,其中,所述处理车间为包括卷到卷箔处理车间的直线式车间。
40.根据权利要求38所述的处理车间,其中,处理站能够通过装卸器而以可选择的节奏被加载有至少一个工件或基底和卸载至少一个工件或基底。
41.根据权利要求40所述的处理车间,其中,处理站能够通过中心装卸器而以可选择的节奏被加载有至少一个工件或基底和卸载至少一个工件或基底。
42.一种进行蚀刻处理的方法,所述方法用于蚀刻工件或基底或者制造径蚀刻的工件或基底,所述方法通过使用根据权利要求1至37中的任一项所述的电容耦合射频真空蚀刻设备或者根据权利要求38至41中任一项所述的处理车间来实现。
43.根据权利要求42所述的方法,在反应气体氛围中执行所述蚀刻。
44.根据权利要求43所述的方法,其中,所述反应气体氛围包含氧。
45.根据权利要求43所述的方法,其中,所述反应气体氛围包含氧和氟。
CN201780081151.6A 2016-12-27 2017-10-17 射频电容耦合蚀刻反应器 Active CN110100297B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
CH17502016 2016-12-27
CH01750/16 2016-12-27
CH00279/17 2017-03-08
CH2792017 2017-03-08
PCT/EP2017/076506 WO2018121898A1 (en) 2016-12-27 2017-10-17 Rf capacitive coupled etch reactor

Publications (2)

Publication Number Publication Date
CN110100297A CN110100297A (zh) 2019-08-06
CN110100297B true CN110100297B (zh) 2022-09-13

Family

ID=62706966

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201780081154.XA Active CN110100298B (zh) 2016-12-27 2017-10-17 射频电容耦合双频率蚀刻反应器
CN201780081167.7A Active CN110121760B (zh) 2016-12-27 2017-10-17 真空等离子体工件处理设备
CN201780081151.6A Active CN110100297B (zh) 2016-12-27 2017-10-17 射频电容耦合蚀刻反应器

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201780081154.XA Active CN110100298B (zh) 2016-12-27 2017-10-17 射频电容耦合双频率蚀刻反应器
CN201780081167.7A Active CN110121760B (zh) 2016-12-27 2017-10-17 真空等离子体工件处理设备

Country Status (7)

Country Link
US (3) US11469085B2 (zh)
EP (3) EP3563402B1 (zh)
JP (3) JP7072572B2 (zh)
KR (3) KR102548259B1 (zh)
CN (3) CN110100298B (zh)
TW (3) TWI720264B (zh)
WO (3) WO2018121896A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017207144A1 (en) * 2016-06-03 2017-12-07 Evatec Ag Plasma etch chamber and method of plasma etching
US11469085B2 (en) * 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus
KR102003729B1 (ko) * 2017-11-15 2019-07-29 주식회사 고영테크놀러지 검사 장치
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
US20220130641A1 (en) 2019-02-06 2022-04-28 Evatec Ag Method of producing ions and apparatus
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN114075650A (zh) * 2020-08-18 2022-02-22 群创光电股份有限公司 曲面基板的镀膜装置及其镀膜方法
KR20240043799A (ko) * 2021-08-12 2024-04-03 램 리써치 코포레이션 대칭적인 rf 리턴 경로를 제공하는 프로세스 모듈 챔버

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5006192A (en) * 1988-06-28 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
EP0658918A2 (en) * 1993-12-14 1995-06-21 Nissin Electric Company, Limited Plasma processing apparatus
EP1215710A2 (en) * 2000-12-12 2002-06-19 Canon Kabushiki Kaisha Method and apparatus for vacuum processing, semiconductor device manufacturing method and semiconductor device
CN1853254A (zh) * 2003-11-12 2006-10-25 东京毅力科创株式会社 用于改良的挡板的方法和装置
JP2006332704A (ja) * 2006-08-21 2006-12-07 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
CN100337313C (zh) * 2002-03-29 2007-09-12 东京毅力科创株式会社 等离子体处理装置及其隔板

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3661761A (en) 1969-06-02 1972-05-09 Ibm Rf sputtering apparatus for promoting resputtering of film during deposition
US6248219B1 (en) 1986-06-23 2001-06-19 Unaxis Balzers Aktiengesellschaft Process and apparatus for sputter etching or sputter coating
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JP3021351B2 (ja) * 1995-04-13 2000-03-15 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6817381B2 (en) * 1999-08-24 2004-11-16 Tokyo Electron Limited Gas processing apparatus, gas processing method and integrated valve unit for gas processing apparatus
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
JP2001135626A (ja) * 1999-11-02 2001-05-18 Hitachi Kokusai Electric Inc プラズマcvd装置及びプラズマcvd膜形成方法
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
AU2001279189A1 (en) 2000-08-08 2002-02-18 Tokyo Electron Limited Plasma processing method and apparatus
TWI231955B (en) 2001-10-22 2005-05-01 Unaxis Usa Inc Etching of thin damage sensitive layers using high frequency pulsed plasma
EP1444727A4 (en) 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
WO2005124844A1 (ja) 2004-06-21 2005-12-29 Tokyo Electron Limited プラズマ処理装置及び方法
US20060000552A1 (en) * 2004-07-05 2006-01-05 Tokyo Electron Limited Plasma processing apparatus and cleaning method thereof
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8608851B2 (en) 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
JP4622972B2 (ja) * 2006-09-12 2011-02-02 セイコーエプソン株式会社 プラズマ処理装置およびプラズマ処理方法
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
JP5058909B2 (ja) 2007-08-17 2012-10-24 株式会社半導体エネルギー研究所 プラズマcvd装置及び薄膜トランジスタの作製方法
US7772544B2 (en) * 2007-10-09 2010-08-10 Tokyo Electron Limited Neutral beam source and method for plasma heating
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US20100101727A1 (en) * 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5354422B2 (ja) * 2008-11-18 2013-11-27 株式会社ユーテック ローラ式プラズマcvd装置及びローラ式プラズマ装置
JP5391659B2 (ja) 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
JP5657350B2 (ja) 2010-02-10 2015-01-21 大同工業株式会社 スポーク車輪及びそれに用いられるスポーク
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
CN102918180B (zh) * 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US20140057387A1 (en) * 2010-07-27 2014-02-27 Amtech Systems, Inc. Systems and Methods for Depositing and Charging Solar Cell Layers
JP5916056B2 (ja) 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2012238629A (ja) * 2011-05-10 2012-12-06 Hitachi High-Technologies Corp 熱処理装置
KR101503512B1 (ko) 2011-12-23 2015-03-18 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9187827B2 (en) * 2012-03-05 2015-11-17 Applied Materials, Inc. Substrate support with ceramic insulation
US9245720B2 (en) * 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
US20140051253A1 (en) 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
JP6063181B2 (ja) * 2012-08-29 2017-01-18 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
JP2014056987A (ja) * 2012-09-13 2014-03-27 Tokyo Electron Ltd プラズマ処理装置
JP6078419B2 (ja) 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
JP6388886B2 (ja) * 2013-03-06 2018-09-12 プラズマ − サーム、エルエルシー 半導体ウエハをプラズマ・ダイシングするための方法
SG11201600129XA (en) * 2013-08-09 2016-02-26 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN105899711B (zh) * 2014-01-24 2020-01-07 应用材料公司 在无氧化剂情况下的含硅和氧的膜的沉积
EP3117449B1 (en) 2014-03-14 2020-08-12 Applied Materials, Inc. Smart chamber and smart chamber components
KR20160015510A (ko) * 2014-07-30 2016-02-15 삼성전자주식회사 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
CN109803606B (zh) * 2016-08-12 2021-11-02 卡洛斯.A.哈金 外部可编程磁阀组件和控制器
DE102016218421A1 (de) * 2016-09-26 2018-03-29 Continental Teves Ag & Co. Ohg Bremsgerät für eine hydraulische Kraftfahrzeugbremsanlage
US9947549B1 (en) * 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11469085B2 (en) 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5006192A (en) * 1988-06-28 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
EP0658918A2 (en) * 1993-12-14 1995-06-21 Nissin Electric Company, Limited Plasma processing apparatus
EP1215710A2 (en) * 2000-12-12 2002-06-19 Canon Kabushiki Kaisha Method and apparatus for vacuum processing, semiconductor device manufacturing method and semiconductor device
CN100337313C (zh) * 2002-03-29 2007-09-12 东京毅力科创株式会社 等离子体处理装置及其隔板
CN1853254A (zh) * 2003-11-12 2006-10-25 东京毅力科创株式会社 用于改良的挡板的方法和装置
JP2006332704A (ja) * 2006-08-21 2006-12-07 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置

Also Published As

Publication number Publication date
JP7069159B2 (ja) 2022-05-17
JP2020503667A (ja) 2020-01-30
TWI767953B (zh) 2022-06-21
KR102548259B1 (ko) 2023-06-27
EP3563401A1 (en) 2019-11-06
TW201824327A (zh) 2018-07-01
US11469085B2 (en) 2022-10-11
WO2018121897A1 (en) 2018-07-05
EP3563403B1 (en) 2020-08-05
EP3563403A1 (en) 2019-11-06
JP2020503669A (ja) 2020-01-30
TWI720264B (zh) 2021-03-01
JP2020503670A (ja) 2020-01-30
KR102532562B1 (ko) 2023-05-15
TW201841202A (zh) 2018-11-16
US20190341231A1 (en) 2019-11-07
EP3563401B1 (en) 2022-11-23
JP7072572B2 (ja) 2022-05-20
US11217434B2 (en) 2022-01-04
CN110100298B (zh) 2022-10-04
US11742187B2 (en) 2023-08-29
KR20190101432A (ko) 2019-08-30
CN110121760B (zh) 2022-08-05
EP3563402A1 (en) 2019-11-06
CN110100298A (zh) 2019-08-06
JP6972131B2 (ja) 2021-11-24
US20190341234A1 (en) 2019-11-07
KR102227783B1 (ko) 2021-03-16
CN110100297A (zh) 2019-08-06
WO2018121898A1 (en) 2018-07-05
EP3563402B1 (en) 2021-01-27
TWI802551B (zh) 2023-05-21
CN110121760A (zh) 2019-08-13
WO2018121896A1 (en) 2018-07-05
KR20190099520A (ko) 2019-08-27
KR20190102243A (ko) 2019-09-03
TW201826887A (zh) 2018-07-16
US20200312624A1 (en) 2020-10-01
WO2018121896A9 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
CN110100297B (zh) 射频电容耦合蚀刻反应器
JP5564549B2 (ja) 可変静電容量を有するプラズマ処理システムのための方法および装置
EP1213749B1 (en) Plasma processing apparatus and method of plasma processing
CN214152845U (zh) 等离子体刻蚀设备
CN111863578A (zh) 一种等离子体处理设备
CN114695045A (zh) 等离子体刻蚀设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant