TWI720264B - 真空電漿處理設備、工件或基板處理廠及真空電漿處理工件或基板之方法 - Google Patents

真空電漿處理設備、工件或基板處理廠及真空電漿處理工件或基板之方法 Download PDF

Info

Publication number
TWI720264B
TWI720264B TW106137946A TW106137946A TWI720264B TW I720264 B TWI720264 B TW I720264B TW 106137946 A TW106137946 A TW 106137946A TW 106137946 A TW106137946 A TW 106137946A TW I720264 B TWI720264 B TW I720264B
Authority
TW
Taiwan
Prior art keywords
workpiece
processing equipment
vacuum plasma
vacuum
plasma processing
Prior art date
Application number
TW106137946A
Other languages
English (en)
Other versions
TW201824327A (zh
Inventor
爵根 維查特
約翰尼斯 維查特
Original Assignee
瑞士商艾維太克股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 瑞士商艾維太克股份有限公司 filed Critical 瑞士商艾維太克股份有限公司
Publication of TW201824327A publication Critical patent/TW201824327A/zh
Application granted granted Critical
Publication of TWI720264B publication Critical patent/TWI720264B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Abstract

在一電漿反應器中,藉由一包括一中心框架的結構將一泵送室與一電漿處理室隔開。該框架透過輻條懸掛在該反應器的外殼上。該等輻條在熱負荷下允許該框架的自由膨脹及收縮。該等輻條之間的狹縫不允許電漿在那裡點燃,並且在該處理室與該泵送室之間提供小的流動阻力。該框架可以充當一用於在較小電極上的基板之向下保持構件。

Description

真空電漿處理設備、工件或基板處理廠及真空電漿處理工件或基板之方法
本發明係有關於一種真空電漿工件或基板處理設備。
這樣的設備通常包括透過一個或多個泵送口來進行泵送的真空容器,以便在容器內建立工件或基板的相應處理所需的真空度且在處理(例如,層沉積或蝕刻)期間保持處理氣壓。特別作為蝕刻處理的各種真空處理亦在相應處理期間需要最有效的泵送。有效泵送的要求中之一係整個泵送口的大流量剖面。
提供大的泵送口導致相對大容量的容器。
僅關注真空電漿處理室的體積,通常希望最小化這樣的體積,這只是為了減少抽氣時間間隔。因此,關於用於有效泵送的容器體積及用於真空電漿處理的容器體積,存在一個取捨。
這個取捨已經藉由將容器或外殼細分成一個包括泵送口的泵送室及一個處理室來解決。
兩個隔室的結構分隔線必須允許從處理室至泵送室的高泵送效率。工件或基板因而在其真空電漿處理期間靠近兩個隔室的結構分隔線。所述結構分隔線與 待處理或正在處理的工件或基板的相互錯位可能對工件或基板的處理產生嚴重影響。
本發明之一目的提供一種所述類型的改良型工件或基板處理反應器或設備。
這個可以藉由構造成用以在預定條件(包括預定壓力條件)下進行操作的依據本發明之真空電漿處理設備來實現。
該設備包括一真空外殼,亦稱為容器。
該外殼被細分成一包括一泵送口的泵送室及一真空電漿處理室。該等室由一保持一框架的側板或邊緣部(shroud or rim)來隔開。該框架界定一朝向該真空電漿處理室之工件或基板出入口。該框架被該側板或邊緣部藉由相互界定在該泵送室與該處理室之間的貫穿間隙之多個輻條來保持。該等貫穿間隙被定製成使得在操作中電漿在該等預定真空電漿處理條件下不會在其中燃燒。該框架被該邊緣部或側板藉由該等輻條以下面這樣的方式來保持:該框架可以在熱負荷下自由地膨脹及收縮。因此,可避免界定該工件出入口之該框架的任何彎曲。然而,該雙室結構允許經由一個大的泵送口來實現高泵送效率,但是保持小的處理室,其恰好適合於特定工件或基板以及內部用於電漿產生之電極裝置。該蝕刻室的體積可以在不考慮泵送口要求的情況下足夠地使用於該等電極裝置。在該真空電漿處理設備之一實施例中,該等輻條構造成可壓縮及/或可彎曲構件。
在依據本發明之真空電漿處理設備的一實施例中,該設備係一真空電漿蝕刻設備。
這個設備可以在一反應氣體環境中操作,該反應氣體環境較佳地包含氧氣或氧氣及氟。
在依據本發明之真空電漿處理設備的一實施例中,該設備係一電容耦合射頻真空電漿蝕刻設備。
由此,在另一實施例中,該設備在該真空容器中包括一電漿空間,該電漿空間僅與一由一第一電極裝置及一面對該第一電極裝置的第二電極裝置組成的電極裝置操作接觸。不導電的或者以電浮接方式操作的其他構件可以與該電漿空間接觸,但是不被視為是電極。
該第一電極裝置界定一暴露於該電漿空間的第一電極表面。
該第二電極裝置界定一暴露於該電漿空間且包括一工件或基板載具之表面的第二電極表面。
該第一電極表面大於該第二電極表面,並且該第一電極裝置經由一產生電漿供應射頻信號之匹配箱裝置(match box arrangement)電連接至一射頻產生器裝置的輸出裝置。
在這個實施例中,該設備係一雙電極電容耦合射頻電漿蝕刻反應器或設備且實質上遵守像例如US 6 248 219所述的Koenig定律。在這樣的反應器或設備中,該電漿空間僅與一由一第一電極裝置及一面對該第一電極裝置之第二電極裝置組成的電極裝置操作接觸。Koenig定律定義,相鄰於可在其間產生射頻電漿放電的 電極表面之時間平均電位的下降比率由個別電極表面積的四次方之反比來給出。在所述的專利中亦提及Koenig定律的有效條件。從此得到熟悉該項技藝者的知識是,暴露於射頻電漿的較小電極表面被主要濺射出來(換句話說,被蝕刻),較大電極表面被主要濺鍍。
在依據本發明之真空處理設備的剛才所述實施例之一實施例中,該射頻產生器裝置在該輸出裝置處以特高頻產生至少一第一電漿供應信號,並且在該輸出裝置處以比該特高頻還低的高頻產生至少一第二電漿供應信號。
該第一電極裝置經由該匹配箱裝置電連接至該輸出裝置,並且在操作中由該第一及第二電漿供應信號來供電。
至少在蝕刻操作期間,該第二電極裝置電連接至一系統接地片。
由於在該電漿空間中之電漿的雙或甚至多射頻電源,電漿密度及因而蝕刻效率顯著地增加了。
然而,該反應器或設備的整體結構因以下事實而有顯著受益:只有該第一電極裝置以多射頻來供應且設置有一個匹配箱裝置,而該第二電極裝置保持在接地電位上。因為是這個第二電極裝置提供工件支撐,所以工件裝卸設備的構造從而亦大大地簡化了。
請注意,在施加特高頻供應信號及高頻供應信號至該第一電極裝置的所有實施例中,至少在蝕刻操作的時間間隔期間同時施加至少這兩個供應信號。
在依據本發明的真空處理設備之所述改良實施例的一實施例中,該第一電極裝置包括一金屬體,其周圍表面自由地暴露於該電漿空間,因此,它的周圍表面係該第一電極表面的一部分。
當我們提出該主體的周圍表面自由地暴露於該電漿空間時,清楚的是,那個表面的一些次要的且可忽略的部分沒有自由地暴露,而是必定用以在該電漿空間內建立用於該主體的機械底座。
藉由這樣的主體,該第一電極裝置的有效表面被顯著地擴大。
從而,在依據本發明的真空處理設備之一實施例中,該金屬體包括貫穿孔及/或貫穿狹縫的圖案,該圖案被定製成使得在操作中電漿在該等預定條件下在這些貫穿孔/或貫穿狹縫中燃燒。
藉由這樣的貫穿孔及/或貫穿狹縫,可以控制在該電漿空間中的電漿分佈,並且因此控制對工件或基板之處理效果的分佈。
在依據本發明的真空處理設備之所述實施例的另一實施例中,該第一電極表面包括一沿著一第一平面延伸的第一表面區域、一沿著一第二平面延伸的第二表面區域。該第一及第二表面區域界定一間隙,該間隙被定製成使得在操作中電漿在該等預定條件下在該間隙中且沿著該間隙燃燒,以及其中,在另一實施例中,該間隙係儘可能窄。
從而,在依據本發明的真空處理設備之另一 實施例中,該第二電極表面包括一沿著一第三平面延伸的表面區域,並且該第一、第二及第三平面係平行平面。
因此,在一實施例中,該反應器主要是一平行電極反應器。
如果該主體構造且安裝成僅形成一具有剛好足夠大來允許電漿在其中燃燒但沒有顯著更大之寬度的間隙,則在沒有明顯增加該電漿空間的總體積及從而增加該真空容器的總體積之情況下該第一電極的有效表面變得明顯擴大。
在依據本發明的真空處理設備之另一實施例中,上面所述金屬體係一平板。
在依據本發明的真空處理設備之一實施例中,自由地暴露於且浸沒在該電漿空間中之上面所述的該金屬體之周圍表面的一第一部分與面對該第一部分之該第一電極表面的一第二部分之間的間隔係10mm至40mm,較佳地,係20mm。
在依據本發明的真空處理設備之一實施例中,所述第一電極表面之面對該工件或基板載具的一主要部分與該工件載具之表面的一主要部分之間的間隔係40mm至80mm,其包含兩個界限,較佳地,係65mm。
在依據本發明的真空處理設備之一實施例中,上述第一電漿供應信號及第二電漿供應信號中之至少一者在局部不同接觸點處連接至該第一電極裝置。
這可以改善在該電漿空間中的電漿分佈,以及特別是對於蝕刻大的工件或基板,可以有助於減少駐 波的發生。
在一實施例中,有效範圍係:10MHz
Figure 106137946-A0305-02-0012-1
fvhf
Figure 106137946-A0305-02-0012-2
400MHz
或10MHz
Figure 106137946-A0305-02-0012-3
fvhf
Figure 106137946-A0305-02-0012-43
300MHz
或20MHz
Figure 106137946-A0305-02-0012-5
fvhf
Figure 106137946-A0305-02-0012-6
300MHz
或20MHz
Figure 106137946-A0305-02-0012-7
fvhf
Figure 106137946-A0305-02-0012-8
100MHz
以及:0.01fvhf
Figure 106137946-A0305-02-0012-9
fhf
Figure 106137946-A0305-02-0012-10
0.5fvhf
或0.05fvhf
Figure 106137946-A0305-02-0012-11
fhf
Figure 106137946-A0305-02-0012-12
0.5fvhf
fhf係所述高頻供應信號的頻率,而fvhf係所述特高頻供應信號的頻率。
依據本發明的真空處理設備之一實施例包括一可朝向及離開該框架來驅動之工件載具。
依據本發明的真空處理設備之一實施例包括一在該工件出入口的軸線之方向上可朝向及離開該處理室來驅動之工件載具。
依據本發明的真空處理設備之一實施例包括一在該工件出入口的軸線之方向上不可朝向及離開該處理室來移動之工件載具。
依據本發明且遵守上述Koenig定律的真空處理設備之一實施例包括一在該工件出入口的軸線之方 向上不可朝向及離開該處理室來移動之工件或基板載具,並且該第一電極裝置包括一用於裝載/卸載工件或基板之可驅動門。
依據本發明的真空處理設備之一實施例包括一工件或基板載具,其可從一裝載/卸載位置驅動至一處理位置,反之亦然,藉此該框架在該處理位置中作為一用於在該工件載具上之工件或基板的向下保持構件。
在依據本發明的真空處理設備之一實施例中,該等輻條之至少一部分界定每個輻條長度範圍的方向且安裝至該框架,以致於個別的長度範圍之方向在個別的輻條固定之位置處以角度α與該框架上的切線相交,該角度α的有效範圍係:90°>α
Figure 106137946-A0305-02-0013-13
0°。
在這個實施例中,該等個別輻條係可彎曲構件。
在一實施例中,該等輻條之至少一部分界定長度範圍的方向且安裝至該框架,以致於個別的長度範圍之方向在個別的輻條固定之位置處以角度α與該框架上的切線相交,有效的角度α係:α=90°且這些輻條係可壓縮構件。
依據本發明的真空處理設備之一實施例包括一工件載具,其可從一裝載/卸載位置驅動至一處理位置,反之亦然;一向下保持構件,其構造成在該處理位置中將工件或基板在且沿著暴露於該處理室之工件或基板表面的周圍向下保持在該工件載具上,該工件載具因 而包括一適用於容納液體加熱或冷卻介質的通道裝置及另一適用於容納熱傳導氣體且藉由用於該工件或基板的該工件載具之載具表面上的孔及/或狹縫圖案來進行排放之通道裝置。
從而,在另一實施例中,該另一通道裝置及在該載具表面上進行排放的孔及/或狹縫圖案被定製,以便沿著該載具表面與一基板或工件之間的間隙之周圍建立導熱氣體的壓力,該導熱氣體的壓力至少等於在且沿著該間隙的更中心部分之壓力。
在依據本發明的真空處理設備之一實施例中,該側板或邊緣部係該外殼的一部分或包括該外殼的一部分。
依據本發明的真空處理設備之一實施例包括一工件支撐件,以及該側板或邊緣部在一處理位置中藉由不同的分散彈性接觸構件電連接至該工件支撐件。
在依據本發明的真空處理設備之一實施例中,該設備成形為用於矩形或方形基板。
在依據本發明的真空處理設備之一實施例中,用於處理的該預定壓力條件係在0.1與0.5Pa之間,其包含兩個界限。
本發明進一步係有關於一種工件或基板處理廠,其包括依據本發明或其實施例中之一個以上實施例的至少一個真空電漿處理設備。在一實施例中,該處理廠係一直線式工廠(inline plant),其包括一捲盤間箔片處理廠(coil-to-coil foil processing plant)。在一個直線 式工廠中,工件以固定節奏從一個處理站連續輸送至下一個處理站。在另一實施例中,該處理廠係這樣的類型,其中,處理站藉由一機械手(例如,一中央機械手)以可選擇的節奏裝載及卸載至少一個工件或基板。
本發明進一步係有關於一種藉由使用依據本發明或依據其實施例中之一個以上實施例的真空電漿處理設備或依據本發明之處理廠來對工件或基板進行真空電漿處理或者製造經真空電漿處理的工件或基板之方法。
在依據本發明之方法的一個變型中,所述處理在一反應氣體環境中實施。
如果不矛盾的話,可以組合該真空電漿處理設備的一個以上實施例。
1:設備
3:真空外殼
39:屏蔽部分
5:泵送室
7:處理室
9:側板或邊緣部
9i:側板的上表面
11:貫穿孔及/或貫穿狹縫
11a:狹縫
11b:狹縫
12:輻條
12e:端部
13:泵送口
15:泵裝置
19:金屬工件支撐件
19a:第一金屬部分
19b:可移動部分
19c:金屬工件或基板載具
19ci:工件載具的表面
20:通道系統
21:金屬波紋管
23:系統接地G連接器
29:第一電極裝置
31:電極體
319:部分
31d:門
31i:電極體的內表面
31o:電極體的外表面
33:基部
34:電容器
35:側壁
37:電源產生器裝置
38:線
39:匹配箱裝置
40hf:輸出端
40vhf:輸出端
41hf:輸出端
41vhf:輸出端
43hf:帶阻濾波器
43vhf:帶阻濾波器
45:第二電極裝置
47:基板
50:金屬體
50i:周圍表面
53:氣體供給管線
54:貫穿孔及/或貫穿狹縫
55:裝卸口
56:彈性接觸構件
60:裝載/卸載腔體
62:驅動器
803:金屬外殼
829:第一較大電極裝置
830:電浮接金屬屏
831o:電極裝置的外表面
832:間隙
834:電隔離距離保持器
845:第二較小電極裝置
903:真空外殼
929:第一較大電極裝置
945:第二較小電極裝置
950:金屬體
1003:金屬外殼
1005:泵送室
1007:蝕刻室
1009:側板
1013:泵送口
1019c:工件載具
1023:系統接地片
1045:第二較小電極裝置
1056:接觸構件
1105:泵送室
1107:蝕刻室
1109:邊緣部或側板
1111:貫穿孔或狹縫
1113:泵送口
1119c:工件載具
1147:工件或基板
1155:裝卸口
1156:接觸構件
1157:框架
1203:外殼
1209:邊緣部或側板裝置
1211:貫穿狹縫
1212:輻條
1212':虛線
1212e1:端部
1212e2:端部
1212p:虛線
1247:圓形工件或基板
1257:環形框架
1303:外殼
1309:邊緣部或側板
1312:輻條
1312e1:端部
1355:方形裝卸口
1357:框架
1357a:框架配對件
1357e2:端部
1358:腹板
1359:周圍框架形部分
1419c:工件載具
1447:基板或工件
1447u:邊緣處理位置
1448:通道系統
1505:泵送室
1507:蝕刻室
1509:側板或邊緣部裝置
1519c:工件載具
1547:工件或基板
1548:通道
1550:氣體通道系統
1552:上表面
1554:孔或狹縫
1557:框架
1600:處理工廠
16011:處理站
16012:處理站
1700:直線式工廠
17011:處理站
17012:處理站
17013:處理站
1800:直線式工廠
1801:設備
10811:處理站
10812:處理站
1847:箔片
1851:捲盤
1852:捲盤
1900:非直線式工廠
1947:批次
1950:中央機械手
1952:伸縮臂
1954:支撐件
A:中心軸
C1至Cn:接觸點
C11至C1n:接觸點
C21至C2n:接觸點
d1:距離
d2:距離
d3:寬度
d4:間隔
D:長度方向
E:相對膨脹
E1至En:接觸點
E11至E1n:接觸點
E21至E2n:接觸點
G:系統接地
HG:導熱氣體
p:壓力
PC:輸送路線
PC':虛線
PL:射頻電漿
r:徑向範圍
V:雙箭頭
W:雙箭頭
現在將藉由實例並藉助圖式來進一步描述本發明。
在該等圖式中,第1圖最示意性地且簡化地顯示依據本發明之設備的一個實施例;第2圖又示意性地且簡化地顯示依據本發明且依據第1圖之實施例從第1圖之A-A所看到的視圖之設備的一個實施例;第3圖以透視圖示意性地且簡化地顯示第2圖之實施例的輻條與框架之連接;第4圖示意性地且簡化地顯示依據本發明的設備之 一個實施例的疊加供應信號至第一電極裝置之射頻電源;第5圖示意性地且簡化地顯示依據本發明的設備之一個實施例的供應信號至第一電極裝置之射頻電源;第6圖示意性地且簡化地顯示依據本發明的設備之一個實施例的邊緣部或側板之一部分;第7圖示意性地且簡化地顯示依據本發明的設備之一個實施例,其中,基板或工件載具沒有朝第一電極裝置升起或從第一電極裝置縮回;第8圖最簡化地且示意性地顯示依據本發明的設備的一個實施例;第9圖示意性地且簡化地顯示在依據本發明的設備之一個實施例中將第一電極裝置之有效表面放大的特徵;第10圖示意性地且簡化地顯示將依據本發明的設備之一個實施例的第二電極裝置連接至接地電位的一個變型;第11圖示意性地且簡化地顯示在依據本發明的設備之一個實施例中實現工件或基板支撐件與側板或邊緣部的合作之一個變型;第12圖示意性地且簡化地顯示應用於依據本發明的設備之一個實施例的側板或邊緣部構造之原理;第13圖示意性地且簡化地顯示應用於依據本發明且依據借助於第12圖所說明之原理的設備之一個實施例之側板或邊緣部構造的實施例; 第14圖以沿著第13圖之線B-B的局部剖面圖示意性地且簡化地顯示具有基板或工件的可升起且可縮回的工件載具與像第13圖的邊緣部或側板及框架配置之互動;第15圖示意性地且簡化地顯示在依據本發明的設備之一個實施例中所提供的工件載具之部分圖示;第16圖示意性地且簡化地顯示具有依據本發明的一個以上設備之依據本發明的直線式工廠;圖17示意性地且簡化地顯示依據本發明的工廠之另一個實施例;第18及19圖示意性地且簡化地顯示依據本發明的工廠之另外的實施例。
我們在整個說明書及請求項中將頻率f稱為特高頻fvhf,有效範圍係:10MHz
Figure 106137946-A0305-02-0017-14
fvhf
Figure 106137946-A0305-02-0017-15
400MHz
或10MHz
Figure 106137946-A0305-02-0017-16
fvhf
Figure 106137946-A0305-02-0017-17
300MHz
或20MHz
Figure 106137946-A0305-02-0017-18
fvhf
Figure 106137946-A0305-02-0017-19
300MHz
或20MHz
Figure 106137946-A0305-02-0017-20
fvhf
Figure 106137946-A0305-02-0017-21
100MHz。
如果有效的話,我們在整個說明書及請求項中將頻率f稱為高頻fhf:0.01fvhf
Figure 106137946-A0305-02-0017-22
fhf
Figure 106137946-A0305-02-0017-23
0.5fvhf
或0.05fvhf
Figure 106137946-A0305-02-0018-24
fhf
Figure 106137946-A0305-02-0018-25
0.5fvhf
依據本發明的第1圖之實施例的設備1(亦將稱為反應器)包括在真空外殼3內的真空室。在真空外殼3內,泵送室5藉由具有貫穿孔及/或貫穿狹縫11的緻密圖案之分隔側板或邊緣部9來與處理室7隔開。下室(泵室5)包括一個大的泵送口13,泵裝置15可連接至泵送口13。
金屬工件支撐件(亦稱為基板支撐件)19具有牢牢地安裝且電連接至真空外殼3的第一金屬部件19a及可如雙箭頭W所示相對於部件19a向上及向下驅動的可移動部件19b。可移動部件19b承載金屬工件或基板載具19c。第1圖沒有顯示部件19b及19c的驅動器。
部件19c特別在其邊緣上位置電連接至接地,例如,經由金屬波紋管21電連接至部件19a。
如示意性地顯示那樣,外真空殼3可以在23處電連接至例如第1圖所示的系統接地G連接器。
真空外殼3進一步例如在25處電連接至側板或邊緣部9,並且例如在27處電連接至部件19a。部件19b例如在28處電連接至基板載具19c。
在處理室7內,提供第一電極裝置29。提供反應器1的較大電極表面(因而這個電極表面被主要濺鍍)之第一電極裝置29包括具有板形基部33及框架形側壁35的罐形或鍋形電極體31。罐形或鍋形電極體31靠近真空外殼3且沿著真空外殼3與真空外殼3分離。它可 以例如經由電隔離層或藉由電隔離構件(未顯示)安裝至真空外殼3。
第一電極裝置29如線38所示經由匹配箱裝置39電連接至電源產生器裝置37。由此,依據本發明一個實施例,電極體31的基部33例如大致上居中地連接至匹配箱裝置39的輸出裝置之至少兩個輸出端41vhf及41hf。來自輸出端41vhf的具有頻率fvhf之第一電漿供應信號供應第一電極裝置29,並且疊加在第一電漿供應信號上之來自輸出端41hf的具有頻率fhf之第二電漿供應信號供應第一電極裝置29。第一及第二電漿供應信號由電源產生器裝置37來產生,電源產生器裝置37例如包括用於第一電漿供應信號的產生器及用於第二電漿供應信號的第二產生器。產生器裝置37具有至匹配箱的輸出40vhf及輸出端40hf
至少在蝕刻處理的一段時間內的一個時間間隔期間,因而甚至在蝕刻處理的一段時間內的一個主要時間間隔期間或者甚至在整個蝕刻處理的一段時間期間,將第一及第二電漿供應信號同時施加且疊加至第一電極裝置29。
匹配箱裝置39構造成防止由於電漿供應信號的疊加,vhf電漿供應信號加負荷於hf產生器輸出,反之亦然。如第1圖示意性地顯示,在輸出端40vhf產生的fvhf上達成調諧的帶阻濾波器43vhf阻隔來自輸出端40vhf的信號,以加負荷於輸出端40hf。類似地,在輸出端40hf產生的fhf上達成調諧的帶阻濾波器43hf阻隔來自 輸出端40hf的信號,以加負荷於輸出端40vhf。這相當於分別透過帶通濾波器來供電給電極裝置29。
第二電極裝置45包括處於升起位置(b)的工件支撐件19之工件載具19c,升起位置(b)係位於工件載具19c上的板形工件或基板之蝕刻位置。
如上所述,工件載具19c處於系統接地電位。例如,考慮到將基板送入及送出反應器,這顯著地簡化了反應器的整體結構。當基板因而在接地電位上操作時,電極裝置29在浮接直流電位上操作,例如其中,如電容器34示意性地顯示,通常在匹配箱裝置39中藉由電容耦合至電源產生器裝置37使電極裝置29與直流去耦合。
一般來說,在這個實施例中,較大的電極由不同的射頻頻率來供電,而基板載具(較小的電極)在接地電位上操作。
在接地電位上操作的側板9在電氣方面係第二電極裝置45的一部分。射頻電漿PL被限制在暴露於處理室7之電極體31的內表面31i、側板9的上表面9i及工件載具19c的表面19ci之間。
在電極體31的外表面31o與真空外殼3之間,由於真空外殼3與電極體31的外表面31o之間的間隙被構想成例如使得間隔在預定操作蝕刻條件下小於暗區距離(dark space distance)或者例如由於以介電材料間隔層填充相應的間隙,沒有產生電漿。
側板9中的貫穿孔或貫穿狹縫11的尺寸非常 小,以致於電漿在所述的條件下不會在其中燃燒。貫穿狹縫比所述暗區距離還窄。貫穿孔的直徑亦小於所述暗區距離。然而,貫穿孔或貫穿狹縫的密度足夠高,以確保從處理室7至泵送室5有非常低的氣體流動阻力,從而確保非常有效地泵送出蝕刻掉的材料。因為側板9中之貫穿孔或狹縫11的尺寸規格使得電漿不會在其中燃燒,所以由這樣的孔及/或狹縫所造成的第二電極裝置45之表面增加不會影響電極裝置29及45之間的濺鍍/蝕刻分佈。
藉由顯著地擴大第一電極裝置29的電極表面,顯著改善基板47的蝕刻效率。這可藉由提供遠離電極體31的表面31i之至少一金屬體50(例如,板形)來實現。例如板形的金屬體50具有總體周圍表面50i,其除了用於安裝及供電金屬體50的一些小區域以外,自由地暴露於電漿空間PL。例如,像在52處所示意性地顯示,由兩個電漿供應信號來供電且與表面31i相隔有在基板47的蝕刻製程之主要條件下大於暗區距離之距離d1,例如板形金屬體50完全浸沒在射頻電漿中。其整個表面50i係第一電極裝置29的電極表面之一部分。
因此,依據本發明,在實質上遵守Koenig定律的反應器中之較大電極裝置的射頻電位下將金屬體浸沒在電漿空間中。
藉由具有允許電漿在其中燃燒的尺寸規格之貫穿孔及/或貫穿狹縫54的選定圖案,可以調整沿著工件或基板47的蝕刻速率分佈,例如以便處理可能沿基板 47的周圍影響該分佈的邊界效應。為此,建議沿著板形金屬體50的周圍之大量部分且在其附近提供高密度的貫穿孔及/或沿著所述周圍部分且在其附近提供延伸狹縫。
藉由適當地選擇待蝕刻之基板47的表面與板形金屬體50的表面之間的距離d2為足夠大,可以最小化板形金屬體50中之貫穿孔或貫穿狹縫54對基板47上的蝕刻速率分佈所造成的模糊或映照。在反應器1的一個好的實施例中,實現所述的貫穿狹縫54,其包括沿著板形金屬體50之周圍的鄰近電極體31之側壁35的細長狹縫或甚至由這些細長狹縫所構成。
為了最小化對系統接地G的射頻回流阻抗,側板或邊緣部9例如藉由全部沿著工件或基板載具19c的圓周分佈之彈性接觸構件56與工件載具19c電接觸。因此,在第1圖的實施例中,射頻電流同時沿著真空外殼3且沿著工件支撐件19被引導至系統接地G。
氣體(特別是只有像例如Ar的惰性工作氣體)由氣體供給管線53供給至電漿空間PL中。反應器1亦可以例如在含氧氣或氧氣加氟的氣體中用於反應式電漿蝕刻。在這種情況下,相應的反應性氣體或氣體混合物亦經由相應的氣體供給管線供給至電漿空間中。
由於強大的泵裝置15可以連接至相應的泵送室5中之大的泵送口13之事實(泵送室5的尺寸規格可以完全獨立於具有用於第一及第二電極裝置29、45的相應表面範圍條件之處理室7的尺寸規格),並且由於電 漿空間PL憑藉側板9中的貫穿孔或貫穿狹縫11的密集圖案而處於氣流(不是電漿)連接的事實,實現了從處理室7高效地泵送出蝕刻掉的材料。
要注意的是,在本發明的框架中,基板載具19c沒有必要朝向及離開電極裝置29來上下移動,而是可以設置成固定於例如第1圖之(b)所示的上升位置。
第2圖又示意性地且簡化地顯示從第1圖的A-A所看到的通過第1圖之實施例的視圖之實例。待蝕刻的基板被假定為矩形或方形。因此,側板9構成矩形或方形裝卸口55(第1圖),其中,將基板載具19c向著裝卸口55升起至蝕刻位置(第1圖的(b))或朝著基板裝載位置(第1圖的(a))降低。側板或邊緣部9係包含在輻條12之間的貫穿狹縫之密集圖案的金屬板。依據這個實例,在側板9的一半中,狹縫11實質上朝著矩形或方形側板的一個對角線之方向延伸,在側板或邊緣部9的另一半中,朝著另一個對角線的方向延伸。在第2圖中以11a及11b來分別說明各自定向的狹縫。在界定狹縫11的輻條12抵靠在裝卸口55中的地方,如第3圖所示,端部12e係自由的,其自由地被支撐在陶瓷材料框架57中。狹縫在板形側板9中按規定尺寸來製成。
由於在這個實例中如第3圖的雙箭頭V所示輪輻12的端部12e相對於框架57自由膨脹,最暴露於射頻蝕刻製程所造成的熱負荷之側板9及框架57的部分可以相對於彼此自由地膨脹,以避免側板9的翹曲及/或使陶瓷材料框架57受到應力、翹曲及可能的稍微移位。
狹縫11的固體材料表面與開放空間表面的比例約為1:1,並且狹縫的寬度d3係在3mm與10mm之間。
目前使用良好的操作參數:氬的操作壓力:0.1至0.5Pa
fvhf:60MHz
fhf:13.56MHz
vhf供應信號的功率<hf供應信號的功率
d1:在所述的操作條件下大於暗區距離:d1
Figure 106137946-A0305-02-0024-26
20mm
d2:在所述的操作條件下大於暗區距離:d2
Figure 106137946-A0305-02-0024-27
65mm。
如第4圖所示意性顯示,疊加的vhf及hf電漿供應信號可以在罐形電極體31的局部不同的接觸點C1至Cn處及/或在金屬體50的接觸點E1至En處被饋送至第一電極裝置29。
如第5圖所示意性顯示,vhf電漿供應信號及hf電漿供應信號因而可以分別在用於vhf供應信號之一個或多個局部不同的點C11至C1n及/或E11至E1n及用於hf供應信號的C21至C2n及/或E21至E2n處供應至第一電極裝置29、電極體31及/或金屬體50。只有一個C1x及/或E1x及/或只有一個C2x及/或E2x接觸點亦是可能的。
電極體31及/或金屬體50可以被細分為相互電隔離的區段,每個區段被提供有第一和第二電漿供應信號中之至少一者。
射頻產生器裝置可以進一步產生一個作為 vhf第一電漿供應信號的信號,這個該信號可以在蝕刻操作期間相對於fvhf進行頻率調變及/或可以進行功率調變。此外,或者在另外一種選擇中,產生器裝置可以產生一個作為hf第二電漿供應信號的信號,這個信號可以在蝕刻操作期間相對於fhf進行頻率調變,或者可以進行功率調變。
所選擇的fvhf還可以是fhf的整數倍、被相鎖或沒有被相鎖,並且可能具有可調整的可能隨時間變化之相互定相。
在不同fhf下之多個第二電漿供應信號可以以疊加方式施加至第一電極裝置29。
如第6圖所示意性顯示,第一電極表面31i可以進一步藉由以電極體31的一個相應部分319實現側板或邊緣部9的上部以及藉由以真空外殼3的屏蔽部分39遮蔽這個部分319的底面以在期望的蝕刻條件下界定比暗區距離還窄的相對於部分319之間隙來增加。部分319及部分39兩者分別以貫穿孔或貫穿狹縫1131和113來對齊。顯然,第一電極表面可以藉由使板形金屬體50成形為相似於罐形或鍋形電極體31及/或藉由提供多個例如板形且交錯有超過暗區距離的金屬體50來進一步顯著擴大。
在第1圖的實施例中,工件載具19c可動態地操作,亦即,可上下移動。在其低操作位置中,經由雙向裝載/卸載腔體(bidirectional load-lock)60來裝載待蝕刻的工件或基板及卸載經蝕刻處理的工件或基板。工 件載具19c從裝卸位置(a)向上移動至用於待蝕刻處理的基板之蝕刻位置(b)及從蝕刻位置(b)向下移動至裝卸位置(a),以卸載經蝕刻處理的基板。
在第7圖中,最為示意性地且簡化地顯示一個實施例,其中,工件或基板載具19c係靜止的,亦即,不能朝向及離開第一電極裝置來移動。電極體31可以包括可例如藉由驅動器62來打開及關閉的門31d。在關閉位置中,門可以是電極體31的一部分且仍然對內表面31i有貢獻。工件或基板例如經由裝載/卸載腔體60裝載在工件或基板載具19c上及從工件或基板載具19c來卸載。
工件或基板載具亦可以經由裝載/卸載腔體60與相應的基板或工件一起裝卸,並且因此在任何情況下都不可朝向第一電極裝置31升起及離開第一電極裝置31縮回。
或者,在沒有設置像電極體31中之門31d的門之情況下,可以在具有罐形或鍋形電極體31的第一電極裝置下方建立的路徑上裝載及卸載具有或不具有工件或基板載具的基板或工件。
在圖8中,最簡化地且示意性地顯示遵守Koenig定律的電容耦合射頻真空蝕刻設備。射頻電漿空間侷限在第一較大電極裝置829與第二較小電極裝置845之間。第一較大電極裝置以具有至少一個頻率的射頻驅動信號且相對於在參考電位(例如,接地電位)的金屬外殼803來操作。因此,在操作中,在金屬外殼803 與較大電極裝置829之間存在射頻電位差。電極裝置829的外表面831o與外殼803壁的內表面之間的間隔d4對於從電極裝置829至外殼803的射頻功率損失係決定性的。為了最小化這樣的射頻損失,應該儘可能選擇大的d4,以最小化所述表面之間的電容,從而界定間隙832。另一方面,在所述間隙832中的電漿產生將被排除,這要求d4在主要蝕刻條件下小於暗區距離。
為了解決這個問題,在間隙832中設置一個或多個電浮接金屬屏(electrically floating metal screen)830,其全部沿著表面831o及金屬外殼803的內表面。藉由這樣的浮接金屬屏,所述表面之間的電容保持較小,但是由於金屬屏的相鄰表面與表面831o及金屬外殼803的內表面之間的間隔d5分別保持小於暗區距離,電漿不會在間隙832中點燃。如第8圖所示意性顯示,金屬屏830可以藉由電隔離距離保持器834來安裝。
第8圖所說明的態樣將最小化從大的射頻操作電極經由間隙至真空處理容器的周圍金屬外殼之射頻功率損失,從而藉由沿著且在間隙中設置以電浮接方式安裝的一個或多個金屬屏來避免在這樣的間隙中產生射頻電漿。在這樣的金屬屏之間以及在這樣的屏幕、電極表面及金屬外殼表面之間的所有子間隙在真空外殼中要操作的製程之處理參數下比暗區距離還窄。這個態樣本身被認為可能有創新性的。
在第9圖中,最簡化地且示意性地顯示用以擴大依據本發明的電容耦合射頻真空蝕刻設備的第一電 極裝置之有效表面的依據本發明之手段,電容耦合射頻真空蝕刻設備遵守Koenig的定律。
射頻電漿反應空間PL侷限在真空外殼903中之第一較大電極裝置929與第二較小電極裝置945之間。電極裝置929、945相對於彼此以具有一個或多個頻率的射頻電源來饋電。藉由在電極裝置929處設置至少一個金屬體950,顯著擴大第一較大電極裝置829的表面,金屬體950係例如板形或罐形及浸沒在電漿反應空間PL中,並且在電極裝置929的其餘部分的電位上操作。
第10圖簡化地且示意性地顯示與第1圖的實施例類似的電容耦合射頻真空蝕刻設備,其包括具有大的泵送口1013的泵送室1005及蝕刻室1007。類似於第1圖的實施例,第二較小電極裝置1045包括工件載具1019c,在接地電位上操作,並且一旦升起至蝕刻位置,藉由分散彈性接觸構件1056電接觸具有泵送貫穿孔及/或貫穿狹縫(未顯示於第10圖中)的側板1009。因為側板1009電連接至金屬外殼1003(類似於第1圖的側板9連接至真空外殼3)且外殼1003在接地電位上操作,所以工件載具1019c亦變得緊緊地連接至接地電位。
與第1圖所示的接地概念相反,依據這個概念,射頻電流回流路徑經由一對並聯阻抗(亦即,經由真空外殼3沿著泵送室5且經由工件支撐件19向下至系統接地G)來引導,依據第10圖的實施例,選擇儘可能短的射頻回流路徑。連接至系統接地G的系統接地片1023設置在刻蝕室1007的頂部之中心。第1圖所示的接地概 念可以以第10圖的接地概念來替代,因為在兩種情況下,作為第二較小電極裝置的工件載具在接地電位上操作且不在偏壓電位上操作。
第11圖簡化地且示意性地顯示設備的一個實施例,這個實施例與第1圖的實施例類似,包括具有大的泵送口1113的泵送室1105及蝕刻室1107。裝卸口1155由具有貫穿孔或狹縫1111的邊緣部或側板1109限定。裝卸口1155以由陶瓷材料製成之良好變型的框架1157來圍住。
與第1圖所示的工件載具19c及工件或基板47與側板或邊緣部9的互動相反,依據第11圖所實現的形式,具有框架1157的側板1109充當用於工件或基板1147的止動器(stop),以致於在工件載具1119c的蝕刻位置中,工件或基板1147的上表面之位置大致與邊緣部或側板1109同高。因此,處於其蝕刻位置的工件或基板1147被牢固地偏置且保持在工件載具1119c與框架1157之間。此外,第11圖顯示彈性接觸構件1156可機械地連接至工件載具1119c,然而依據第1圖,它們機械地連接至側板9。兩種變型都是可能的,並且可能是其組合,其中,一些彈性接觸構件56、1156機械地連接至可移動工件載具19c、1119c,而一些連接至固定的邊緣部或側板9、1109。
特別地,如果如所述那樣,在蝕刻操作期間側板或邊緣部的邊界與工件或基板機械地互動,則在處理期間保持這樣的互動精確恆定係重要的。
在第11圖的實施例中,這樣的互動在蝕刻處理期間偏置且保持工件或晶圓1147。因此,通常特別在這種情況下,儘管有可能導致框架及側板的相互移位及/或翹曲且對基板或工件(特別是薄且大的基板)產生相應的影響之不同材料及結構的相互熱膨脹,亦必須達到高的機械穩定性。
雖然在依據第3圖的實施例中輻條12的端部沒有牢固地連接至框架57的事實已適當地考量輻條12及框架57的不同熱膨脹,但是第3圖的實施例在第11圖的情況下不是最佳的,在第11圖中,在蝕刻處理期間框架與工件或晶片1147機械地互動。
第12圖最示意性地且簡化地以邊緣部或側板裝置1209的上視圖顯示用於圓形工件或基板1247的環形框架1257,其為第3圖所示的替代結構。定製成在蝕刻處理期間利用框架1257作為用於基板1247的機械止動器及向下保持構件。然而,如果如上所述基板載具係固定的且實際上不需要止動器,則它也可以適用。
在第12圖中僅顯示幾根輻條1212。輻條1212的端部1212e1例如以膠合、熔接、焊接、螺釘固定機械地固定至框架1257。如第12圖所僅示意性地顯示,另外的端部1212e2相對於外殼1203來機械固定。所有輻條1212一起構成具有足夠窄以防止電漿在其中燃燒的貫穿狹縫1211的邊部緣或側板1209。
輻條1212充當關於框架1257相對於外殼1203的相對膨脹E之片彈簧。如虛線1212'所示意性地 顯示之可以彎曲的輻條1212界定長度方向D且安裝至框架1257,以致於長度延伸方向D在輻條固定之位置處以角度α與框架1257上的切線T相交,角度α不是90°,但是小於90°且向下至0°。因為在所述角度範圍內,角度α相對於輻條1212的總體片彈簧效應不是關鍵性的,所以如第12圖的虛線1212p所示意性地顯示,輻條1212可以在框架1257的圓周之選定部分上相互平行地配置。事實上,輻條充當可彎曲的構件。
框架1257藉由許多的輻條1212來穩定安裝,並且可以在熱負荷時自由地膨脹及收縮而沒有任何翹曲,以致於實現工件或基板1247的高精準定位及保持。
如第12圖的1212"所示,如果可以可壓縮成「Z字形」或「波形」,則輻條或一些輻條最通常是可壓縮的或可彎曲的,亦可以在α=90°下來配置。事實上,他們因而充當可壓縮構件。
第13圖以與第3圖類似的表示形式顯示用於大的矩形基板或工件的邊緣部或側板1309及框架1357,其構造成與第12圖所剛剛提及的一般方法一致。
第14圖以沿第13圖的線B-B之局部剖面圖顯示具有基板或工件1447之可升起及可縮回的工件載具1419c與像第13圖的邊緣部或側板1309及框架1357配置的互動。
依據第13圖及第14圖,類似於一般第12圖的教示,框架1357界定方形基板或工件的方形裝卸口 1355。
從第14圖可以看出,類似於第12圖,輻條1312的端部1312e1固定至框架1357。在具體實例中,它們在1358處被膠合且被偏置在框架1357與框架配對件1357a之間,在一個良好的實施例中,兩者均由像氧化鋁的陶瓷材料例所製成。
另外的端部1357e2(參見第13圖)牢固地直接或間接連接至外殼1303。個別定向輻條1312的四個部分I至IV設置成由腹板1358來隔開,所述腹板1358在設置成在相互交叉的位置處垂直於框架切線時沒有固定至框架1357/1357a。在一個良好的實施例中,整個側板或邊緣部1309的輻條1312、腹板1358及周圍框架形部分1359由單一金屬板製成,輻條1312之間的狹縫依規定尺寸製成於這個單一金屬板中。
從第14圖可以顯而易知,當朝邊緣處理位置1447u移動時,工件載具1419c越過框架配對件1357a的邊界,以致於工件或基板1447變成朝框架1357偏置。
必須指出,不同的是不使用像框架1357的基板保持框架,而是例如藉由使用靜電力(從而藉由靜電夾盤)或藉由在基板或工件下面建立比用於磨邊製程(edging process)的真空還小之壓力的真空吸盤,可以將基板或工件牢固地保持在像1419c的工件載具上。在這樣的情況下,側板或邊緣部的熱負荷可能不太重要。
在依據本發明的電容耦合射頻真空蝕刻設備中,可以替換地應用不同定製的工件載具或夾盤。
在一個實施例中,將工件載具冷卻。如第14圖的虛線1448以及第1圖的虛線20所示,它包括用於液體冷卻介質的通道系統。
在所提及的實施例中,真空外殼分隔成泵送室及蝕刻室,並且基板或工件牢固地偏置且保持在工件載具上。藉由在冷卻工件載具與工件或基板的底面之間建立導熱氣體的襯墊來改善工件或基板的冷卻。導熱氣體從工件載具的冷卻表面與工件或基板的底面之間的間隙流入泵送室,並且可忽略不計地進入蝕刻室。
第15圖示意性地顯示在該裝置的一個實施例中之這種方法。工件載具1519c藉由用於液體冷卻介質的通道1548系統來冷卻。工件載具1519c進一步包括鄰近且沿著其上表面1552的氣體通道系統1550。孔或狹縫1554將氣體通道系統1550連接至工件載具1519c的表面1552。氣體通道系統1552連接至熱傳導氣體的氣體源(未示出)。氣體通道系統1552及狹縫或孔1554定製成沿著工件或基板1547的底面建立大致均勻的壓力分佈,其中,至多沿著工件載具1519c的周圍,從而沿著基板或工件1547的周圍具有增加的壓力。熟悉該項技藝者知道如何藉由分別調整沿著氣體通道系統1552的流動阻力之分佈及/或孔或狹縫1554的分佈及/或孔或狹縫1554的流動阻力之分佈來沿著工件或基板的底面建立相應的壓力分佈。
如定性地在工件載具1519c的徑向範圍r上示意性地顯出那樣,將壓力p建立成沿著工件載具的表 面係大致固定的或者如虛線所示沿著基板或工件1547的周圍具有相應的最大值。
在側板或邊緣部將整個真空容器或外殼劃分成蝕刻室及泵送室的設備之那些實施例中,如第15圖的HG所示,導熱氣流可以離開基板或工件與工件載具的上表面之間的間隙,僅進入泵送室。在此,蝕刻室1507藉由側板或邊緣部裝置1509與泵送室1505隔開。在處理工件或基板1547期間,工件或基板1547例如由框架1557來機械保持,並且從泵送室實質密封蝕刻室。因此,關於氣流及在處理期間,兩個隔室僅經由側板或邊緣部1509中的孔或狹縫連通。因為冷卻工件載具1519c的上表面與工件或基板1547的底面之間的間隙在處理期間位於框架1557的泵送室1505側上,所以導熱氣體HG離開所述的間隙,僅進入泵送室1505。因此,磨邊室及磨邊製程不受像例如氦的導熱氣體HG之影響。
依據本發明的一個或多個設備可以使用於所謂的線上式工件或基板處理廠,其中,在一連串固定的處理站中至少一個工件或至少一批工件從一個處理站輸送至下一個處理站。第16圖示意性地顯示這樣的工廠。
一個工件或基板或者一批工件或基板1647沿著一包括處理站16011、16012......的處理工廠1600來輸送。至少一個處理站係依據本發明在其至少一個態樣下的設備。處理站16011可以例如是脫氣站(degasser station),處理站16012可以是所述的設備。在依據第16圖的工廠1600中,在每個處理站1601n中同時處理一個 工件或基板或者一批工件或基板,並且將一個工件或基板或者一批工件或基板同時從一個處理站輸送至下一個處理站。如果我們在第16圖的直線式工廠1600之實施例中亦將單一工件或基板稱為一批(僅用單一工件或基板),則批次所輸送及批次所處理的範圍沿著製程鏈是固定的。輸送路線PC因此可以是直線的或彎曲的,例如,如虛線PC'所例示的圓形彎曲。依據本發明所提供的設備可以構造成有可升起的工件載具或不可升起的工件載具。如果提供多個這樣的設備,則一些設備可以構造成有可升起的工件載具,而一些設備構造成有不可升起的工件載具。它們不需要以相同方式來建構,而是可以包含一個或多個不同的實施例。
在包含依據本發明的設備中之至少一者且可能實現其至少一個實施例的直線式工廠1700的第17圖實施例中,在處理站17011、17012、17013中同時處理的批次數量(可能只是一個工件或基板)係不同的。作為一個實例,脫氣站17011同時處理N個批次,冷卻站17012同時處理M個不同數量的批次,而依據本發明的設備17011同時處理一個批次。處理站的批次輸入及批次輸出的平均速率係相等的。因此,認為同時輸入至處理站及同時從處理站輸出的批次數可以是不同。輸送路線PC可以是直線的或彎曲的,例如,如虛線PC'所例示的圓形彎曲。依據本發明所提供的設備可以構造成有可升起的工件載具或不可升起的工件載具。如果提供多個設備,則一些設備可以構造成有可升起的工件載具,而一 些設備構造成有不可升起的工件載具。它們不需要以相同方式來建構。
第18圖顯示包含依據本發明的一個或多個設備的直線式工廠1800之具體實例。
工件或基板係從捲盤1851捲回且捲繞在捲盤1852上的箔片1847。在捲盤之間,箔片1847通過包含依據本發明的至少一個設備1801之真空處理工廠1800。在這個實施例中,設備1801的工件載具係不可升起的。
依據第19圖,將依據本發明的至少一個設備整合在可以稱為群集工廠(cluster-plant)的非直線式工廠1900中。947(一個批次可能只包括一個工件或基板)。機械手19多個處理站19011、19021等藉由中央機械手1950裝載及卸載一個或多個批次150具有至少一個可驅動的伸縮臂1952,這個伸縮臂1952具有用於批次1947的支撐件1954,並且機械手1950可繞著中心軸A來驅動旋轉。在包含依據本發明的反應器中之至少一者的這種工廠中,被供給批次1947的處理站之順序、同時輸送的批次1947數量、在各個處理站中同時處理的批次數量以及在各個處理站中的處理持續時間係可選擇的且可變化地控制的。依據本發明所提供的設備可以構造成有可升起的工件載具或不可升起的工件載具。如果提供多個設備,則一些設備可以構造成有可升起的工件載具,而一些設備構造成有不可升起的工件載具。它們不需要以相同方式來建構。
概述被認為本身可能有創新性的所述真空設備之另一態樣:
一種真空設備包括一真空外殼(3)及一工件載具,以及其中,該真空外殼(3)被細分成一包括一泵送口(13)之泵送室(5)及一處理室(7),該等室(5、7)係由一具有貫穿孔或貫穿狹縫(11)之圖案的側板或邊緣部(9)隔開,在預定處理條件下顯露出電漿,該工件載具可從一裝載/卸載位置驅動至一處理位置中,反之亦然,在該工件載具(19c)上的一工件或基板在該處理位置中藉由一向下保持構件(57)機械地保持在該工件載具上,並且沿著該工件或基板表面的整個周圍暴露於該處理室,該工件載具(19c)包括一適用於容納液體加熱或冷卻介質的通道裝置(20)及另一適用於容納熱傳導氣體之通道裝置,該另一通道裝置藉由一孔或狹縫裝置與該工件或基板的表面間的間隙連通,其中,該工件或基板的這個表面與其暴露於該處理室的表面相對。
1:設備
3:真空外殼
5:泵送室
7:處理室
9:側板或邊緣部
9i:側板的上表面
11:貫穿孔及/或貫穿狹縫
13:泵送口
15:泵裝置
19:金屬工件支撐件
19a:第一金屬部分
19b:可移動部分
19c:金屬工件或基板載具
19ci:工件載具的表面
20:通道系統
21:金屬波紋管
23:系統接地G連接器
29:第一電極裝置
31:電極體
31i:電極體的內表面
31o:電極體的外表面
33:基部
34:電容器
35:側壁
37:電源產生器裝置
38:線
39:匹配箱裝置
40hf:輸出端
40vhf:輸出端
41hf:輸出端
41vhf:輸出端
43hf:帶阻濾波器
43vhf:帶阻濾波器
45:第二電極裝置
47:基板
50:金屬體
50i:周圍表面
53:氣體供給管線
54:貫穿孔及/或貫穿狹縫
55:裝卸口
56:彈性接觸構件
57:框架
60:裝載/卸載腔體
d1:距離
d2:距離
G:系統接地
PL:射頻電漿
W:雙箭頭

Claims (37)

  1. 一種真空電漿處理設備,其構造成用於在預定條件下進行的真空電漿處理,該等預定條件包括預定壓力條件,該真空電漿處理設備包括:一真空外殼(3),亦稱為真空容器,其中,該真空外殼(3)被細分成一包括一泵送口(13)之泵送室(5)及一處理室(7),該泵送室(5)及該處理室(7)係由一側板或邊緣部(9)隔開,該側板或邊緣部(9)保持一界定一朝向該處理室(7)之工件出入口(55)的框架(57),該框架(57)被該側板或邊緣部(9)藉由相互界定在該泵送室(5)與該處理室(7)之間的貫穿間隙(11)之多個輻條(12)來保持,該等貫穿間隙被定製成使得在操作中電漿在該等預定處理條件下不會在其中燃燒,該框架(57)被該邊緣部或側板藉由該等輻條以下面這樣的方式來保持:該框架可以在熱負荷下自由地膨脹及收縮。
  2. 如請求項1之真空電漿處理設備,其中,該等輻條構造成可壓縮及/或可彎曲構件。
  3. 如請求項1或2之真空電漿處理設備,其係一真空電漿蝕刻設備。
  4. 如請求項1或2之真空電漿處理設備,其係一電容耦合射頻真空電漿蝕刻設備。
  5. 如請求項4之真空電漿處理設備,其包括:在該真空外殼(3)中,一電漿空間僅與一個電極裝置處於操作接觸中,該電極裝置係由一第一電極裝置(29)及一面對該第一電極裝置之第二電極裝置(45、 19c、9)所組成;該第一電極裝置(29)界定一暴露於該電漿空間(PL)之第一電極表面(31i);該第二電極裝置(45)界定一暴露於該電漿空間(PL)且包括一工件載具(19c)之表面(19i)的第二電極表面(9i、19i);該第一電極表面大於該第二電極表面;該第一電極裝置經由一匹配箱裝置(39)電連接至一射頻產生器裝置(37)之輸出裝置(40),以產生一電漿供應射頻信號。
  6. 如請求項5之真空電漿處理設備,其中,該射頻產生器裝置(37)在該輸出裝置(40)處以特高頻(vhf)產生至少一第一電漿供應信號及在該輸出裝置(40)處以比該特高頻還低之高頻(hf)產生至少一第二電漿供應信號,以及該第一電極裝置(29、31、50)經由該匹配箱裝置(39)電連接至該輸出裝置(40),並且在操作中由該第一電漿供應信號及該第二電漿供應信號來供電;該第二電極裝置(45)至少在蝕刻操作期間電連接至一系統接地片(23、1023)。
  7. 如請求項5或6之真空電漿處理設備,其中,該第一電極裝置(29、31、50)包括一具有一自由地暴露於該電漿空間(PL)的周圍表面(50i)之金屬體(50),該周圍表面(50i)係該第一電極表面的一部分。
  8. 如請求項7之真空電漿處理設備,其中,該金屬體包 括貫穿孔及/或貫穿狹縫的圖案,該圖案被定製成使得在操作中電漿在該等預定條件下在該等貫穿孔及/或貫穿狹縫中燃燒。
  9. 如請求項5或6之真空電漿處理設備,其中,該第一電極表面包括一沿著一第一平面延伸之第一表面區域、一沿著一第二平面延伸之第二表面區域,該第一及第二表面區域界定一空隙,該空隙被定製成使得在操作中電漿在該等預定條件下在該空隙中且沿著該空隙燃燒。
  10. 如請求項9之真空電漿處理設備,其中,該空隙係盡可能窄。
  11. 如請求項9之真空電漿處理設備,其中,該第二電極表面包括一沿著一第三平面延伸之表面區域,並且該第一、第二及第三平面係平行平面。
  12. 如請求項7之真空電漿處理設備,其中,該金屬體(50)係一平板。
  13. 如請求項7之真空電漿處理設備,其中,自由地暴露於且浸沒在該電漿空間(PL)中之該金屬體(50)的周圍表面(50i)之一第一部分與面對該第一部分之該第一電極表面的一第二部分之間的間隔係10mm至40mm。
  14. 如請求項13之真空電漿處理設備,其中,自由地暴露於且浸沒在該電漿空間(PL)中之該金屬體(50)的周圍表面(50i)之第一部分與面對該第一部分之該第一電極表面的第二部分之間的間隔係20mm。
  15. 如請求項7之真空電漿處理設備,其中,面對該工件 載具之該第一電極表面的一主要部分與該工件載具之表面的一主要部分之間的間距係40mm至80mm。
  16. 如請求項15之真空電漿處理設備,其中,面對該工件載具之該第一電極表面的主要部分與該工件載具之表面的主要部分之間的間距係65mm。
  17. 如請求項5或6之真空電漿處理設備,其中,該射頻產生器裝置(37)在超過一個局部不同接觸點(C、E)處連接至該第一電極裝置(29)。
  18. 如請求項6之真空電漿處理設備,其中,該第一電漿供應信號(vhf)及該第二電漿供應信號(hf)在局部不同接觸點(C、E)處連接至該第一電極裝置(29)。
  19. 如請求項6之真空電漿處理設備,其中,有效範圍係:10MHz
    Figure 106137946-A0305-02-0047-28
    fvhf
    Figure 106137946-A0305-02-0047-29
    400MHz或10MHz
    Figure 106137946-A0305-02-0047-30
    fvhf
    Figure 106137946-A0305-02-0047-32
    300MHz或20MHz
    Figure 106137946-A0305-02-0047-33
    fvhf
    Figure 106137946-A0305-02-0047-34
    300MHz或20MHz
    Figure 106137946-A0305-02-0047-35
    fvhf
    Figure 106137946-A0305-02-0047-36
    100MHz以及:0.01fvhf
    Figure 106137946-A0305-02-0047-37
    fhf
    Figure 106137946-A0305-02-0047-38
    0.5fvhf或0.05fvhf
    Figure 106137946-A0305-02-0047-39
    fhf
    Figure 106137946-A0305-02-0047-40
    0.5fvhf。fhf係高頻供應信號的頻率,而fvhf係特高頻供應信號的頻率。
  20. 如請求項1或2之真空電漿處理設備,其包括一工件載具(19c),該工件載具(19c)可朝向及從該框架驅動地移動。
  21. 如請求項1或2之真空電漿處理設備,其包括一工件載具,並且其中,該工件載具(19c)在該工件出入口的軸線之方向上可朝向及從該處理室驅動地移動。
  22. 如請求項1或2之真空電漿處理設備,其包括一工件載具,並且其中,該工件載具(19c)在該工件出入口的軸線之方向上不可朝向及從該處理室移動。
  23. 如請求項5或6之真空電漿處理設備,其包括一工件載具,並且其中該工件載具(19c)在該工件出入口的軸線之方向上不可朝向及從該處理室移動,並且該第一電極裝置(29)包括一用於裝載/卸載工件之可驅動地移動的門(31d)。
  24. 如請求項1或2之真空電漿處理設備,其包括一可從一裝載/卸載位置驅動地移動至一處理位置中且反之亦然之工件載具,該框架在該處理位置中作為一用於在該工件載具(19c)上之一工件或基板(47)的向下保持構件。
  25. 如請求項1或2之真空電漿處理設備,其中,該等輻條中之至少一部分界定每個長度範圍的方向且安裝至該框架,以致於個別的長度範圍之方向在個別的輻條固定之位置處以角度α與該框架上的切線相交,角度α的有效範圍係:90°>α
    Figure 106137946-A0305-02-0048-42
    0°,並且這些輻條係可彎曲的構件。
  26. 如請求項1或2之真空電漿處理設備,其中,該等輻條中之至少一部分界定每個長度範圍的方向且安裝至該框架,以致於個別的長度範圍之方向與在個別的輻條固定之位置處以角度α與該框架上的切線相交,角度α的有效範圍係:α=90°並且這些輻條係可壓縮的構件。
  27. 如請求項1或2之真空電漿處理設備,其包括一工件載具,該工件載具可從一裝載/卸載位置驅動地移動至一處理位置且反之亦然;一向下保持構件(57),其構造成在該處理位置中將一工件或基板在且沿著暴露於該處理室之該工件或基板的周圍向下保持在該工件載具(19c)上,該工件載具(19c)包括一適用於容納液態加熱或冷卻介質的通道裝置(20)及另一適用於容納熱傳導氣體之通道裝置,並且透過用於該工件或基板的該工件載具之載具表面上的孔及/或狹縫圖案來進行排放。
  28. 如請求項27之真空電漿處理設備,其中,該另一通道裝置及在該載具表面上進行排放的該孔及/或狹縫圖案被定製,以便沿著該載具表面與一基板或工件之間的空隙之周圍建立導熱氣體的壓力,該導熱氣體的壓力至少等於在且沿著該空隙的更中心部分之壓力。
  29. 如請求項1或2之真空電漿處理設備,其中,該側板或邊緣部(9)係該真空外殼(3)的一部分或包括該真空外殼(3)的一部分。
  30. 如請求項1或2之真空電漿處理設備,其包括一工件 支撐件,該側板或邊緣部在工件的處理位置中藉由不同的分散彈性接觸構件(56)電連接至該工件支撐件(19c)。
  31. 如請求項1或2之真空電漿處理設備,其成形為用於矩形或方形基板。
  32. 如請求項1或2之真空電漿處理設備,其中,用於該處理的該預定壓力條件係0.1至0.5Pa,其包含兩個界限。
  33. 一種工件或基板處理廠,其包括至少一個如請求項1至32中至少一項之真空電漿處理設備,其包括一捲盤間箔片處理廠(coil-to-coil foil processing plant)或一工廠,其中,工作站可以藉由一機械手以可選擇的節奏裝載及卸載至少一個工件或基板。
  34. 如請求項33之工件或基板處理廠,其是一線上式工廠(inline plant)。
  35. 如請求項33之工件或基板處理廠,其中,該機械手是一中央機械手。
  36. 一種真空電漿處理工件或基板之方法,包括藉由使用如請求項1至32中任一項之真空電漿處理設備或藉由使用如請求項33至35中任一項之工件或基板處理廠來真空電漿處理工件或基板之步驟。
  37. 如請求項36之方法,其在一反應氣體環境中實施。
TW106137946A 2016-12-27 2017-11-02 真空電漿處理設備、工件或基板處理廠及真空電漿處理工件或基板之方法 TWI720264B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CH17502016 2016-12-27
CH01750/16 2016-12-27
CH00279/17 2017-03-08
CH2792017 2017-03-08

Publications (2)

Publication Number Publication Date
TW201824327A TW201824327A (zh) 2018-07-01
TWI720264B true TWI720264B (zh) 2021-03-01

Family

ID=62706966

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106137946A TWI720264B (zh) 2016-12-27 2017-11-02 真空電漿處理設備、工件或基板處理廠及真空電漿處理工件或基板之方法
TW106137945A TWI802551B (zh) 2016-12-27 2017-11-02 電容耦合射頻真空蝕刻設備、工作或基板處理廠及蝕刻或者製造經蝕刻工件或基板之方法
TW106137948A TWI767953B (zh) 2016-12-27 2017-11-02 電容耦合射頻真空蝕刻設備、工件或基板處理廠及蝕刻或者製造經蝕刻工件或基板之方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW106137945A TWI802551B (zh) 2016-12-27 2017-11-02 電容耦合射頻真空蝕刻設備、工作或基板處理廠及蝕刻或者製造經蝕刻工件或基板之方法
TW106137948A TWI767953B (zh) 2016-12-27 2017-11-02 電容耦合射頻真空蝕刻設備、工件或基板處理廠及蝕刻或者製造經蝕刻工件或基板之方法

Country Status (7)

Country Link
US (3) US11469085B2 (zh)
EP (3) EP3563402B1 (zh)
JP (3) JP7072572B2 (zh)
KR (3) KR102548259B1 (zh)
CN (3) CN110100298B (zh)
TW (3) TWI720264B (zh)
WO (3) WO2018121896A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017207144A1 (en) * 2016-06-03 2017-12-07 Evatec Ag Plasma etch chamber and method of plasma etching
US11469085B2 (en) * 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus
KR102003729B1 (ko) * 2017-11-15 2019-07-29 주식회사 고영테크놀러지 검사 장치
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
US20220130641A1 (en) 2019-02-06 2022-04-28 Evatec Ag Method of producing ions and apparatus
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN114075650A (zh) * 2020-08-18 2022-02-22 群创光电股份有限公司 曲面基板的镀膜装置及其镀膜方法
KR20240043799A (ko) * 2021-08-12 2024-04-03 램 리써치 코포레이션 대칭적인 rf 리턴 경로를 제공하는 프로세스 모듈 챔버

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW512452B (en) * 1999-09-23 2002-12-01 Lam Res Corp Semiconductor processing equipment having tiled ceramic liner
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
TW200921742A (en) * 2007-07-26 2009-05-16 Applied Materials Inc Plasma reactor with reduced electrical skew using electrical bypass elements
US20140051253A1 (en) * 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
US20160050781A1 (en) * 2010-06-30 2016-02-18 Lam Research Corporation Movable ground ring for movable substrate support assembly of a plasma processing chamber
TW201637094A (zh) * 2013-03-06 2016-10-16 帕斯馬舍門有限責任公司 用於電漿切割半導體晶圓之方法和設備

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3661761A (en) 1969-06-02 1972-05-09 Ibm Rf sputtering apparatus for promoting resputtering of film during deposition
US6248219B1 (en) 1986-06-23 2001-06-19 Unaxis Balzers Aktiengesellschaft Process and apparatus for sputter etching or sputter coating
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
US5556474A (en) 1993-12-14 1996-09-17 Nissin Electric Co., Ltd. Plasma processing apparatus
JP3021351B2 (ja) * 1995-04-13 2000-03-15 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6817381B2 (en) * 1999-08-24 2004-11-16 Tokyo Electron Limited Gas processing apparatus, gas processing method and integrated valve unit for gas processing apparatus
JP2001135626A (ja) * 1999-11-02 2001-05-18 Hitachi Kokusai Electric Inc プラズマcvd装置及びプラズマcvd膜形成方法
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
AU2001279189A1 (en) 2000-08-08 2002-02-18 Tokyo Electron Limited Plasma processing method and apparatus
JP3897582B2 (ja) * 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
TWI231955B (en) 2001-10-22 2005-05-01 Unaxis Usa Inc Etching of thin damage sensitive layers using high frequency pulsed plasma
EP1444727A4 (en) 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
JP4330315B2 (ja) 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
WO2005124844A1 (ja) 2004-06-21 2005-12-29 Tokyo Electron Limited プラズマ処理装置及び方法
US20060000552A1 (en) * 2004-07-05 2006-01-05 Tokyo Electron Limited Plasma processing apparatus and cleaning method thereof
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8608851B2 (en) 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
JP2006332704A (ja) * 2006-08-21 2006-12-07 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
JP4622972B2 (ja) * 2006-09-12 2011-02-02 セイコーエプソン株式会社 プラズマ処理装置およびプラズマ処理方法
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
JP5058909B2 (ja) 2007-08-17 2012-10-24 株式会社半導体エネルギー研究所 プラズマcvd装置及び薄膜トランジスタの作製方法
US7772544B2 (en) * 2007-10-09 2010-08-10 Tokyo Electron Limited Neutral beam source and method for plasma heating
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US20100101727A1 (en) * 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5354422B2 (ja) * 2008-11-18 2013-11-27 株式会社ユーテック ローラ式プラズマcvd装置及びローラ式プラズマ装置
JP5391659B2 (ja) 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
JP5657350B2 (ja) 2010-02-10 2015-01-21 大同工業株式会社 スポーク車輪及びそれに用いられるスポーク
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
CN102918180B (zh) * 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US20140057387A1 (en) * 2010-07-27 2014-02-27 Amtech Systems, Inc. Systems and Methods for Depositing and Charging Solar Cell Layers
JP5916056B2 (ja) 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2012238629A (ja) * 2011-05-10 2012-12-06 Hitachi High-Technologies Corp 熱処理装置
KR101503512B1 (ko) 2011-12-23 2015-03-18 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9187827B2 (en) * 2012-03-05 2015-11-17 Applied Materials, Inc. Substrate support with ceramic insulation
US9245720B2 (en) * 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
JP6063181B2 (ja) * 2012-08-29 2017-01-18 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
JP2014056987A (ja) * 2012-09-13 2014-03-27 Tokyo Electron Ltd プラズマ処理装置
JP6078419B2 (ja) 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
SG11201600129XA (en) * 2013-08-09 2016-02-26 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN105899711B (zh) * 2014-01-24 2020-01-07 应用材料公司 在无氧化剂情况下的含硅和氧的膜的沉积
EP3117449B1 (en) 2014-03-14 2020-08-12 Applied Materials, Inc. Smart chamber and smart chamber components
KR20160015510A (ko) * 2014-07-30 2016-02-15 삼성전자주식회사 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
CN109803606B (zh) * 2016-08-12 2021-11-02 卡洛斯.A.哈金 外部可编程磁阀组件和控制器
DE102016218421A1 (de) * 2016-09-26 2018-03-29 Continental Teves Ag & Co. Ohg Bremsgerät für eine hydraulische Kraftfahrzeugbremsanlage
US9947549B1 (en) * 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11469085B2 (en) 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW512452B (en) * 1999-09-23 2002-12-01 Lam Res Corp Semiconductor processing equipment having tiled ceramic liner
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
TW200921742A (en) * 2007-07-26 2009-05-16 Applied Materials Inc Plasma reactor with reduced electrical skew using electrical bypass elements
US20160050781A1 (en) * 2010-06-30 2016-02-18 Lam Research Corporation Movable ground ring for movable substrate support assembly of a plasma processing chamber
US20140051253A1 (en) * 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
TW201637094A (zh) * 2013-03-06 2016-10-16 帕斯馬舍門有限責任公司 用於電漿切割半導體晶圓之方法和設備

Also Published As

Publication number Publication date
JP7069159B2 (ja) 2022-05-17
JP2020503667A (ja) 2020-01-30
TWI767953B (zh) 2022-06-21
KR102548259B1 (ko) 2023-06-27
EP3563401A1 (en) 2019-11-06
TW201824327A (zh) 2018-07-01
US11469085B2 (en) 2022-10-11
WO2018121897A1 (en) 2018-07-05
EP3563403B1 (en) 2020-08-05
CN110100297B (zh) 2022-09-13
EP3563403A1 (en) 2019-11-06
JP2020503669A (ja) 2020-01-30
JP2020503670A (ja) 2020-01-30
KR102532562B1 (ko) 2023-05-15
TW201841202A (zh) 2018-11-16
US20190341231A1 (en) 2019-11-07
EP3563401B1 (en) 2022-11-23
JP7072572B2 (ja) 2022-05-20
US11217434B2 (en) 2022-01-04
CN110100298B (zh) 2022-10-04
US11742187B2 (en) 2023-08-29
KR20190101432A (ko) 2019-08-30
CN110121760B (zh) 2022-08-05
EP3563402A1 (en) 2019-11-06
CN110100298A (zh) 2019-08-06
JP6972131B2 (ja) 2021-11-24
US20190341234A1 (en) 2019-11-07
KR102227783B1 (ko) 2021-03-16
CN110100297A (zh) 2019-08-06
WO2018121898A1 (en) 2018-07-05
EP3563402B1 (en) 2021-01-27
TWI802551B (zh) 2023-05-21
CN110121760A (zh) 2019-08-13
WO2018121896A1 (en) 2018-07-05
KR20190099520A (ko) 2019-08-27
KR20190102243A (ko) 2019-09-03
TW201826887A (zh) 2018-07-16
US20200312624A1 (en) 2020-10-01
WO2018121896A9 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
TWI720264B (zh) 真空電漿處理設備、工件或基板處理廠及真空電漿處理工件或基板之方法
TWI416623B (zh) 具有單一平面天線之電感耦合雙區域處理腔室
JP3792089B2 (ja) プラズマプロセス装置
TW202025201A (zh) 一種電容耦合電漿蝕刻設備
WO2003030241A1 (fr) Appareil de traitement de plasma
TWI576910B (zh) 具有用以去耦合離子及自由基控制之源的半導體處理系統
KR20120120043A (ko) 유도 결합 플라즈마 처리 장치
JP2004356511A (ja) プラズマ処理装置
CN112563110A (zh) 等离子体处理装置
CN111863578A (zh) 一种等离子体处理设备
WO2023192582A1 (en) Plasma showerhead with improved uniformity
KR20230142237A (ko) 기판 지지 유닛 및 이를 구비하는 기판 처리 장치