WO2005124844A1 - プラズマ処理装置及び方法 - Google Patents
プラズマ処理装置及び方法 Download PDFInfo
- Publication number
- WO2005124844A1 WO2005124844A1 PCT/JP2005/011333 JP2005011333W WO2005124844A1 WO 2005124844 A1 WO2005124844 A1 WO 2005124844A1 JP 2005011333 W JP2005011333 W JP 2005011333W WO 2005124844 A1 WO2005124844 A1 WO 2005124844A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- electrode
- plasma
- voltage
- plasma processing
- processing apparatus
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 581
- 238000000034 method Methods 0.000 title claims description 71
- 238000001020 plasma etching Methods 0.000 claims abstract description 205
- 230000000694 effects Effects 0.000 claims abstract description 105
- 239000007789 gas Substances 0.000 claims description 325
- 238000005530 etching Methods 0.000 claims description 283
- 239000000758 substrate Substances 0.000 claims description 157
- 230000006870 function Effects 0.000 claims description 101
- 238000003672 processing method Methods 0.000 claims description 86
- 230000007246 mechanism Effects 0.000 claims description 70
- 239000004020 conductor Substances 0.000 claims description 62
- 238000001816 cooling Methods 0.000 claims description 54
- 230000008569 process Effects 0.000 claims description 46
- 238000012937 correction Methods 0.000 claims description 41
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 38
- 238000003860 storage Methods 0.000 claims description 37
- 238000004140 cleaning Methods 0.000 claims description 36
- 230000001681 protective effect Effects 0.000 claims description 34
- 239000000463 material Substances 0.000 claims description 33
- 230000015572 biosynthetic process Effects 0.000 claims description 32
- 238000007667 floating Methods 0.000 claims description 32
- 230000002829 reductive effect Effects 0.000 claims description 32
- 238000004544 sputter deposition Methods 0.000 claims description 29
- 238000009826 distribution Methods 0.000 claims description 28
- 229910052799 carbon Inorganic materials 0.000 claims description 25
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 24
- 229910052710 silicon Inorganic materials 0.000 claims description 24
- 239000010703 silicon Substances 0.000 claims description 24
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 16
- 230000002093 peripheral effect Effects 0.000 claims description 15
- 238000009832 plasma treatment Methods 0.000 claims description 10
- 238000012546 transfer Methods 0.000 claims description 9
- 238000001514 detection method Methods 0.000 claims description 8
- 230000005283 ground state Effects 0.000 claims description 5
- 238000009529 body temperature measurement Methods 0.000 claims description 4
- 230000017525 heat dissipation Effects 0.000 claims description 2
- 239000011261 inert gas Substances 0.000 claims description 2
- 238000007599 discharging Methods 0.000 claims 1
- 239000010408 film Substances 0.000 description 224
- 150000002500 ions Chemical class 0.000 description 91
- 238000010586 diagram Methods 0.000 description 66
- 229920002120 photoresistant polymer Polymers 0.000 description 65
- 239000010410 layer Substances 0.000 description 60
- 239000004065 semiconductor Substances 0.000 description 58
- 238000000151 deposition Methods 0.000 description 50
- 230000008021 deposition Effects 0.000 description 48
- 229920000642 polymer Polymers 0.000 description 37
- 229910010271 silicon carbide Inorganic materials 0.000 description 35
- 238000009792 diffusion process Methods 0.000 description 28
- 230000005684 electric field Effects 0.000 description 25
- 230000008859 change Effects 0.000 description 24
- 239000003990 capacitor Substances 0.000 description 18
- 238000010494 dissociation reaction Methods 0.000 description 15
- 230000005593 dissociations Effects 0.000 description 15
- 230000002159 abnormal effect Effects 0.000 description 14
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 14
- 229910052782 aluminium Inorganic materials 0.000 description 14
- 239000006227 byproduct Substances 0.000 description 13
- 239000000126 substance Substances 0.000 description 11
- 101000777624 Homo sapiens Hsp90 co-chaperone Cdc37-like 1 Proteins 0.000 description 10
- 102100031587 Hsp90 co-chaperone Cdc37-like 1 Human genes 0.000 description 10
- NMFHJNAPXOMSRX-PUPDPRJKSA-N [(1r)-3-(3,4-dimethoxyphenyl)-1-[3-(2-morpholin-4-ylethoxy)phenyl]propyl] (2s)-1-[(2s)-2-(3,4,5-trimethoxyphenyl)butanoyl]piperidine-2-carboxylate Chemical compound C([C@@H](OC(=O)[C@@H]1CCCCN1C(=O)[C@@H](CC)C=1C=C(OC)C(OC)=C(OC)C=1)C=1C=C(OCCN2CCOCC2)C=CC=1)CC1=CC=C(OC)C(OC)=C1 NMFHJNAPXOMSRX-PUPDPRJKSA-N 0.000 description 10
- 230000004048 modification Effects 0.000 description 10
- 238000012986 modification Methods 0.000 description 10
- 239000000203 mixture Substances 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- 239000000919 ceramic Substances 0.000 description 8
- 230000007423 decrease Effects 0.000 description 8
- 230000009467 reduction Effects 0.000 description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 8
- 230000001133 acceleration Effects 0.000 description 7
- 239000007772 electrode material Substances 0.000 description 7
- 230000002411 adverse Effects 0.000 description 5
- 239000002826 coolant Substances 0.000 description 5
- 239000011810 insulating material Substances 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 239000003507 refrigerant Substances 0.000 description 5
- 230000003746 surface roughness Effects 0.000 description 5
- 230000004888 barrier function Effects 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 230000000052 comparative effect Effects 0.000 description 4
- 230000009977 dual effect Effects 0.000 description 4
- 230000001678 irradiating effect Effects 0.000 description 4
- -1 or CF Inorganic materials 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000010790 dilution Methods 0.000 description 3
- 239000012895 dilution Substances 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 238000012423 maintenance Methods 0.000 description 3
- 230000003446 memory effect Effects 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 230000000996 additive effect Effects 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 239000000498 cooling water Substances 0.000 description 2
- 238000004132 cross linking Methods 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 230000006866 deterioration Effects 0.000 description 2
- 230000008034 disappearance Effects 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 230000003472 neutralizing effect Effects 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 230000007261 regionalization Effects 0.000 description 2
- 230000002441 reversible effect Effects 0.000 description 2
- 229920002379 silicone rubber Polymers 0.000 description 2
- 230000007480 spreading Effects 0.000 description 2
- 238000003892 spreading Methods 0.000 description 2
- 238000005728 strengthening Methods 0.000 description 2
- 238000007514 turning Methods 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 235000014653 Carica parviflora Nutrition 0.000 description 1
- 241000243321 Cnidaria Species 0.000 description 1
- 229910020177 SiOF Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 239000012611 container material Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000005459 micromachining Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000005192 partition Methods 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 230000000644 propagated effect Effects 0.000 description 1
- 239000002994 raw material Substances 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 239000002893 slag Substances 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3342—Resist stripping
Definitions
- the present invention relates to a plasma processing apparatus, a plasma processing method, and a computer-readable storage medium that perform plasma processing on a substrate to be processed such as a semiconductor substrate.
- a plasma etching process is performed in which a resist is used as a mask to form a predetermined pattern in a predetermined layer formed on a semiconductor wafer that is a substrate to be processed. Is frequently used.
- a capacitively coupled parallel plate plasma etching apparatus has a pair of parallel plate electrodes (upper and lower electrodes) disposed in a chamber, introduces a processing gas into the chamber, and applies a high frequency to one of the electrodes. Then, a high-frequency electric field is formed between the electrodes, a plasma of a processing gas is formed by the high-frequency electric field, and plasma etching is performed on a predetermined layer of the semiconductor wafer.
- a plasma etching apparatus that forms an appropriate plasma state by applying a high frequency for plasma formation to the upper electrode to form plasma and applying a high frequency for ion attraction to the lower electrode.
- This makes it possible to perform etching processing with high selectivity and high reproducibility (for example, JP 2000-173993 A (Patent Document 1)).
- the photoresist used as a mask is reduced, and the photoresist used is also a KrF photoresist (that is, a laser beam using KrF gas as a light source). That can be formed with a pattern opening of about 0.13 / zm or less (that is, photoresist exposed with a shorter wavelength laser light using ArF gas as a light source). (Resist).
- the ArF photoresist has low plasma resistance, there is a problem that the KrF resist has a rough surface in the middle of etching, which is almost the same as the KrF resist.
- Low-k films SiOC films are attracting attention.
- Patent Document 2 Japanese Unexamined Patent Publication No. 2002-270586 (Patent Document 2)).
- CHF / Ar / N is used as a processing gas when plasma etching a SiOC-based interlayer insulating film using a silicon nitride film as a base etch stop layer.
- Etching has also been proposed to improve the selectivity with respect to both the mask and the silicon nitride film (for example, JP-A-2004-87875 (Patent Document 3)).
- silicon nitride used as a copper wiring NORA layer has good barrier properties but has a high relative dielectric constant of 7.0.
- a barrier layer with a lower relative dielectric constant is required, and one of them is silicon carbide (SiC) with a relative dielectric constant of 3.5. .
- the present invention has been made in view of intensive circumstances, and can be etched at a high selectivity while maintaining high plasma resistance of an organic mask layer such as a resist layer, or deposited on an electrode. It is an object of the present invention to provide a plasma processing apparatus and a plasma processing method capable of effectively eliminating adhesion of an object, performing high-speed etching, or performing uniform etching on a substrate to be processed. To do.
- a processing container in which a substrate to be processed is accommodated and evacuated; First and second electrodes disposed opposite to each other in the processing container, and a first high-frequency power supply unit that supplies first high-frequency power for plasma formation to the first electrode or the second electrode And a processing gas supply unit for supplying a processing gas into the processing container, and plasma of a processing gas is generated between the first electrode and the second electrode to plasma a predetermined layer of the substrate to be processed.
- a plasma processing apparatus for processing further comprising a power source for applying a DC voltage or an AC voltage to the first electrode or the second electrode, and the surface self-adhering to such an extent that a predetermined sputtering effect is obtained on the surface of the applied electrode.
- the absolute value of the bias voltage V is
- the thickness of the plasma sheath at the application electrode is increased or the plasma is reduced on the counter electrode side of the application electrode, or electrons generated in the vicinity of the application electrode are applied to the target electrode.
- To irradiate the processing substrate to control the plasma potential to a desired value, to increase the plasma density, or to obtain the desired etching uniformity.
- a plasma processing apparatus characterized by controlling any one of an applied voltage, an applied current and an applied power from the power source so as to be uniform as much as possible.
- the DC voltage or AC voltage is preferably pulsed or modulated.
- it may be configured to further include a control device that controls any one of applied voltage, applied current, and applied power from the power source.
- a detector for detecting the state of the generated plasma is further provided, and the control device controls whether the applied voltage, applied current, and applied power of the power supply power are shifted based on information of the detector. Do it.
- a processing container in which a substrate to be processed is accommodated and capable of being evacuated, a first electrode and a second electrode disposed facing each other in the processing container, and the first electrode Or a first high-frequency power supply unit that supplies a first high-frequency power for plasma formation to the second electrode, and a processing gas supply unit that supplies a processing gas into the processing container.
- a plasma processing apparatus for generating a plasma of a processing gas between an electrode and a second electrode to perform plasma processing on a predetermined layer of a substrate to be processed, wherein a DC voltage or an AC voltage is applied to the first electrode or the second electrode.
- a power source for applying a voltage wherein one pole of the power source is connected to the first electrode or the second electrode, and the other pole is a predetermined member in the processing container.
- the plasma processing apparatus is characterized in that any one of an applied voltage, an applied current and an applied power from the power source is controlled.
- the predetermined member is a conductor embedded in an insulating member present in the processing container, a member constituting the wall of the processing container, or a cover on the second electrode. It is preferable that the correction ring is placed on the periphery of the processing substrate.
- the DC power supply further includes another DC power supply, and one pole of the other DC power supply is connected to the negative electrode connected to the DC power supply of the first electrode and the second electrode, and the other electrode is connected to the other electrode.
- the predetermined member or the predetermined member force may be connected to another predetermined member that is insulated.
- the other predetermined member to which the other DC power source is connected is a conductor embedded in an insulating member present in the processing container, a member constituting a wall of the processing container, or the second member.
- a correction ring placed on the periphery of the substrate to be processed on the electrode is preferable.
- a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode and a second electrode disposed to face each other in the processing container, and the first electrode Or a first high-frequency power supply unit that supplies a first high-frequency power for plasma formation to the second electrode, and a processing gas supply unit that supplies a processing gas into the processing container.
- a plasma processing apparatus for generating a plasma of a processing gas between an electrode and a second electrode to perform plasma processing on a predetermined layer of a substrate to be processed, wherein a DC voltage or an AC voltage is applied to a predetermined member in the processing container.
- a plasma processing apparatus is further provided, which further includes a power supply for applying the voltage.
- the DC voltage or AC voltage may be pulsed or modulated.
- the predetermined member is preferably a conductor embedded in an insulating member existing in the processing container or a member constituting a wall of the processing container.
- the power supply pole may be connected to the predetermined member, and the other pole may be connected to another predetermined member that is insulated from the predetermined member force in the processing container.
- the predetermined member and the other predetermined member are conductors embedded in an insulating member present in the processing container or a member constituting a wall portion of the processing container.
- the power supply further includes another power source, and the other power source is the processing unit. It is preferable to apply a DC voltage or an AC voltage by connecting to the other predetermined member insulated from the predetermined member inside the container. In this case, the DC voltage or AC voltage applied to the other predetermined member may be pulsed or modulated.
- the predetermined member to which the power source is connected is disposed in the vicinity of the first electrode, and the other predetermined member to which the other DC power source is connected is the first electrode. It is preferable to arrange in the vicinity of the two electrodes. In this case, it is preferable that the predetermined member and the other predetermined member are conductors embedded in an insulating member existing in the processing container or a member constituting a wall portion of the processing container.
- the first electrode is an upper electrode
- the second electrode is a lower electrode on which an object to be processed is placed
- a cooling ring that can be cooled installed at a position adjacent to the substrate to be processed, and a correction ring installed on the outer side or the upper side of the cooling ring, and the correction ring is charged with a DC voltage or an AC voltage. It may be configured to function as the predetermined member.
- a member having good heat dissipation is disposed between the cooling ring and the second electrode, or heat transfer is performed between the cooling ring and the second electrode. It is preferably cooled by flowing a gas.
- a temperature measurement mechanism that measures the temperature of the cooling ring
- a cooling unit that cools the cooling ring
- a cooling control unit that controls cooling of the inner ring by the cooling unit.
- high-frequency power is supplied to the second electrode
- power is supplied to the correction ring through the second electrode
- a dielectric member is provided between the cooling ring and the second electrode. It may be configured as shown.
- the first electrode is an upper electrode
- the second electrode is a lower electrode on which the object to be processed is placed
- a first correction ring installed at a position adjacent to the substrate to be processed and a second correction ring installed outside or above the first correction ring, the first correction ring and the second correction ring being a DC voltage
- it may be configured to function as the predetermined member to which an AC voltage is applied.
- the voltage applied to the first correction ring and the second correction ring is the same.
- the first correction ring and the second correction ring may be configured such that different voltages are applied to the first correction ring and the second correction ring.
- one pole and the other pole of a single power source may be connected to the first correction ring and the second correction ring, respectively.
- the first correction ring may be cooled.
- a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode that supports the substrate to be processed
- a first high-frequency power application unit that applies a first high-frequency power for plasma formation to the second electrode, a DC power source that applies a DC voltage to the first electrode, and a processing gas in the processing vessel
- a plasma processing apparatus comprising a processing gas supply unit to be supplied.
- a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode that supports the substrate to be processed
- a first high-frequency power application unit that applies high-frequency power for plasma formation to the first electrode, a second high-frequency power application unit that applies a second high-frequency power to the second electrode, and A third high-frequency power application unit that applies a third high-frequency power to the second electrode; a DC power source that applies a DC voltage to the first electrode; and a processing gas supply unit that supplies a processing gas into the processing vessel
- a plasma processing apparatus is provided.
- a processing container in which a substrate to be processed is accommodated and capable of being evacuated, a first electrode and a second electrode disposed opposite to each other in the processing container, and the first electrode Or a first high-frequency power supply unit that supplies a first high-frequency power for plasma formation to the second electrode, and a processing gas supply unit that supplies a processing gas into the processing container.
- a plasma processing method using a plasma processing apparatus that generates a plasma of a processing gas between an electrode 1 and a second electrode to perform plasma processing on a predetermined layer of a substrate to be processed.
- a plasma When a plasma is formed, When a DC voltage or an AC voltage is applied to the first electrode or the second electrode, the absolute value of the self-bias voltage V on the surface is large enough to obtain a predetermined sputtering effect on the surface of the applied electrode. Or applied All the poles The thickness of the plasma sheath is increased so that a reduced plasma is formed on the counter electrode side of the application electrode, or electrons generated in the vicinity of the application electrode are irradiated on the substrate to be processed. Or so that the plasma potential is controlled to a desired value, or the plasma density is increased, or the distribution of the plasma density is uniform enough to obtain the desired etching uniformity. And a plasma processing method characterized by controlling any one of the applied voltage, applied current and applied power.
- a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode and a second electrode arranged to face each other in the processing container, and the first electrode Or a high-frequency power supply unit for supplying high-frequency power for plasma formation to the second electrode, and a processing gas supply unit for supplying a processing gas into the processing container, and the first electrode and the second electrode
- a plasma etching method is provided, wherein a DC voltage or an AC voltage is applied to a member.
- a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and a first high frequency for plasma formation is disposed on the second electrode.
- This is a plasma processing method in which a processing gas is supplied into the processing container while electric power is applied, plasma of the processing gas is generated, and a substrate to be processed supported by the second electrode is subjected to plasma processing. And providing a plasma process to the substrate while applying a DC voltage to the first electrode and applying a DC voltage to the first electrode. To do.
- a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and a first high frequency for plasma formation is disposed on the first electrode.
- a processing gas is supplied into the processing container to generate plasma of the processing gas, and
- a plasma processing method of performing plasma processing on a substrate to be processed supported by two electrodes, the step of applying a DC voltage to the first electrode, and applying the DC voltage to the first electrode A plasma treatment characterized by comprising plasma treatment on the substrate.
- a computer storage medium storing a control program that operates on a computer, and the control program is executed by the plasma processing method of the sixth aspect at the time of execution. Therefore, a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
- a computer storage medium storing a control program that operates on a computer.
- the control program is executed by the plasma processing method according to the seventh aspect at the time of execution. Therefore, a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
- a computer storage medium storing a control program that operates on a computer.
- the control program is executed by the plasma processing method according to the eighth aspect at the time of execution. Therefore, a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
- a computer storage medium storing a control program that operates on a computer, and the control program is executed by the plasma processing method according to the ninth aspect at the time of execution. Therefore, a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
- a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode that supports the substrate to be processed
- a first high-frequency power application unit that applies a first high-frequency power having a relatively high frequency to the first electrode, and a second high-frequency power that has a relatively low frequency applied to the second electrode.
- a second high-frequency power applying unit a direct current power source for applying a DC voltage to the first electrode, a processing gas supply unit for supplying a processing gas into the processing vessel, and the first electrode from the direct current power source.
- a control device for controlling any one of an applied voltage, an applied current, and an applied power to the plasma processing apparatus.
- the DC power supply can be configured such that any one of applied voltage, applied current, and applied power is variable.
- the control device can be configured to control whether or not a DC voltage can be applied to the first electrode.
- the detector further includes a detector that detects the state of the generated plasma, and based on the information of the detector, the controller controls the applied voltage, applied current, and applied power from the DC power source to the first electrode. It can be configured to control the deviation.
- the first electrode is an upper electrode and the second electrode is a lower electrode.
- the frequency of the first high-frequency power applied to the first electrode is preferably 13.56 MHz or more, more preferably 40 MHz or more.
- the frequency of the second high-frequency power applied to the second electrode is preferably 13.56 MHz or less.
- the DC power supply applies a voltage in a range of -2000 to + 1000V.
- the absolute value of the DC voltage applied from the DC power source is preferably 100 V or more, more preferably 500 V or more.
- the DC voltage is preferably a negative voltage having a larger absolute value than a self-bias voltage generated on the surface of the first electrode by the first high-frequency power applied to the first electrode.
- the surface of the first electrode facing the second electrode can be formed of a silicon-containing material.
- the conductive material that is always grounded in order to release a current based on a DC voltage from the DC power source applied to the first electrode through the plasma, the conductive material that is always grounded.
- a sex member can be provided in the processing vessel.
- the first electrode may be an upper electrode
- the second electrode may be a lower electrode
- the conductive member may be installed around the second electrode. Further, it may be arranged in the vicinity of the first electrode. Further, the conductive member can be arranged in a ring shape outside the first electrode.
- the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing.
- the conductive member has a protective plate that covers a part of the conductive member, and the drive member moves the protective plate relative to the conductive member.
- the part exposed to the plasma may change.
- the conductive member has a cylindrical shape in which a part of the conductive member is exposed to plasma, and a portion of the conductive member exposed to plasma by a driving mechanism that rotates the conductive member around a cylinder axis. Change You may do it.
- a step-shaped protective film that covers a part of the conductive member and has a material that can be etched by plasma, and is exposed to plasma of the conductive member by etching the protective film. May be changed.
- a conductive member to be grounded can be provided in the processing container.
- the first electrode may be an upper electrode
- the second electrode may be a lower electrode
- the conductive member may be installed around the second electrode. It can also be arranged in the vicinity of the first electrode.
- the conductive member can be arranged in a ring shape outside the first electrode.
- the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing. Further, the conductive member can be rubbed to be grounded during plasma etching.
- a DC voltage or an AC voltage can be applied to the conductive member, and a surface of the conductive member is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. Can be. In this case, it is preferable that a DC voltage or an AC voltage is applied to the conductive member during cleaning.
- a switching mechanism for switching the connection of the conductive member between the DC power source side and the ground line is further provided, and when the conductive member is connected to the DC power source side by the switching mechanism, the switching unit is connected to the DC power source.
- a negative DC voltage can be applied to the conductive member.
- a negative DC voltage in order to discharge the DC electron current that flows into the processing container when a negative DC voltage is applied to the conductive member, It is preferable to provide a conductive auxiliary member.
- the first electrode is an upper electrode
- the second electrode is a lower electrode
- the conductive member is disposed in the vicinity of the first electrode
- the conductive auxiliary member is It can be configured to be installed around the second electrode.
- a conductive member that takes either a first state to be grounded or a second state in which a DC voltage is applied from the DC power source and the surface thereof is sputtered or etched is provided in the processing vessel, and the DC A first connection in which a negative electrode of the power source is connected to the application electrode and the conductive member is connected to a ground line, a positive electrode of the DC power source is connected to the first electrode, and a negative electrode of the DC power source is A structure further comprising a connection switching mechanism capable of switching between the second connection connected to the conductive member and capable of forming the first state and the second state by switching, respectively.
- the first state is preferably formed during plasma etching
- the second state is preferably formed during cleaning of the conductive member.
- a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode that supports the processing substrate
- a first high-frequency power application unit that applies a first high-frequency power having a relatively high frequency to the first electrode, and a second high-frequency power that has a relatively low frequency applied to the second electrode.
- a second high-frequency power applying unit a direct current power source for applying a DC voltage to the first electrode, a processing gas supply unit for supplying a processing gas into the processing vessel, and the first electrode from the direct current power source.
- a control device that controls any one of an applied voltage, an applied current, and an applied power to the first electrode, wherein the first electrode is divided into an inner electrode and an outer electrode, and the first high-frequency power is Distributed and applied to the inner and outer electrodes,
- the plasma processing apparatus is characterized in that the DC power supply is connected to at least one of them.
- the DC power supply is configured to be capable of independently changing a DC voltage applied to the inner electrode and the outer electrode.
- the inner electrode and the outer electrode can be configured such that a DC voltage is applied from different DC power sources.
- one pole of the power source can be connected to the inner electrode, and the other pole can be connected to the outer electrode.
- the DC power supply is applied voltage, applied Either the current or the applied power can be configured to be variable.
- control device can be configured to control whether or not a DC voltage is applied from the DC power source to the first electrode.
- a detector for detecting the state of the generated plasma is further provided, and the control device applies an applied voltage, an applied current, and an applied power from the direct current power source to the first electrode based on information of the detector. It can be configured to control either of these.
- the first electrode is an upper electrode and the second electrode is a lower electrode.
- the frequency of the first high-frequency power applied to the first electrode is preferably 13.56 MHz or more, more preferably 40 MHz or more.
- the frequency of the second high-frequency power applied to the second electrode is preferably 13.56 MHz or less.
- the DC power supply may be one that applies a voltage in the range of 2000 to +1000 V, and the DC power applied from the DC power supply.
- the absolute value of the voltage is preferably 100 V or more, preferably 500 V or more.
- the DC voltage is preferably a negative voltage having a larger absolute value than a self-bias voltage generated on the surface of the first electrode by the first high-frequency power applied to the first electrode.
- the surface of the first electrode facing the second electrode can be formed of a silicon-containing material.
- the conductive member is always grounded in order to release a current based on a DC voltage from the DC power source applied to the first electrode via the plasma.
- the first electrode is an upper electrode
- the second electrode is a lower electrode
- the conductive member can be installed around the second electrode. . It can also be arranged in the vicinity of the first electrode. Further, the conductive member can be arranged in a ring shape outside the first electrode.
- the grounded conductive member may have a recess for preventing the attachment of flying objects during plasma processing.
- the protective plate includes a protective plate that covers a part of the conductive member.
- the portion exposed to the plasma of the conductive member may be changed by a drive mechanism that moves the relative position of the conductive member relative to the conductive member.
- the conductive member has a cylindrical shape in which a part of the conductive member is exposed to plasma, and a portion of the conductive member exposed to plasma by a driving mechanism that rotates the conductive member around a cylinder axis. May be changed.
- a step-shaped protective film that covers a part of the conductive member and has a material that can be etched by plasma, and is exposed to plasma of the conductive member by etching the protective film. May be changed.
- a conductive member to be grounded can be provided in the processing container.
- the first electrode may be an upper electrode
- the second electrode may be a lower electrode
- the conductive member may be installed around the second electrode. It can also be arranged in the vicinity of the first electrode.
- the conductive member can be arranged in a ring shape outside the first electrode.
- the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing. Further, the conductive member can be rubbed to be grounded during plasma etching.
- a DC voltage or an AC voltage can be applied to the conductive member, and the surface is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. Can be. In this case, it is preferable that a DC voltage or an AC voltage is applied to the conductive member during cleaning.
- a switching mechanism for switching the connection of the conductive member between the DC power source side and the ground line is further provided, and when the conductive member is connected to the DC power source side by the switching mechanism, the switching unit is connected to the DC power source.
- a negative DC voltage can be applied to the conductive member.
- the DC electrons that flowed into the processing container when a negative DC voltage is applied to the conductive member In order to discharge the current, it is preferable to provide a grounded conductive auxiliary member.
- the first electrode is an upper electrode
- the second electrode is a lower electrode
- the conductive member is disposed in the vicinity of the first electrode
- the conductive auxiliary member is It can be configured to be installed around the second electrode.
- a conductive member that takes either a first state to be grounded or a second state in which a DC voltage is applied from the DC power source and the surface thereof is sputtered or etched is provided in the processing vessel, and the DC A first connection in which a negative electrode of the power source is connected to the application electrode and the conductive member is connected to a ground line, a positive electrode of the DC power source is connected to the first electrode, and a negative electrode of the DC power source is A structure further comprising a connection switching mechanism capable of switching between the second connection connected to the conductive member and capable of forming the first state and the second state by switching, respectively.
- the first state is preferably formed during plasma etching
- the second state is preferably formed during cleaning of the conductive member.
- a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and the first electrode having a relatively high frequency is disposed on the first electrode.
- a processing gas is supplied into the processing container to generate plasma of the processing gas.
- the first electrode is an upper electrode and the second electrode is a lower electrode.
- the DC voltage is preferably a negative voltage having an absolute value larger than a self-noise voltage generated on the surface of the first electrode by the first high-frequency power applied to the first electrode.
- the frequency of the first high-frequency power applied to the upper electrode is 13.56 to 60 MHz, and the lower electrode
- the frequency of the second high frequency power applied to the pole is preferably 300 kHz to 13.56 MHz or less.
- the processing gas is a gas containing a fluorocarbon. In this case, the gas power containing the fluorocarbon should contain at least CF.
- the gas containing the fluorocarbon can further contain an inert gas.
- the insulating film may be an organic insulating film.
- the organic insulating film may be a SiOC-based film.
- the base film of the SiOC-based film is preferably formed of silicon carbide (SiC).
- the absolute value of the DC voltage is preferably 1500 V or less.
- the processing pressure is preferably 1.3 to 26.7 Pa (10 to 200 mTorr).
- the first high frequency power applied to the upper electrode is preferably 3000 W or less.
- the second high frequency power applied to the lower electrode is preferably 100 to 5000 W.
- LZmin (sccm) is preferred.
- the above plasma processing method may be applied to the overetching step.
- the processing gas is CF or C
- C F, CF, Ar, O, or C is used as the processing gas in order to increase the etching speed of the insulating film.
- the first electrode and the second electrode that supports the substrate to be processed are disposed opposite to each other in the processing container, and the first electrode is divided into an inner electrode and an outer electrode.
- a first high-frequency power having a relatively high frequency is applied to one electrode, and the relative frequency is applied to the second electrode.
- the second high frequency power of low power! A plasma processing method for supplying a processing gas into the processing container, generating plasma of the processing gas, and performing a plasma processing on a substrate to be processed supported by the second electrode, A step of applying a DC voltage to at least one of the inner electrode and the outer electrode, and a step of applying a plasma treatment to the substrate to be processed while applying a DC voltage to the first electrode.
- a plasma processing method is provided.
- the processing gas is CF or C F
- the processing gas is C F, CF, Ar, O
- a computer storage medium storing a control program that operates on a computer, wherein the control program is executed when the plasma processing according to the sixteenth aspect is performed.
- a computer storage medium is provided that controls the plasma processing apparatus such that the method is performed.
- a computer storage medium storing a control program that operates on a computer, wherein the control program is executed when the plasma processing according to the seventeenth aspect is performed.
- a computer storage medium is provided that controls the plasma processing apparatus such that the method is performed.
- a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode supporting the substrate to be processed
- a first high frequency power application unit that applies a first high frequency power having a relatively high frequency to the second electrode, and a second high frequency power having a relatively low frequency to the second electrode.
- a second high-frequency power applying unit to be applied; a direct current power source for applying a DC voltage to the first electrode; and a processing gas supply unit for supplying a processing gas into the processing container.
- a plasma processing apparatus is provided.
- the DC power supply can be configured such that any one of the applied voltage, applied current and applied power to the first electrode is variable. Further, it may be configured to further comprise a control device that controls whether the applied voltage, applied current, and applied power from the DC power source to the first electrode are shifted. In this case, the control device can be configured to control whether or not a DC voltage can be applied to the first electrode. In addition, a detector for detecting the state of the generated plasma is further provided, and based on the information of the detector, the control device controls the applied voltage, applied current, and applied power from the DC power source to the first electrode. Can be configured to control either!
- the first electrode is an upper electrode and the second electrode is a lower electrode.
- the frequency of the first high-frequency power applied to the second electrode is preferably 27 MHz or more, and preferably 40 MHz or more.
- the frequency of the second high-frequency power applied to the second electrode is preferably 13.56 MHz or less.
- the DC power supply applies a voltage in a range of -2000 to + 1000V.
- a surface of the first electrode facing the second electrode can be formed of a silicon-containing material.
- the first electrode is in a DC floating state with respect to a ground potential.
- the first electrode has a variable device that can be changed to a floating state or a grounded state, and when the DC voltage is applied to the first electrode based on a command from the overall control device, the first electrode can be changed.
- the variable device places the first electrode in a floating state with respect to the ground potential, and when no DC voltage is applied to the first electrode, the variable device has the first electrode in a floating state with respect to the ground potential. It is preferable to be out of state.
- the first electrode is applied to the first electrode.
- a conductive member which is always grounded can be provided in the processing container. In this case, the first
- One electrode may be an upper electrode, the second electrode may be a lower electrode, and the conductive member may be installed around the second electrode. Further, it can be rubbed so as to be arranged in the vicinity of the first electrode. Further, the conductive member can be arranged in a ring shape outside the first electrode.
- the grounded conductive member may have a recess for preventing the attachment of flying objects during plasma processing.
- the conductive member has a protective plate that covers a part of the conductive member, and the drive member moves the protective plate relative to the conductive member.
- the part exposed to the plasma may change.
- the conductive member has a cylindrical shape in which a part of the conductive member is exposed to plasma, and a portion of the conductive member exposed to plasma by a driving mechanism that rotates the conductive member around a cylinder axis. May be changed.
- a step-shaped protective film that covers a part of the conductive member and has a material that can be etched by plasma, and is exposed to plasma of the conductive member by etching the protective film. May be changed.
- a conductive member to be grounded can be provided in the processing container.
- the first electrode may be an upper electrode
- the second electrode may be a lower electrode
- the conductive member may be installed around the second electrode. It can also be arranged in the vicinity of the first electrode.
- the conductive member can be arranged in a ring shape outside the first electrode.
- the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing. Further, the conductive member can be rubbed to be grounded during plasma etching.
- a DC voltage or an AC voltage can be applied to the conductive member, and a surface of the conductive member is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. Can be.
- the guide The electric member is preferably applied with a DC voltage or an AC voltage during cleaning.
- a switching mechanism for switching the connection of the conductive member between the DC power source side and the ground line is further provided, and when the conductive member is connected to the DC power source side by the switching mechanism, the switching unit is connected to the DC power source.
- a negative DC voltage can be applied to the conductive member.
- a negative DC voltage in order to discharge the DC electron current that flows into the processing container when a negative DC voltage is applied to the conductive member, It is preferable to provide a conductive auxiliary member.
- the first electrode is an upper electrode
- the second electrode is a lower electrode
- the conductive member is disposed in the vicinity of the first electrode
- the conductive auxiliary member is It can be configured to be installed around the second electrode.
- a conductive member that takes either a first state to be grounded or a second state in which a DC voltage is applied from the DC power source and the surface thereof is sputtered or etched is provided in the processing vessel, and the DC A first connection in which a negative electrode of a power source is connected to the application electrode and the conductive member is connected to a ground line; a positive electrode of the DC power source is connected to the first electrode; and a negative electrode of the DC power source
- the first state is preferably formed during plasma etching
- the second state is preferably formed during cleaning of the conductive member.
- a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and the second electrode having a relatively high frequency is disposed on the second electrode.
- a processing gas is supplied into the processing container to generate plasma of the processing gas, and is supported by the second electrode.
- a plasma processing method comprising a step of applying a current voltage and a step of applying a plasma treatment to the substrate to be processed while applying a DC voltage to the first electrode.
- any one of the applied voltage, applied current and applied power to the first electrode can be made variable.
- any one of an applied voltage, an applied current, and an applied power to the first electrode can be controlled.
- the state of the generated plasma can be detected, and any one of the applied voltage, applied current, and applied power to the first electrode can be controlled based on the detected information.
- the first electrode is in a DC floating state with respect to a ground potential.
- the first electrode can be changed to a floating state or a grounded state, and the first electrode is turned on when a DC voltage is applied to the first electrode based on a command from the overall control device.
- the first electrode is in a floating state with respect to a ground potential, and when no DC voltage is applied to the first electrode, the first electrode is in a floating state or a ground state with respect to the ground potential.
- a conductive member that is always grounded is provided in the processing container, and a current based on a DC voltage applied to the first electrode is obtained. It is preferable to escape via plasma.
- a conductive member to be grounded is provided in the processing container based on a command from the overall control device, and a current based on a DC voltage applied to the first electrode is released through plasma.
- the conductive member may be grounded during plasma etching. Further, a DC voltage or an AC voltage can be applied to the conductive member, and a surface of the conductive member is sputtered or etched by applying the DC voltage or the AC voltage based on a command of the overall control device force. You may do it.
- the conductive member may be applied with a DC voltage or an AC voltage during cleaning.
- a switching mechanism for switching the connection of the conductive member between a DC power supply side to which a DC voltage is applied and a ground line, and when the conductive member is connected to the DC power supply side by the switching mechanism.
- a DC voltage or a voltage from the DC power source to the conductive member The surface may be sputtered or etched by applying an AC voltage.
- a negative DC voltage may be applied to the conductive member.
- a grounded conductive auxiliary member is provided in the processing container in order to discharge a DC electron current that has flowed into the processing container when a negative DC voltage is applied to the conductive member. May be.
- the processing gas is CF or
- the processing gas is CF or CF, CF or CF, CF or CF or CF, CF.
- any combination of 4 4 3 8 4 4 8 4 4 6 can be used. Further, when etching the insulating film of the substrate to be processed supported by the second electrode, in order to increase the etching rate of the insulating film, CF, CF, Ar, O, or CF, CF, Ar, O,
- a computer storage medium storing a control program that operates on a computer.
- the control program is executed by the plasma processing method according to the twenty-first aspect when executed.
- a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
- the absolute value of the self-bias voltage of the first electrode is increased.
- the effect of sputtering on the surface of the first electrode (2) the effect of expanding the plasma sheath at the first electrode and reducing the plasma formed, and (3) the processing of electrons generated in the vicinity of the first electrode Effect on substrate, (4) effect of controlling plasma potential, (5) effect of increasing electron (plasma) density, (7) At least one of the effects of increasing the plasma density of the core can be achieved.
- the effective residence time on the substrate to be processed is reduced, and the plasma is concentrated on the substrate to be processed, so that the diffusion is suppressed and the exhaust space is reduced. Dissociation of the processing gas of the system is suppressed, and an organic mask such as a photoresist film is difficult to etch.
- the mask composition on the substrate to be processed can be modified, and the roughness of the photoresist film can be eliminated.
- the substrate to be processed is irradiated with high-speed electrons, the shading effect is suppressed, and the fine processability of the substrate to be processed is improved.
- the plasma potential is appropriately controlled, and etching sub- stances on the inner members of the processing container such as electrodes, chamber walls (depot shields, etc.), insulating materials in the processing container, etc.
- the adhesion of living things can be suppressed.
- the etching rate (etching rate) for the substrate to be processed can be increased by the effect (5).
- the plasma density in the central portion of the processing container is lower than that in the vicinity. (The generation of negative ions can be suppressed), and the plasma density can be controlled so that the plasma density is uniform.
- the plasma resistance of the organic mask layer such as a resist layer can be maintained high and etching can be performed with a high selectivity.
- deposits on the electrode can be effectively eliminated.
- high-speed etching can be performed, or uniform etching can be performed on the substrate to be processed.
- the effect of controlling the plasma potential can be achieved.
- the plasma potential is appropriately controlled, the electrodes, It is possible to suppress etching by-products from adhering to chamber walls (depot shields, etc.) and insulating container materials.
- the first high-frequency power application unit that applies the first high-frequency power having a relatively high frequency is connected to the first electrode, and the first substrate that supports the substrate to be processed is supported. Since the second high-frequency power application unit for applying the second high-frequency power having a relatively low frequency is connected to the second electrode, and a DC power source for applying a DC voltage is connected to the first electrode, the first (1) First voltage is applied to the first electrode when plasma processing is performed while forming a plasma of the processing gas with the second high-frequency power and while the second high-frequency power is attracting ions to the substrate to be processed.
- Sputtering effect on the surface of the first electrode by increasing the absolute value of the self-bias voltage of the electrode (2) Effect of expanding the plasma sheath in the first electrode and reducing the plasma formed, (3) Electricity generated near the first electrode
- the effect of irradiating the substrate onto the substrate to be processed (4) the effect of controlling the plasma potential, (5) the effect of increasing the electron (plasma) density, (7) the effect of increasing the plasma density at the center Both can play one.
- the effective residence time on the substrate to be processed is reduced, and the plasma is concentrated on the substrate to be processed, so that the diffusion is suppressed and the exhaust space is reduced. Dissociation of the processing gas of the system is suppressed, and an organic mask such as a photoresist film is difficult to etch.
- the mask composition on the substrate to be processed can be modified, and the roughness of the photoresist film can be eliminated.
- the substrate to be processed is irradiated with high-speed electrons, the shading effect is suppressed, and the fine processability of the substrate to be processed is improved.
- the plasma potential is appropriately controlled so that the electrode, the chamber wall (depot shield, etc.), the insulating material in the processing container, etc.
- the adhesion of etching by-products can be suppressed.
- the etching rate (etching rate) for the substrate to be processed can be increased by the effect (5).
- the plasma resistance of the organic mask layer such as a resist layer can be maintained high and etching can be performed with a high selectivity.
- deposits on the electrode can be effectively eliminated.
- high-speed etching can be performed, or uniform etching can be performed on the substrate to be processed.
- the first electrode is divided into an inner electrode and an outer electrode, and the first high-frequency power is distributed to the inner electrode and the outer electrode.
- the DC power source is connected to at least one of them, so that the above effect can be avoided and the electric field strength of the inner electrode and the outer electrode can be changed, and the uniformity of the plasma density in the radial direction can be changed. Can be further enhanced.
- the insulating film which is the layer to be etched, and A sufficient selectivity with respect to the base film can be obtained.
- the insulating film is a SiOC film of an organic insulating film and the base film is formed of silicon carbide, or the insulating film is SiO of an inorganic insulating film and the base film is formed of silicon nitride. In case formed
- Etching can be performed while suppressing etching of the underlying film as much as possible.
- the SiOC film or the like can be maintained while maintaining a high selection ratio as described above.
- the selectivity with respect to the resist particularly the etching selectivity of the SiOC-based film with respect to the ArF resist.
- CD Cosmetic Dimension
- a first high-frequency power application unit that applies a first high-frequency power having a relatively high frequency to the second electrode that supports the substrate to be processed.
- a second high frequency power application unit that applies a second high frequency power having a relatively low frequency
- a DC power source that applies a DC voltage is connected to the first electrode.
- the first electrode is applied to the first electrode.
- the absolute value of the self-bias voltage of the first electrode is increased to increase the sputtering effect on the surface of the first electrode, and (2) the plasma sheath at the first electrode is enlarged.
- the plasma is reduced Effects, (3) the effect of irradiating the substrate with electrons generated near the first electrode, (4) the effect of controlling the plasma potential, (5) the effect of increasing the electron (plasma) density, (6) At least one of the effects of increasing the plasma density in the center can be achieved.
- the effect of the above (3) can modify the mask composition on the substrate to be processed and eliminate the roughness of the photoresist film.
- the substrate to be processed is irradiated with high-speed electrons, the shading effect is suppressed, and the fine processability of the substrate to be processed is improved.
- the plasma potential is appropriately controlled, and the electrode
- the adhesion of etching by-products to chamber walls (depot shields, etc.) and internal members of the processing container such as insulating materials in the processing container can be suppressed.
- the etching rate (etching speed) for the substrate to be processed can be increased by the effect (5).
- the plasma resistance of the organic mask layer such as a resist layer can be kept high and etching can be performed with a high selectivity.
- deposits on the electrode can be effectively eliminated.
- high-speed etching can be performed, or uniform etching can be performed on the substrate to be processed.
- FIG. 1 is a schematic sectional view showing a plasma etching apparatus according to a first embodiment of the present invention.
- FIG. 2 is a diagram showing the structure of a matching unit connected to the first high-frequency power source in the plasma etching apparatus of FIG.
- FIG. 3 is a diagram showing changes in V and plasma sheath thickness when a DC voltage is applied to the upper electrode in the plasma etching apparatus of FIG.
- FIG. 4A is a diagram comparing the plasma state in the plasma etching apparatus of FIG. 1 with and without direct current applied to the upper electrode.
- FIG. 4B is a diagram showing a comparison of plasma states in the plasma etching apparatus of FIG. 1 with and without direct current applied to the upper electrode.
- FIG. 5 shows the etching rate of the photoresist film when the SiO film is etched by changing the DC voltage applied to the upper electrode by the plasma etching apparatus of FIG.
- 2 is a graph showing the etch rate of 2 2 and the selectivity of the SiO film to the photoresist film.
- FIG. 6 is a diagram showing an example of a multilayer film to which a continuous etching process is applied.
- Fig. 7 shows the application of DC voltage to the upper electrode in the plasma etching system of Fig. 1. The figure which shows the change of the plasma potential waveform at the time of doing.
- FIG. 8 is a diagram showing the relationship between the DC voltage applied to the upper electrode and the maximum plasma potential in the plasma etching apparatus of FIG.
- FIG. 9 is a diagram showing changes in the electron density and its distribution when the applied DC voltage is changed in the plasma etching apparatus of FIG.
- FIG. 10A is a diagram schematically showing the etching state of the center and the edge at each DC voltage in the etching of FIG.
- FIG. 10B is a diagram schematically showing the etching state of the center and the edge at each DC voltage in the etching of FIG.
- FIG. 10C is a diagram schematically showing the etching state of the center and the edge at each DC voltage in the etching of FIG.
- FIG. 11 is a diagram showing the relationship between the self-bias voltage on the surface of the upper electrode and the applied DC voltage.
- FIG. 12 is a cross-sectional view showing a state where a detector for detecting plasma is provided in the plasma etching apparatus of FIG.
- FIG. 13 is a diagram showing waveforms for suppressing abnormal discharge when a DC voltage is applied to the upper electrode in the plasma etching apparatus of FIG. 1.
- FIG. 14 is a schematic diagram showing another arrangement example of the GND block.
- FIG. 15 is a schematic diagram showing still another arrangement example of the GND block.
- FIG. 16A is a diagram for explaining an example of preventing adhesion of a GND block.
- FIG. 16B is a diagram for explaining an example of preventing adhesion of a GND block.
- FIG. 17 is a schematic diagram showing an example of a device configuration capable of removing deposits on the GND block.
- FIG. 18A is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG.
- FIG. 18B is a schematic diagram for explaining the state during plasma etching and the state during tallying in the apparatus of FIG.
- FIG. 19 is a schematic diagram showing another state during plasma etching in the apparatus of FIG. Schematic.
- FIG. 20 is a schematic view showing another example of a device configuration capable of removing deposits on the GND block.
- FIG. 21A is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG.
- FIG. 21B is a schematic diagram for explaining the state during plasma etching and the state during tallying in the apparatus of FIG.
- FIG. 22 is a schematic diagram showing an example of a GND block having a function for preventing DC from being grounded.
- FIG. 23 is a schematic diagram showing another example of a GND block having a function to prevent DC from being grounded.
- FIG. 24A is a schematic diagram showing still another example of a GND block having a function of preventing the DC from being grounded.
- FIG. 24B is a schematic diagram showing still another example of a GND block having a function of preventing the DC from being grounded.
- FIG. 25 is a schematic diagram showing still another example of a GND block having a function of preventing the DC from being grounded.
- FIG. 26 is a schematic diagram showing still another example of a GND block having a function for preventing DC from being grounded.
- FIG. 27 is a schematic diagram showing still another example of a GND block provided with a function for preventing DC from being grounded.
- FIG. 28 is a graph showing electron temperature distribution in RF plasma and DC plasma.
- FIG. 29 is a diagram showing an electron temperature distribution when plasma is formed with only high-frequency power and when a DC voltage is also applied.
- FIG. 30A is a diagram for explaining the ion followability when the frequency of the bias high-frequency power is 2 MHz and 13.56 MHz.
- FIG. 30B is a diagram for explaining ion follow-up characteristics when the frequency of the bias high-frequency power is 2 MHz and 13.56 MHz.
- FIG. 31 is a diagram showing ion energy distribution when the frequency of the bias high-frequency power is 2 MHz and 13.56 MHz.
- FIG. 32A is a schematic diagram showing an example of a cross-sectional structure of a wafer that can be an etching target when etching is performed by the plasma etching apparatus of FIG. 1.
- FIG. 32B is a schematic diagram showing an example of a cross-sectional structure of a wafer that can be an etching target when etching is performed by the plasma etching apparatus of FIG. 1.
- FIG. 33A is a schematic diagram showing another example of a cross-sectional structure of a wafer that can be an etching target when etching is performed by the plasma etching apparatus of FIG. 1.
- FIG. 33B is a schematic diagram showing another example of a cross-sectional structure of a wafer that can be an etching target when etching is performed by the plasma etching apparatus of FIG. 1.
- FIG. 34 is a schematic sectional view showing a plasma etching apparatus according to a second embodiment of the present invention.
- FIG. 35 is a schematic cross-sectional view showing a configuration of a main part of the plasma etching apparatus of FIG. 34.
- FIG. 36 is a circuit diagram showing an equivalent circuit of the main part of the plasma generating means in the plasma etching apparatus of FIG. 34.
- FIG. 37 is a view showing the relationship between the capacitance value of the variable capacitor and the electric field strength ratio in the plasma etching apparatus of FIG.
- FIG. 38 is a view showing a modification example of applying DC voltage to the upper electrode of the plasma etching apparatus of FIG.
- FIG. 39 is a view showing another modification of applying a DC voltage to the upper electrode of the plasma etching apparatus of FIG. 34.
- FIG. 40 is a schematic sectional view showing a plasma etching apparatus according to a third embodiment of the present invention.
- FIG. 41 is a schematic cross-sectional view showing a plasma etching apparatus according to a third embodiment of the present invention.
- FIG. 42A-B are diagrams showing changes in V and plasma sheath thickness when a DC voltage is applied to the upper electrode in the plasma etching apparatus of FIG. 41.
- FIGS. 42A-B show a direct current applied to the upper electrode in the plasma etching apparatus of FIG. 41. The figure which shows the change of V and plasma sheath thickness when a voltage is applied.
- FIG. 43A is a diagram showing a change in electron density when the applied DC voltage is changed using the HARC etching conditions in the plasma etching apparatus of FIG. 41.
- FIG. 43B is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of HARC etching in the plasma etching apparatus of FIG. 41.
- FIG. 43C is a diagram showing a change in electron density when the applied DC voltage is changed using the HARC etching conditions in the plasma etching apparatus of FIG.
- FIG. 43D is a diagram showing a change in electron density when the applied DC voltage is changed using the HARC etching conditions in the plasma etching apparatus of FIG. 41.
- FIG. 44A is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of Via etching in the plasma etching apparatus of FIG. 41.
- FIG. 44B is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of Via etching in the plasma etching apparatus of FIG. 41.
- FIG. 44C is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of Via etching in the plasma etching apparatus of FIG. 41.
- FIG. 44D is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of Via etching in the plasma etching apparatus of FIG. 41.
- FIG. 45 is a diagram showing an electron density distribution in the wafer radial direction when the first high frequency power is 3000 W and the second high frequency power is 4000 W by the HARC etching.
- FIG. 46 is a diagram showing the results of measuring the electron density distribution in the wafer radial direction when a DC voltage is applied and when no DC voltage is applied, using trench etching conditions.
- FIG. 47 is a diagram showing an electrical state of the upper electrode in the plasma etching apparatus of FIG. 41.
- FIG. 48 is a diagram showing an electrical state of the upper electrode in the plasma etching apparatus of FIG. 41.
- FIG. 49 is a view showing the electrical state of the upper electrode in the plasma etching apparatus of FIG. 41.
- FIG. 50 shows the detection for detecting plasma in the plasma etching apparatus of FIG. 41. Sectional drawing which shows the state which provided the container.
- FIG. 51 is a schematic diagram showing another arrangement example of the GND block.
- FIG. 52 is a schematic diagram showing still another arrangement example of the GND block.
- FIG. 53 is a schematic diagram showing an example of a device configuration capable of removing deposits on the GND block.
- FIG. 54A is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG. 53.
- FIG. 54A is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG. 53.
- FIG. 54B is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG. 53.
- FIG. 55 is a schematic view showing another state at the time of plasma etching in the apparatus of FIG. 53.
- FIG. 56 is a schematic view showing another example of a device configuration capable of removing deposits on the GND block.
- FIG. 57A is a schematic diagram for explaining the state during plasma etching and the state during tallying in the apparatus of FIG. 56.
- FIG. 57B is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG. 56.
- FIG. 58 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 4 of the present invention.
- FIG. 59 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 5 of the present invention.
- FIG. 60 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 6 of the present invention.
- FIG. 61 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 7 of the present invention.
- FIG. 62 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 8 of the present invention.
- FIG. 63 is a simplified schematic view of the essential parts of the plasma etching apparatus according to the ninth embodiment of the present invention.
- FIG. 63 is a simplified schematic view of the essential parts of the plasma etching apparatus according to the ninth embodiment of the present invention.
- FIG. 64 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 10 of the present invention.
- FIG. 65 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 11 of the present invention.
- FIG. 66 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 12 of the present invention.
- FIG. 67 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 13 of the present invention.
- FIG. 68 is a schematic cross-sectional view showing a simplified main part of a conventional plasma etching apparatus to be compared with the plasma etching apparatus according to Embodiment 13 of the present invention.
- FIG. 69 is a schematic cross-sectional view showing, in a simplified manner, main portions of a modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
- FIG. 70 is a schematic cross-sectional view showing, in a simplified manner, main portions of another modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
- FIG. 71 is a schematic cross-sectional view showing, in a simplified manner, main portions of another modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
- FIG. 72 is a schematic cross-sectional view showing the main part of still another modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
- FIG. 73 is a schematic cross-sectional view showing the main part of still another modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
- FIG. 74 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 14 of the present invention.
- FIG. 75 is a schematic cross-sectional view showing, in a simplified manner, main portions of a modification of the plasma etching apparatus according to Embodiment 14 of the present invention.
- FIG. 76 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 15 of the present invention.
- FIG. 77 is a schematic diagram of a modification of the plasma etching apparatus according to Embodiment 15 of the present invention.
- the schematic sectional drawing which simplifies and shows a part.
- FIG. 78 is a schematic cross-sectional view showing, in a simplified manner, main portions of another modification of the plasma etching apparatus according to Embodiment 15 of the present invention.
- FIG. 79 is a cross-sectional view showing an example of a plasma etching apparatus according to Embodiment 16 of the present invention.
- FIG. 80 is a cross-sectional view showing an example of a plasma etching apparatus according to Embodiment 17 of the present invention.
- FIG. 81 is a cross-sectional view showing an example of another type of plasma etching apparatus to which the present invention can be applied.
- FIG. 82 is a schematic view showing an example of still another type of plasma etching apparatus to which the present invention can be applied.
- FIG. 1 is a schematic sectional view showing a plasma etching apparatus according to the first embodiment of the present invention.
- This plasma etching apparatus is configured as a capacitively coupled parallel plate plasma etching apparatus, and has, for example, a substantially cylindrical chamber (processing vessel) 10 made of aluminum having an anodized surface. ing. This chamber 10 is a safety ground.
- a cylindrical susceptor support 14 is disposed at the bottom of the chamber 10 via an insulating plate 12 that also has ceramic isotropic force.
- a susceptor 16 that also has aluminum force, for example, is provided on the susceptor support 14. Yes.
- the susceptor 16 constitutes a lower electrode, on which a semiconductor wafer W as a substrate to be processed is placed.
- an electrostatic chuck 18 for attracting and holding the semiconductor wafer W with an electrostatic force is provided.
- This electrostatic chuck 18 has a structure in which an electrode 20 made of a conductive film is sandwiched between a pair of insulating layers or insulating sheets, and a DC power source 22 is electrically connected to the electrode 20. Then, the semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power source 22.
- a cylindrical inner wall member 26 having a quartz force is provided on the side surfaces of the susceptor 16 and the susceptor support 14.
- a refrigerant chamber 28 is provided inside the susceptor support base 14, for example.
- a coolant of a predetermined temperature for example, cooling water
- a chiller unit not shown
- the temperature can be controlled.
- a heat transfer gas from a heat transfer gas supply mechanism (not shown), for example, He gas is supplied between the upper surface of the electrostatic chuck 18 and the back surface of the semiconductor wafer W via the gas supply line 32.
- An upper electrode 34 is provided in parallel above the susceptor 16 serving as the lower electrode so as to face the susceptor 16.
- the space between the upper and lower electrodes 34 and 16 becomes a plasma generation space.
- the upper electrode 34 forms a surface facing the plasma generation space, that is, a surface facing the semiconductor wafer W and W on the susceptor 16 as the lower electrode.
- the upper electrode 34 is supported on the upper portion of the chamber 10 via an insulating shielding member 42.
- the electrode plate 36 forms a surface facing the susceptor 16 and has a large number of discharge holes 37.
- the electrode plate 36 is detachably supported, and is composed of a conductive material, for example, an electrode support 38 having a water-cooled structure made of an anodized aluminum cover.
- the electrode plate 36 is preferably a low-resistance conductor or semiconductor with low Joule heat, and a silicon-containing material is preferable from the viewpoint of strengthening the resist as described later. From such a viewpoint, the electrode plate 36 is preferably made of silicon or SiC.
- a gas diffusion chamber 40 is provided inside the electrode support 38, and a number of gas flow holes 41 communicating with the gas discharge holes 37 extend downward from the gas diffusion chamber 40.
- the electrode support 38 is provided with a gas introduction port 62 for introducing a processing gas to the gas diffusion chamber 40.
- a gas supply pipe 64 is connected to the gas introduction port 62.
- Process gas supply 66 is connected.
- the gas supply pipe 64 is also provided with a mass flow controller (MFC) 68 and an opening / closing valve 70 in order of upstream force (FCN may be used instead of MFC).
- MFC mass flow controller
- FCN upstream force
- Fluorocarbon gas (CxFy) such as 48 gas reaches the gas diffusion chamber 40 as well as the gas supply pipe 64, and is discharged into the plasma generation space in the form of a shower through the gas flow hole 41 and the gas discharge hole 37. That is, the upper electrode 34 functions as a shower head for supplying the processing gas.
- a first high-frequency power supply 48 is electrically connected to the upper electrode 34 via a matching unit 46 and a power feed rod 44.
- the first high frequency power supply 48 outputs a high frequency power of 13.56 MHz or higher, for example, 60 MHz.
- the matching unit 46 matches the load impedance to the internal (or output) impedance of the first high-frequency power source 48, and the output impedance of the first high-frequency power source 48 when plasma is generated in the chamber 10. Functions so that the load impedances seem to match.
- the output terminal of the matching unit 46 is connected to the upper end of the feeder rod 44.
- variable DC power supply 50 is electrically connected to the upper electrode 34.
- the variable DC power supply 50 may be a bipolar power supply.
- the variable DC power supply 50 is connected to the upper electrode 34 via the matching unit 46 and the power supply rod 44, and the power supply can be turned on and off by the on / off switch 52.
- the polarity and current / voltage of the variable DC power supply 50 and the on / off of the on / off switch 52 are controlled by a controller (control device) 51.
- the matching unit 46 includes a first variable capacitor 54 that is branched from the power supply line 49 of the first high-frequency power supply 48, and a downstream side of the branch point of the power supply line 49.
- the second variable capacitor 56 provided in the first and second capacitors 56 is provided, and these functions are exhibited.
- the matching unit 46 is supplied with a high frequency (for example, 60 MHz) from the first high frequency power supply 48 so that a DC voltage current (hereinafter simply referred to as a DC voltage) can be effectively supplied to the upper electrode 34 and a first voltage described later.
- a filter 58 is provided for trapping a high frequency (for example, 2 MHz) from the second high frequency power source.
- the filter 58 includes a coil 59 and a capacitor 60, and traps high frequency from the first high frequency power supply 48 and high frequency from a second high frequency power supply described later.
- Side wall force of the chamber 10 A cylindrical ground conductor 10a is provided so as to extend above the height position of the upper electrode 34, and the top wall portion of the cylindrical ground conductor 10a is a cylindrical insulating member. It is electrically insulated from the upper feed rod 44 by 44a.
- a second high frequency power supply 90 is electrically connected to the susceptor 16 serving as the lower electrode via a matching unit 88.
- the second high frequency power supply 90 outputs a frequency within a range of 300 kHz to 13.56 MHz, for example, a high frequency power of 2 MHz.
- the matching unit 88 is used to match the load impedance to the internal (or output) impedance of the second high-frequency power supply 90, and the internal impedance of the second high-frequency power supply 90 when plasma is generated in the chamber 10. And the load impedance function so that they match.
- the upper electrode 34 does not pass the high frequency (60MHz) from the first high frequency power supply 48, but passes the high frequency (2MHz) from the second high frequency power supply 90 to the ground.
- Low pass filter (LP F) 92 are electrically connected.
- the low-pass filter (LPF) 92 is preferably composed of an LR filter or an LC filter.
- a susceptor 16 as a lower electrode is electrically connected to a high pass filter (HPF) 94 for passing a high frequency (60 MHz) from the first high frequency power supply 48 to the ground.
- An exhaust port 80 is provided at the bottom of the chamber 10, and an exhaust device 84 is connected to the exhaust port 80 via an exhaust pipe 82.
- the exhaust device 84 has a vacuum pump such as a turbo molecular pump, and can reduce the pressure in the chamber 10 to a desired degree of vacuum.
- a loading / unloading port 85 for the semiconductor wafer W is provided on the side wall of the chamber 10, and this loading / unloading port 85 can be opened and closed by a gate valve 86.
- a depositing shield 11 is detachably provided for preventing the etching byproduct (depot) from adhering to the chamber 10. That is, the deposit shield 11 constitutes the chamber wall.
- the deposition shield 11 is also provided on the outer periphery of the inner wall member 26.
- An exhaust plate 83 is provided between the deposition shield 11 on the chamber wall side at the bottom of the chamber 10 and the deposition shield 11 on the inner wall member 26 side.
- Depot shield 11 and exhaust plate 83 are preferably made of aluminum coated with ceramics such as YO. be able to.
- a conductive member (GND block) 91 connected to the ground in a DC manner is provided at a portion substantially the same height as the wafer W of the portion constituting the inner wall of the chamber of the deposition shield 11, and this is described later. It exhibits the effect of preventing abnormal discharge.
- control unit 95 Each component of the plasma etching apparatus is connected to and controlled by a control unit (overall control device) 95.
- control unit 95 includes a keyboard for the process manager to input commands to manage the plasma etching device, a display that visualizes and displays the operating status of the plasma processing device, etc.
- Interface 96 is connected.
- control unit 95 includes a control program for realizing various processes executed by the plasma etching apparatus under the control of the control unit 95, and each configuration of the plasma etching apparatus according to the processing conditions.
- the recipe may be stored in a hard disk or semiconductor memory, or set in a predetermined position in the storage unit 97 while being stored in a storage medium readable by a portable computer such as a CDROM or DVD. It's okay.
- the plasma processing apparatus (plasma etching apparatus) described in the embodiment of the present invention includes this control unit 95.
- the gate valve 86 is opened, and the semiconductor wafer, W, to be etched is transferred into the chamber 10 via the loading / unloading port 85. And place it on the susceptor 16. Then, a processing gas for etching is supplied from the processing gas supply source 66 to the gas diffusion chamber 40 at a predetermined flow rate, and exhausted while being supplied into the chamber 10 through the gas flow holes 41 and the gas discharge holes 37.
- the chamber 84 is evacuated by the apparatus 84, and the pressure in the chamber 10 is set to a set value within a range of 0.1 to 150 Pa, for example.
- various conventional gases are used.
- a fluorocarbon gas (CxFy) such as CF gas
- a gas containing a rogen element can be preferably used.
- the first high-frequency power supply 48 also applies high-frequency power for plasma generation to the upper electrode 34 with a predetermined power, and the second high-frequency power supply 90 Further, a high frequency for ion attraction is applied to the susceptor 16 as the lower electrode with a predetermined power. Then, a predetermined DC voltage is applied from the variable DC power supply 50 to the upper electrode 34. Further, a DC voltage is applied from the DC power source 22 for the electrostatic chuck 18 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W to the susceptor 16.
- the processing gas discharged from the gas discharge holes 37 formed in the electrode plate 36 of the upper electrode 34 is converted into plasma in a glow discharge between the upper electrode 34 and the lower electrode susceptor 16 generated by high frequency power.
- the surface to be processed of the semiconductor wafer W is etched by radicals and ions generated by the plasma.
- the first high frequency power for plasma formation is supplied to the upper electrode 34 to adjust the plasma density
- the second high frequency power for ion attraction is supplied to the susceptor 16, which is the lower electrode, to adjust the voltage. Therefore, the plasma control margin can be widened.
- high-frequency power in a high frequency region (for example, 10 MHz or more) is supplied to the upper electrode 34, so that plasma is preferred. It is possible to increase the density with this, and it is possible to form high-density plasma even under lower pressure conditions.
- the voltage applied from the variable DC power supply 50 is adjusted to 5 dc so that the absolute value of V
- the force that the polymer adheres to the upper electrode 34 when the high-frequency power applied from the first high-frequency power supply 48 is low.
- an appropriate DC voltage from the variable DC power supply 50 By applying an appropriate DC voltage from the variable DC power supply 50, the polymer attached to the upper electrode 34 Sputter on The surface of the partial electrode 34 can be cleaned.
- it is possible to eliminate the surface roughness of the photoresist film by supplying an optimal amount of polymer onto the semiconductor wafer and W.
- adjusting the voltage from the variable DC power supply 50 and sputtering the upper electrode 34 itself to supply the electrode material itself to the surface of the semiconductor wafer W a force-bond is formed on the surface of the photoresist film.
- the photoresist film is strengthened and the sputtered electrode material is exhausted by reacting with F in the flow-through carbon-based processing gas, thereby reducing the F ratio in the plasma and making the photoresist film difficult to etch.
- the electrode plate 36 is a silicon-containing material such as silicon or SiC
- the silicon sputtered on the surface of the electrode plate 36 reacts with the polymer to form SiC on the surface of the photoresist film, and the photoresist film is extremely strong.
- the material of the electrode plate 36 is preferably a silicon-containing substance. In this case, instead of controlling the applied voltage from the variable DC power source 50, the applied current or the applied power may be controlled.
- the thickness of the plasma sheath formed on the upper electrode 34 side is increased.
- the plasma sheath becomes thicker the plasma is reduced by that amount.
- V on the upper electrode side is, for example, dc
- the plasma has a thin sheath thickness d. Shi
- a thicker plasma sheath d is formed, and the plasma is reduced accordingly.
- the effective residence time on the semiconductor wafer W is reduced and the plasma is concentrated on the wafer W to suppress the diffusion.
- the dissociation space is reduced.
- the release of the fluorocarbon-based processing gas is suppressed, and the photoresist film becomes difficult to be etched. Therefore, variable DC power supply
- the applied voltage from 50 is preferably controlled by the controller 51 so that the thickness of the plasma sheath in the upper electrode 34 is increased to such a degree that a desired reduced plasma is formed. In this case as well, instead of controlling the applied voltage from the variable DC power supply 50, Control the applied current or applied power.
- the photoresist film on the semiconductor wafer W is a photoresist film for ArF excimer laser (wavelength: 193 nm) (hereinafter referred to as ArF resist film)
- ArF resist film the polymer structure of the ArF resist film is as follows. Through the reactions shown in chemical formulas (1) and (2), electrons are irradiated to form the structure on the right side of chemical formula (3).
- the composition of the ArF resist film is modified (resist cross-linking reaction) as shown in part d of chemical formula (3).
- This d-part has a function of greatly increasing the etching resistance (plasma resistance), so that the etching resistance of the ArF resist film is dramatically increased. For this reason, the surface roughness of the ArF resist film can be suppressed, and the etching selectivity of the etching target layer with respect to the ArF resist film can be increased.
- the applied voltage value / current value from the variable DC power supply 50 is preferably controlled by the controller 51 so that the etching resistance of the photoresist film (particularly, ArF resist film) is enhanced by electron irradiation.
- the electron current I which is a DC voltage
- the amount of ion current I that is incident on the wafer from the plasma cathode is used.
- I Z p v e (where Z is the number of loads, p:
- the SiO film that is the etching target film for the photoresist film is the SiO film that is the etching target film for the photoresist film
- silicon is used as the electrode plate 36 of the upper electrode 34, and high-frequency power of 100 to 3000 W is supplied from the first high-frequency power supply 48 to the upper electrode 34 at a frequency of 60 MHz, and from the second high-frequency power supply 90 to the lower electrode.
- the selectivity increases significantly. That is, if a negative DC voltage with an absolute value higher than -600 V is applied to the upper electrode 34, the selection of the SiO film relative to the photoresist film
- the plasma potential can be controlled by adjusting the DC voltage applied to the upper electrode 34. This has a function of suppressing adhesion of etching by-products to the upper electrode 34, the deposit 11 constituting the chamber wall, the inner wall member 26, and the insulating shielding member 42.
- FIG. 7 shows the change in the plasma potential waveform when a DC voltage is applied to the upper electrode 34.
- Figure 8 shows the relationship between the value of the DC voltage supplied to the upper electrode and the maximum value of the plasma potential.
- FIG. 1 when a negative DC voltage is applied to the upper electrode 34, the maximum value of the plasma potential decreases as the absolute value increases. That is, it can be seen that the plasma potential can be controlled by the DC voltage applied to the upper electrode 34. This is achieved by applying a DC voltage having a higher absolute value than the self-bias (V) of the high frequency power applied to the upper electrode 34 to the upper electrode 34.
- V self-bias
- the value of the plasma potential is It was decided by raising.
- the voltage amplitude of the upper electrode is all made at a negative potential, so that the plasma potential is determined by the wall potential. For this reason, the plasma potential decreases.
- the plasma potential can be lowered, and the deposition shield 11 constituting the upper electrode 34 and the chamber wall, and further The adhesion of etching by-products to the insulating material (members 26 and 42) in the chamber 10 can be suppressed.
- the value of the plasma potential Vp is preferably in the range of 80V ⁇ Vp ⁇ 200V! /.
- Another effect obtained by applying a DC voltage to the upper electrode 34 is that plasma is formed by the applied DC voltage, thereby increasing the plasma density and increasing the etching rate. It is done.
- the plasma density in the central portion can be relatively increased due to plasma diffusion.
- the pressure in the chamber 10 is high and the etching gas to be used is a negative gas, a DC voltage is applied to the upper electrode 34 in such a way that the plasma density in the center of the chamber 10 tends to decrease.
- the plasma density at the center can be increased, and the plasma density can be controlled so that uniform etching can be performed.
- the etching characteristics are not defined only by the plasma density, the etching is not necessarily uniform as the plasma density becomes uniform.
- the semiconductor wafer was loaded into the chamber and placed on the susceptor, and the BARC (organic antireflection film) and etching target film were etched.
- the BARC etching the first high-frequency power was 2500 W, the second high-frequency power was 2000 W, and CH F, CHF, Ar, and O were used as process gases. Etch the target film.
- the first high-frequency power was 1500 W
- the second high-frequency power was 4500 W
- CH F, CF 3, Ar, and O were used as processing gases, and holes were etched. In that case,
- variable DC power supply 50 one capable of applying a voltage in the range of -2000 to + 1000V can be applied.
- the absolute value of the DC voltage from the variable DC power supply 50 is preferably 500V or more.
- the DC voltage to be applied is preferably a negative voltage having an absolute value larger than the self-bias voltage generated on the surface of the upper electrode by the first high-frequency power applied to the upper electrode 34.
- Figure 11 shows how the first high frequency power supply 48 When the power of high frequency power (60 MHz) for generating Vietnamesea is changed and applied to the upper electrode 34, the self-bias voltage V generated on the surface of the upper electrode 34 and the dc applied to the upper electrode 34
- the absolute value of the DC voltage applied to the upper electrode 34 can be as small as dc compared to the self-noise voltage V generated at the upper electrode.
- a detector 55 for detecting the state of plasma from the plasma detection window 10a is provided, and the controller 51 controls the variable DC power supply 50 based on the detection signal. Accordingly, it is possible to automatically apply a DC voltage that effectively exhibits the above-described function to the upper electrode 34. Further, a detector for detecting the sheath thickness or a detector for detecting the electron density may be provided, and the controller 51 may control the variable DC power supply 50 based on the detection signal.
- the plasma etching apparatus of the present embodiment it is particularly preferable to use it as a processing gas when etching an insulating film (for example, a low-k film) formed on the wafer W! /, Examples of gas combinations are given below.
- Combinations of processing gases that are preferably used during overetching under the conditions of via etching include CF, Ar, and N. As a result, the base of the insulating film
- the selectivity to the film can be increased.
- CF or (CF, CF, Ar, N, O) can be used as a combination of processing gases that are preferably used under the trench etching conditions.
- the selection ratio with respect to the mask can be increased.
- the etching rate of the insulating film can be increased.
- a GND block (conductive member) 91 which is a DC grounded part, is provided in the deposition shield 11 on the chamber wall side in this embodiment.
- This GND block 91 is exposed to the plasma surface, and is electrically connected to the conductive part inside the deposition shield 11, and the DC voltage and current applied from the variable DC power supply 50 to the upper electrode 34 passes through the processing space. Then, it reaches the GND block 91 and is grounded via the deposition shield 11.
- the GND block 91 is a conductor and is preferably a silicon-containing material such as Si or SiC. C can also be suitably used.
- the GND block 91 is provided in the plasma formation region, the position thereof is not limited to the position shown in FIG. 1.
- the GND block 91 may be provided around the susceptor 16. Alternatively, it may be provided near the upper electrode 34, for example, as shown in FIG.
- YO or polymer covered by the deposition shield 11 etc. will fly, and this will be the GND block 91 If it adheres to the surface, it will not be grounded in a DC manner, making it difficult to exhibit the effect of preventing abnormal discharge.
- GND block 91 is YO etc.
- the adjacent parts which are preferably located away from the member covered with 2 3 are preferably Si-containing materials such as Si and Sekiei (SiO 2).
- Si-containing materials such as Si and Sekiei (SiO 2).
- the length L of the lower portion of the Si-containing member 93 below the GND block 91 is preferably equal to or longer than the protruding length M of the GND block 91.
- the GND block 91 is provided with a switching mechanism 53 for switching the connection of the GND block 91 between the variable DC power supply 50 side and the ground line so that a voltage can be applied from the variable DC power supply 50.
- a grounded conductive auxiliary member 91b is provided for allowing a DC electron current generated when a negative DC voltage is applied to 91 to flow in.
- the switching mechanism 53 includes a first switch 53a for switching the connection of the variable DC power supply 50 between the matching unit 46 side and the GND block 91 side, and a second switch 53b for turning on / off the connection of the GND block 91 to the ground line. And have.
- the GND block 91 is provided in a ring shape outside the upper electrode 34, and the conductive auxiliary member 91a is provided on the outer periphery of the susceptor 16, and this arrangement is preferable. It may not be an arrangement.
- the first switch 53a of the switching mechanism 53 is normally connected to the upper electrode 34 side, and the variable DC power supply 50 is connected to the upper electrode 34 side.
- the second switch 53b is turned on, and the GND block 91 is connected to the ground line side.
- the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is grounded via the plasma to the GND block 91 and the upper electrode 34. It flows into the conductive auxiliary member 91b (the direction of positive ion current flow is reversed).
- the surface of the GND block 91 is covered with a deposit such as YO or polymer as described above.
- the second switch 53b may be turned off and the GND block 91 may be in a floating state during a part of the time during plasma etching.
- the DC electron current flows from the upper electrode 34 into the conductive auxiliary member 9 la via the plasma (the direction of the positive ion current is reversed).
- a self-bias voltage is applied to the GND block 91, and positive ions are incident with the corresponding energy, so that the GND block 91 can be cleaned during plasma etching.
- the applied DC voltage may be small, and the DC electron current at that time is small.
- the conductive auxiliary member 91b is not necessarily required when it is possible to prevent the electric charge from being accumulated in the GND block 91 due to the leakage current.
- the connection of the variable DC power supply 50 is switched from the upper electrode 34 side to the GND electrode 91 side, and the DC electron current when the DC voltage is applied becomes conductive from the GND block 91.
- Auxiliary member Force to flow to 9 lb Variable DC power supply 50 positive electrode is connected to upper electrode 34, negative electrode is connected to GND block 91, DC electronic current when DC voltage is applied from GND block 91 to upper electrode You may make it flow to 34. In this case, the conductive auxiliary member is not necessary.
- FIG. 20 Such a configuration is shown in FIG. In the configuration of FIG. 20, the negative electrode of the variable DC power supply 50 is connected to the upper electrode 34 and the GND block 91 is connected to the ground line during plasma etching, and the positive electrode of the variable DC power supply 50 is connected to the ground line during cleaning.
- a connection switching mechanism 57 for switching the connection is provided so that the upper electrode 34 is connected and the negative electrode is connected to the GND block 91.
- the connection switching mechanism 57 includes a first switch 57a for switching the connection of the variable DC power supply 50 to the upper electrode 34 between the positive electrode and the negative electrode, and a connection of the variable DC power supply 50 to the GND block 91 between the positive electrode and the negative electrode.
- a second switch 57b for switching and a third switch 57c for grounding the positive electrode or the negative electrode of the variable DC power supply 50 are provided.
- the first switch 57a of the connection switching mechanism 57 is connected to the negative electrode side of the variable DC power supply 50, and the variable DC power supply 50
- the negative electrode is connected to the upper electrode 34 side
- the second switch 57b is connected to the positive side of the variable DC power supply 50
- the third switch 57c is connected to the positive side of the variable DC power supply 50 (the variable DC power supply 50 GND block 91 is connected to the ground line side.
- the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is grounded from the upper electrode 34 through the plasma. Flows into 91 (the direction of positive ion current flow is reversed).
- the surface of the GND block 91 is made of YO or polymer as described above.
- the first switch 5 of the connection switching mechanism 57 7a is switched to the positive side of variable DC power supply 50
- second switch 57b is switched to the negative side of variable DC power supply 50
- third switch 57c is left unconnected.
- power is supplied from the first high frequency power supply 48 to the upper electrode 34 to form a cleaning plasma.
- the GND block 91 has a negative polarity of the variable DC power supply 50 and the upper electrode 34 has a positive polarity of the variable DC power supply 50.
- the variable DC power supply 50 is apparently in a floating state. Generally, the power supply is safe because it is provided with a frame ground line.
- the force with the third switch 57c in an unconnected state may be left connected to the positive electrode side of the variable DC power supply 50 (the positive electrode of the variable DC power supply 50 is grounded).
- the first high frequency power supply 48 supplies power to the upper electrode 34 to form a cleaning plasma
- the GND block 91 is applied with a DC voltage from the negative electrode of the variable DC power supply 50, and the DC electron current is generated from the plasma.
- the positive ions then flow into the GND block 91 from the GND block 91 to the upper electrode 34.
- the surface of the GND block 91 can be ion-sputtered by adjusting the DC voltage and controlling the incident energy of positive ions to the GND block 91. Can be removed.
- a force AC voltage in which a DC voltage is applied to the GND block 91 may be applied during cleaning.
- a variable DC power supply 50 for applying a direct current voltage to the upper electrode may be used to apply a power supply voltage according to the force applied to the GND block 91.
- the GND block 91 is grounded during plasma etching and a negative DC voltage is applied to the GND block 91 during cleaning, the present invention is not limited to this.
- a negative DC voltage may be applied to the GND block 91 during plasma etching.
- the above cleaning may be replaced during ashing.
- variable DC power supply 50 When a nopolar power source is used, a complicated switching operation like the connection switching mechanism 57 is unnecessary.
- the switching operation of the switching mechanism 53 in the example of FIG. 17 and the connection switching mechanism 57 in the example of FIG. 20 is performed based on a command from the control unit 95.
- the GND block 91 From the standpoint of easily preventing DC from being grounded, a part of the GND block 91 is covered with another member and caused to move relative to each other, thereby creating a new surface of the GND block 91. It is effective to make it exposed.
- the GND block 91 has a relatively large area, and a part of the surface of the GND block 91 that is exposed to plasma is covered with a mask material 111 that can move in the direction of the arrow. By moving 111, it is possible to change the portion of the GND block 91 exposed to the plasma. In this case, if the drive mechanism is provided in the chamber 10, there is a concern that particles are generated. However, since the frequency is as low as once every 100 hours, no major problem occurs.
- a cylindrical GND block 191 is rotatably provided, and is covered with a mask material 112 so that only a part of the outer peripheral surface of the GND block 191 can be exposed. It is also effective to change the part exposed to the plasma by rotating 191.
- the drive mechanism can be provided outside the chamber 10.
- the mask materials 111 and 112 an aluminum plate having a high plasma resistance and sprayed with ceramics such as Y 2 O can be used.
- a part of the GND block 91 is covered with another member. It is effective to use a member that is gradually etched by plasma as a member of this, so that the GND block 91 always loses its conductivity and the surface is exposed.
- a part of the surface of the GND block 91 is covered with a stepped protective film 113, and the initial exposed surface 91c has a grounding function. If plasma treatment is performed for 200 hours in this state, for example, as shown in FIG.
- the initial exposed surface 91c of the GND block 91 loses conductivity, but the thin portion of the stepped protective film 113 is etched at this time. Make sure the new exposed surface 91d of GND block 91 appears. As a result, the newly exposed surface 91d will function as a ground. become.
- Such a protective film 113 has an effect of preventing the wall material from adhering to the GND block 91 and an effect of reducing the inflow of ions to the GND block 91 to prevent contamination.
- a protective film 113a in which a large number of thin layers 114 are stacked and each layer is slightly shifted.
- Te time until the exposed surface of the GND block 91 is contaminated and loses conductivity
- Te Te
- the number of layers 114 is preferably selected so that the lifetime of the GND block 91 is longer than the maintenance cycle.
- one layer 114a with a different color as shown in the figure is provided as shown in the figure. For example, the layer 114a is replaced when it exceeds a certain area. It is possible to grasp the replacement time.
- the protective films 113 and 113a those which are appropriately etched by plasma are preferable.
- a photoresist film can be preferably used.
- a plurality of GND blocks 91 are provided, and the ones that perform the grounding function are sequentially switched.
- a switching switch 115 is provided so that only one of them is grounded.
- a current sensor 117 is provided in the common ground line 116, and the direct current flowing therethrough is monitored. The current of the grounded GND block 91 is monitored by the current sensor 117, and when the current value becomes lower than the predetermined value, the ground function is switched to another GND block 91.
- the number of GND blocks 91 may be selected in the range of about 3 to 10.
- the GND block that is not grounded is in an electrically floating state. From the viewpoint of protecting the unused GND block, instead of providing the switch 115, You may be able to apply potential!
- An example is shown in FIG. In Figure 27, the ground line connected to each GND block 91 individually.
- a variable DC power source 119 is provided for each of the power stations 118.
- the voltage of the variable DC power source 119 corresponding to the GND block 91 that should exhibit the grounding function is controlled so that the other GND block 91 has a voltage at which no current flows, for example, 100V.
- the voltage of the corresponding variable DC power supply 119 is controlled so that Then, when the current value of the current sensor 117 provided on the ground line 118 connected to the GND block 91 that should perform the ground function becomes lower than the predetermined value, it is determined that the ground function is not performed. Then, the voltage value of the variable DC power supply 119 corresponding to another GND block 91 is controlled to a value at which the GND block performs the grounding function.
- the GND block 91 connected thereto functions as an electrode for applying a DC voltage to the plasma. Can be made. However, even if this value is too large, the plasma will be adversely affected. Further, by controlling the voltage applied to the GND block 91, a tarrying effect on the GND block 119 can be obtained.
- FIG. 28 is a diagram showing the electron temperature distribution of plasma, with the electron temperature on the horizontal axis and the intensity on the vertical axis.
- the electron temperature distribution of the plasma (RF plasma) has an intensity peak in the excitation region where the electron temperature is low, as shown by curve A (case A) in Fig. 28.
- the power is increased in order to obtain a higher plasma density, Since the intensity of the dissociation region where the electron temperature is at an intermediate level is high, the fluor power is similar to that of CF gas, which is a processing gas for etching.
- One-bon gas (CxFy) dissociation progresses, and etching characteristics deteriorate.
- curve B (case B) in Fig. 28 is the case of plasma generated by applying a DC voltage (DC plasma), and has a plasma density equivalent to curve A (case A).
- DC plasma DC voltage
- an intensity peak exists in the ionization region where the electron temperature is high, and there is almost no excitation region or dissociation region. Therefore, by superimposing a DC voltage on high frequency power of 13.56 MHz or higher, high plasma density can be achieved without increasing the power of high frequency power.
- the electron temperature is a bipolar structure having an intensity peak in the excitation region and the ion region, and there is little dissociation of the processing gas even at the same plasma density. An ideal plasma can be formed.
- FIG. 29 is a diagram comparing the plasma electron temperature distribution between the case of only high-frequency power and the case of superimposing a DC voltage.
- Curve C in FIG. 29 shows a case where a high frequency power of 60 MHz is supplied to the upper electrode 34 and a high frequency power of 2 MHz for ion attraction is supplied to the susceptor 16 which is the lower electrode.
- the high-frequency power is 2400 W and the high-frequency power to the lower electrode susceptor 16 is 1000 W.
- Curve D applies 60 MHz and 2 MHz respectively to the upper electrode 34 and the susceptor 16 and the upper electrode 3 4 In this case, the high frequency power and DC voltage values are set so that the plasma density is the same as in curve C.
- the high frequency power to the upper electrode 34 is set to 300 W. This is the case when the DC voltage is reduced to 900V. As shown in FIG. 29, by superimposing a DC voltage, it is possible to form a two-pole high-density plasma with almost no electron temperature in the dissociation region at the same plasma density. In this case, it is possible to control the electron temperature distribution by changing the frequency and power of the high-frequency power supplied to the upper electrode 34 and the value of the DC voltage, thereby obtaining a more appropriate plasma state. Is possible.
- the frequency of the high frequency power applied to the upper electrode 34 is 40 MHz or more, for example, 60 MHz, the plasma energy is low, so the DC voltage is applied.
- the control margin is wide. Therefore, the frequency of the high frequency power applied to the upper electrode 34 is preferably 40 MHz or more.
- the high-frequency power from the second high-frequency power supply 90 supplied to the susceptor 16 is a force that is a noise high-frequency power for ion attraction.
- the action is different Become.
- the frequency of the RF applied frequency is less than ⁇ , for example, 2 MHz, since ions can generally follow the RF applied frequency, the ion energy incident on the wafer is high frequency as shown in FIG. 30A. It corresponds to the wafer potential that changes according to the power voltage waveform.
- the maximum ion energy corresponds to Vpp, and the ion energy becomes small in the part where the difference between the plasma potential and the wafer potential is small. As shown, the ion energy on the wafer is broadened with two poles. On the other hand, in the case of a frequency that the ions in FIG. 30B do not follow (for example, 13.56 MHz), the ion energy corresponds to V regardless of the wafer potential, and as shown in FIG. Is
- the frequency at which ions below 10 MHz can follow is suitable for increasing productivity with large ion energy, and the frequency at which ions above 10 MHz cannot follow is on the mask surface. This is suitable when low ion energy is required, such as when criticality is critical. Therefore, it is preferable to select the frequency of the high frequency power for bias appropriately according to the application.
- the above is an example in which a DC voltage is applied in order to exert the sputtering function of the upper electrode 34, the function of reducing the plasma, the function of supplying electrons, and the like.
- the same effect can be obtained.
- the frequency is lower than the frequency of the high frequency power for plasma generation.
- the voltage may be pulsed, or may be modulated such as AM modulation or FM modulation.
- etching is performed while applying a DC voltage to the upper electrode 34 while superimposing a DC voltage on the first high-frequency power, and the above functions are effectively exhibited, thereby providing an etching stop layer. It is possible to etch a low-k film such as a SiOC film as an insulating film with a high etching selectivity with respect to the underlying film.
- FIG. 32A-B shows a cross-sectional structure of a wafer W as a typical etching target when performing such etching.
- this wafer W has a SiC layer 201 as a base film, a SiOC film 202 as an insulating film, a SiO film 203, and an antireflection film.
- the SiOC-based film 202 is a low-k film containing Si, 0, C, and H as constituent components.
- SiLK trade name; manufactured by Dow Chemical Co., Ltd.
- SOD—MSQ of MSCH methylsilsesquisiloxane
- CVD-SiOCH CORAL trade name; manufactured by Novellus Systems, Inc.
- BlackDiamond trade name; manufactured by Applied Materials, Inc.
- low-k films such as organic low-k films such as PAE films, HSQ films, PCB films, CF films, and inorganic low-k films such as SiOF films are used.
- organic low-k films such as PAE films, HSQ films, PCB films, CF films, and inorganic low-k films such as SiOF films are used.
- inorganic low-k films such as SiOF films.
- examples of the SiC layer 101 serving as the base film include BLOk [trade name; manufactured by Applied Materials, Inc.].
- this wafer W is etched with a fluorocarbon (CF-based) gas plasma to form recesses (grooves or holes) 211 corresponding to the mask pattern of ArF resist 105.
- CF-based gas plasma to form recesses (grooves or holes) 211 corresponding to the mask pattern of ArF resist 105.
- the DC voltage applied from the variable DC power supply 50 to the upper electrode 34 is preferably 0 to ⁇ 1500 V.
- High frequency power (upper electrode Z lower electrode) 400W / 1500W;
- Chamber 10 side wall temperature 60 ° C;
- Etching Condition 1 It was the same as Etching Condition 1 except that the high frequency power (upper electrode Z lower electrode) was changed to 800WZ2500W.
- Table 2 shows the etching characteristics when the high-frequency power to the upper electrode 34 is changed based on the etching condition 1. From Table 2, it was shown that when the high-frequency power supplied to the upper electrode 34 is increased, the etching rate is improved, but the selectivity to SiC tends to be reduced. On the other hand, under this condition, the change in the high-frequency power supplied to the upper electrode 34 is affected by the CD. There was little reverberation and the resist selection ratio was excellent with high frequency power of 400W. From the above results, it was shown that the range of 200 to 800 W is preferable as the high frequency power to the upper electrode 34.
- Table 3 shows the etching characteristics when the high frequency power to the susceptor 16 as the lower electrode is changed with the etching condition 2 as a reference. Table 3 shows that increasing the high-frequency power supplied to the lower electrode (susceptor 16) significantly improves the etching rate but reduces the effect of improving the selectivity to SiC. On the other hand, it was shown that under this condition, the effect of changes in the high-frequency power supplied to the lower electrode on the CD is small, and the selectivity to resist improves as the high-frequency power increases. From these facts, it was shown that the range of 1500 to 3800 W is preferable as the high frequency power to the lower electrode.
- Table 4 shows the etching characteristics when the processing pressure is changed based on the etching condition 2. From Table 4, it was found that under high etching conditions (2) where the high-frequency power (upper electrode Z lower electrode) is 800Z2500W, if the processing pressure is set higher than necessary, the etching rate decreases and etch stop occurs. Therefore, it was shown that the treatment pressure is preferably 4 Pa or more and less than 20 Pa.
- Table 5 shows the etching characteristics when the Ar flow rate is changed based on the etching condition 2. From Table 5, it can be seen that the effect of changes in the Ar flow rate ratio is not clear under etching conditions 2 where the high-frequency power (upper electrode Z lower electrode) is relatively large, 800Z2500W. ⁇ 1S It is better to add a certain amount of Ar. It was shown that the SiC selectivity was improved, and that Ar addition of at least 10 OOmLZmin or less was preferable.
- High frequency power (upper electrode Z lower electrode) 300W / 1000W;
- Chamber 10 side wall temperature 60 ° C;
- High frequency power (upper electrode Z lower electrode) 1000W / 1000W;
- Process gas flow CF / N / Ar 6/260 / lOOOOmL / min; Overetch amount: 30%;
- a similar effect can be obtained by applying a DC voltage to the pole 34.
- the force for etching the SiOC-based film 202 (main etching, or main etching and over-etching) is used here. Therefore, it is possible to apply a DC voltage only to the overetching in the two-step process in which overetching is performed when the recess reaches the vicinity of the base by main etching under normal conditions.
- FIG. 34 is a schematic cross-sectional view showing a plasma etching apparatus according to the second embodiment of the present invention.
- the same components as those in FIG. 34 are identical to FIG. 34.
- an upper electrode 34 ′ having the following structure is provided.
- the upper electrode 34 ' is opposed to the susceptor 16 with a predetermined gap, and is insulated from the ring-shaped or donut-shaped outer upper electrode 34a and radially inward of the outer upper electrode 34a.
- a disc-shaped inner upper electrode 34b is provided.
- the outer upper electrode 34a is mainly used for plasma generation, and the inner upper electrode 34b has an auxiliary relationship.
- an annular gap (gap of 0.25 to 2. Omm) is formed between the outer upper electrode 34a and the inner upper electrode 34b.
- a dielectric 72 having a quartz force, for example is provided in the gap.
- This gap is further provided with a ceramic member 73.
- the ceramic member 73 can be omitted.
- a capacitor is formed between the electrodes 34a and 34b with the dielectric 72 interposed therebetween. The capacitance C of this capacitor depends on the gap size and the dielectric constant of dielectric 72.
- the outer upper electrode 34a includes an electrode plate 36a and a conductive member that detachably supports the electrode plate 36a. It has a material, for example an electrode support 38a which also has an anodized aluminum surface.
- the electrode plate 36a is preferably composed of a low-resistance conductor or semiconductor with low Joule heat, such as silicon or SiC.
- a first high-frequency power supply 48 similar to that of the first embodiment is electrically connected to the outer upper electrode 34a via the matching unit 46, the upper power feeding rod 74, the connector 98, and the power feeding cylinder 100 similar to those of the first embodiment. ing.
- the output terminal of the matching unit 46 is connected to the upper end of the upper feed rod 74.
- the feed tube 100 is a cylindrical, conical or near-shaped conductive plate such as an aluminum plate or a copper plate.
- the lower end is continuously connected to the outer upper electrode 34a in the circumferential direction, and the upper end is connected by the connector 98. It is electrically connected to the lower end of the upper power feed rod 74.
- the side wall of the chamber 10 extends upward from the height position of the upper electrode 34 'to form a cylindrical ground conductor 10a.
- the upper end portion of the cylindrical ground conductor 10a is electrically insulated from the upper power feed rod 74 by a cylindrical insulating member 74a.
- the inner upper electrode 34b includes an electrode plate 36b having a large number of gas discharge holes 37b, and a conductive material that removably supports the electrode plate 36b, for example, an anodized surface. And an electrode support 38b that also has a treated aluminum force. Inside the electrode support 38b, for example, a central gas diffusion chamber 40a and a peripheral gas diffusion chamber 40b divided by an annular partition member 43 having O-ring force are provided. A number of gas flow holes 41b communicating with the gas discharge holes 37b extend downward from the central gas diffusion chamber 40a and the peripheral gas diffusion chamber 40b.
- a central shower head is composed of the central gas diffusion chamber 40a, a large number of gas flow holes 41b provided on the lower surface thereof, and a large number of gas discharge holes 37b communicating with the central gas diffusion chamber 40a.
- a peripheral shower head is composed of a large number of gas flow holes 41b provided on the lower surface thereof and a large number of gas discharge holes 37b communicating therewith.
- the processing gas is supplied from a common processing gas supply source 66 at a desired flow rate ratio. That is, the gas supply pipe 64 from the processing gas supply source 66 branches into two branch pipes 64a and 64b in the middle, and the gas formed on the electrode support 38b. The process gas from the gas inlets 62a and 62b reaches the gas inlet chambers 40a and 40b.
- the branch pipes 64a and 64b are provided with flow control valves 71a and 71b, and the conductance of the flow path from the processing gas supply source 66 to the gas diffusion chambers 40a and 40b is equal, the flow control valves 71a and 71b are The flow rate ratio of the processing gas supplied to the gas introduction chamber 40a and the peripheral gas introduction chamber 40b can be arbitrarily adjusted.
- the gas supply pipe 64 is provided with a mass flow controller (MFC) 68 and an opening / closing valve 70 as in the first embodiment. In this way, by adjusting the flow rate ratio of the processing gas introduced into the central gas diffusion chamber 40a and the peripheral gas diffusion chamber 40b, the flow rate F of the gas discharged from the central shower head and the peripheral gas are controlled.
- MFC mass flow controller
- the flow rate per unit area of the processing gas discharged from the central shower head and the peripheral shower head can also be made different. Furthermore, the gas type or gas mixture ratio of the processing gas discharged from the central shower head and the peripheral shower head can be selected independently or separately.
- a first high-frequency power supply 90 similar to that of Embodiment 1 is electrically connected to the electrode support 38b of the inner upper electrode 34b via the matching unit 46, the upper feeding rod 74, the connector 98, and the lower feeding rod 76. It is connected to the.
- a variable capacitor 78 capable of variably adjusting the capacitance is provided in the middle of the lower feed rod 76. As will be described later, the variable capacitor 78 has a function of adjusting the balance between the outer electric field strength and the inner electric field strength.
- a variable DC power supply 50 is connected to the upper electrode 34 ′ as in the first embodiment.
- the variable DC power supply 50 is connected to the outer upper electrode 34a and the inner upper electrode 34b through the filter 58.
- the polarity, voltage and current of the variable DC power supply 50 and the on / off switch 52 are turned on / off by the controller 51 as in the first embodiment.
- the filter 78 is built in the matching unit 46! However, in the present embodiment, the filter 78 is provided separately from the matching unit 46.
- the semiconductor wafer W to be etched is first carried into the chamber 10 and mounted on the susceptor 16 as in the first embodiment. Put. Then, the processing gas for etching is diffused from the processing gas supply source 66 at a predetermined flow rate and flow rate ratio to the central gas diffusion chamber 40a and the surrounding gas diffusion. The gas is supplied to the chamber 40b and discharged into the chamber 10 through the gas discharge hole 37b. Similarly to the first embodiment, the chamber 10 is evacuated by the exhaust device 84 and maintained at the set pressure.
- the first high-frequency power supply 48 applies high-frequency power (60 MHz) for plasma generation to the upper electrode 34 with a predetermined power
- the second A high frequency (2 MHz) for ion attraction is applied from a high frequency power supply 90 to the susceptor 16 as a lower electrode with a predetermined power.
- a predetermined voltage is applied from the variable DC power source 50 to the outer upper electrode 34a and the inner upper electrode 34b.
- a DC voltage is applied from the DC power source 22 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W to the susceptor 16.
- the etching gas discharged from the gas discharge hole 37b of the inner upper electrode 34b becomes plasma in the glow discharge between the upper electrode 34 and the lower electrode susceptor 16 generated by the high-frequency power.
- the surface to be processed of the semiconductor wafer W is etched by the generated radicals and ions.
- the inner upper electrode 34b that directly faces the semiconductor wafer W is used as a shower head type, and the ratio of the gas discharge flow rate is arbitrarily adjusted between the central shower head and the peripheral shower head. Therefore, the spatial distribution of the density of gas molecules or radicals can be controlled in the radial direction, and the spatial distribution characteristics of etching characteristics based on radicals can be arbitrarily controlled.
- the outer upper electrode 34a is the main and the inner upper electrode 34b is the auxiliary, and these electrodes 34a and 34b Since the ratio of the electric field strength applied to the electrons directly below can be adjusted, the spatial distribution of plasma density can be controlled in the radial direction, and the spatial characteristics of reactive ion etching can be controlled arbitrarily and finely. be able to.
- the electric field strength or input power between the outer upper electrode 34a and the inner upper electrode 34b The control of the plasma density spatial distribution performed by varying the ratio of the gas is performed by varying the flow rate of the processing gas and the ratio of the gas density or the gas mixture ratio between the central shower head and the peripheral shower head. Does not substantially affect control of radical density spatial distribution.
- the center showerhead and peripheral showerhead forces are dissociated in the area immediately below the inner upper electrode 34b, so that the electric field strength is balanced between the inner upper electrode 34b and the outer upper electrode 34a. Even if it is changed, since the central shower head and the peripheral shower head are in the inner upper electrode 34b and within the same area, the radical generation amount or density balance between them is not significantly affected. Therefore, the spatial distribution of the plasma density and the spatial distribution of the radical density can be controlled substantially independently.
- the plasma etching apparatus of the present embodiment is mainly composed of the outer upper electrode 34a, and most or a majority of the plasma is generated immediately below it and diffused immediately below the inner upper electrode 34b.
- the inner upper electrode 34b which also serves as a shower head, has a small attack that receives the ionic force of the plasma. Therefore, it is possible to effectively suppress the progress of sputtering at the gas discharge port 37b of the electrode plate 36b, which is a replacement part. Can greatly extend the service life.
- the outer upper electrode 34a that generates most or most of the plasma does not have a gas discharge port where the electric field is concentrated, so that the ion attack does not shorten the life.
- FIG. 35 shows the configuration of the main part of the plasma etching apparatus according to the present embodiment, in particular, the main part of the plasma generation unit as described above.
- FIG. 36 shows an equivalent circuit of the main part of the plasma generation unit. Show. In FIG. 35, the shower head structure is omitted, and in FIG. 36, the resistance of each part is omitted.
- the outer upper electrode 34a and the feeding tube 100 and the cylindrical ground conductor 10a are coaxial lines using the outer upper electrode 34a and the feeding tube 100 as the waveguide Jo. Is formed.
- the radius (outer diameter) of the feeder tube 100 is ao and the radius of the cylindrical ground conductor 10a is b, the characteristic impedance of this coaxial line or the inductor The flow Lo can be approximated by the following equation (1).
- K is a constant determined by the mobility and dielectric constant of the waveguide.
- a coaxial line is formed between the lower power feed rod 76 and the cylindrical ground conductor 1 Oa with the lower power feed rod 76 as the waveguide Ji. Since the inner upper electrode 34b also has extremely different force diameters on the extension of the lower feed rod 76, the impedance of the lower feed rod 76 becomes dominant.
- the radius (outer diameter) of the lower feed rod 76 is ai, the characteristic impedance or inductance Li of this coaxial line can be approximated by the following equation (2).
- the inner waveguide Ji that transmits high frequency to the inner upper electrode 34b provides the same inductance Li as that of the conventional general high-frequency system.
- the outer waveguide Jo that transmits a high frequency to the upper electrode 34a can give a remarkably small inductance Lo for the larger diameter.
- high-frequency waves are easily propagated through the low-impedance outer waveguide Jo (small voltage drop), and a relatively high high-frequency power Po is applied to the outer upper electrode 34a.
- the electric field strength Eo can be obtained at the lower surface (plasma contact surface) of the outer upper electrode 36.
- the high-impedance inner waveguide Ji is less likely to propagate high frequency (large voltage drop), and the high frequency power Pi supplied to the inner upper electrode 34b is smaller than the high frequency power Po supplied to the outer upper electrode 34a.
- the electric field strength Ei obtained on the lower surface (plasma contact surface) of the upper electrode 34b can be made smaller than the electric field strength Eo on the outer upper electrode 34a side.
- the maximum transmission power P in the coaxial line formed by the outer upper electrode 34a, the feeding tube 100 and the cylindrical grounding conductor 10a is the radius ao of the feeding tube 100 and the half max of the cylindrical grounding conductor 10a.
- Zo is the input impedance of the coaxial line with the matching unit 46 side force
- Eo is the maximum electric field strength of the RF transmission system.
- the ratio of the diameter size (bZao) of the cylindrical grounding conductor 10a to the diameter size of the feed tube 50 is configured to be about 1.65. Is preferably within the range of at least 1.2 to 2.0. Sarako is in the range of 1.5 to 1.7.
- variable capacitor 78 is inserted in the middle of the lower feed rod 76 as a means to adjust the ratio, that is, the balance with the electric field strength Ei (or the input power Pi to the inner upper electrode 34b). Capacitance C of this variable capacitor 78
- Figure 37 shows the relationship between 78 and the ratio of the input power Pi to the inner upper electrode 34b with respect to the total input power. As is clear from this figure, the impedance or reactance of the inner waveguide ji is increased or decreased by changing the capacitance C of the variable capacitor 78.
- the relative ratio between the voltage drop of the outer waveguide Jo and the voltage drop of the inner waveguide Ji can be changed, so that the outer electric field strength Eo (outer input power Po) and the inner electric field strength Ei (inner input power Pi) The ratio of can be adjusted.
- the impedance of the ion sheath that provides the potential drop of the plasma is generally capacitive.
- the capacitance of the sheath impedance immediately below the outer upper electrode 34a is C, and the sheath impedance key immediately below the inner upper electrode 34b is shown.
- Capacitance is imitated as C.
- the outer upper electrode 34a and the inner upper electrode 34b are formed of Capacitance.
- the capacitance C of the capacitor formed between them is the capacitance of the variable capacitor 78.
- Eo outer input power Po
- Eo inner input power
- the field strength Ei (inside input power Pi) affects the balance and must be selected or adjusted so that the electric field strength (input power) balance adjustment function by the variable capacitor 78 can be optimized. Preferred.
- the DC voltage from the variable DC voltage 50 as in the first embodiment is applied to the outer upper electrode 34a and the inner upper electrode 34b after passing through the filter 58.
- the plasma density spatial distribution control as described above is performed, and at the same time, as in the first embodiment, the sputtering function by increasing V and the bra dc by increasing the plasma sheath thickness are performed.
- the effect of using the upper electrode 34 'divided into the outer upper electrode 34a and the inner upper electrode 34b is combined with the effect of applying a predetermined DC voltage to the upper electrode 34'. More preferable plasma control can be realized.
- a DC voltage is applied to both the outer upper electrode 34a and the inner upper electrode 34b! /. However, it may be applied to either one of them! .
- a force in which a DC voltage is applied from one variable DC power supply 50 to the outer upper electrode 34a and the inner upper electrode 34b as shown in FIG. 38, two variable DC power supplies 50a, 50b
- the DC voltage may be applied to the outer upper electrode 34a and the inner upper electrode 34b through the switches 52a, 52b and the finoleators 58a, 58b, respectively.
- the DC voltage applied by the outer upper electrode 34a and the inner upper electrode 34b can be individually controlled, so that better plasma control can be performed.
- a variable DC power supply 50 ′ is interposed between the outer upper electrode 34a and the inner upper electrode 34b, and one pole thereof is connected to the outer upper electrode 34a, and the other
- the plasma density ratio generated by the inner upper electrode 34b and the outer upper electrode 34a can be set in more detail, and the wafer surface It is possible to improve the control of the etching characteristics inside.
- Reference numeral 52 ' is an on-off switch, and 58a' and 58b 'are filters.
- the plasma etching apparatus of the second embodiment it is particularly preferable to use it as a processing gas when etching an insulating film (for example, a low-k film) formed on the wafer W!
- a processing gas for example, a low-k film
- C F, Ar, and N can be cited as a combination of processing gases that are preferably used during over-etching under the conditions of via etching. As a result, the base of the insulating film
- the selectivity to the film (SiC, SiN, etc.) can be increased.
- the selection ratio with respect to the mask can be increased.
- the etching rate of the insulating film can be increased.
- Additive gas such as 2 or a combination of Z dilution gas
- 2 Additive gas such as 2 or a combination of Z dilution gas
- the frequency that can be taken by the first high-frequency power and the second high-frequency power is illustrated as the first high-frequency power.
- 56MHz, 27MHz, 40MHz, 60MHz, 80MHz, 100MHz, 160MHz can be mentioned, and the second high frequency power can be 380kHz, 800kHz, 1 ⁇ , 2MHz, 3.2MHz, 13.56MHz. It can be used in an appropriate combination.
- the force described above using the plasma etching apparatus as an example is also applicable to an apparatus for processing a semiconductor substrate using another plasma.
- An example is a plasma film forming apparatus.
- FIG. 40 is a schematic cross-sectional view showing a plasma etching apparatus according to the third embodiment of the present invention.
- RF high frequency
- a lower RF2 frequency-applied plasma etching apparatus that applies high-frequency (RF) power, and is connected to a variable DC power supply 50 to the upper electrode 34 as shown in the figure and applied with a predetermined direct current (DC) voltage.
- DC direct current
- This plasma etching apparatus is configured as a capacitively coupled parallel plate plasma etching apparatus, and has, for example, a substantially cylindrical chamber (processing vessel) 10 having an aluminum force whose surface is anodized. ing. This chamber 10 is a safety ground.
- a cylindrical susceptor support 14 is disposed at the bottom of the chamber 10 via an insulating plate 12 that also has ceramic isotropic force, and a susceptor 16 that also has, for example, aluminum force is provided on the susceptor support 14. Yes.
- the susceptor 16 constitutes a lower electrode, on which a semiconductor wafer W as a substrate to be processed is placed.
- an electrostatic chuck 18 that holds the semiconductor wafer W by electrostatic force is provided.
- the electrostatic chuck 18 has a structure in which an electrode 20 made of a conductive film is sandwiched between a pair of insulating layers or insulating sheets, and a DC power source 22 is electrically connected to the electrode 20. Then, the semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power source 22.
- a cylindrical inner wall member 26 having a quartz force is provided on the side surfaces of the susceptor 16 and the susceptor support 14.
- a refrigerant chamber 28 is provided inside the susceptor support base 14, for example.
- This refrigerant chamber is connected to a refrigerant unit (not shown) provided outside via pipes 30a and 30b.
- a coolant having a predetermined temperature, such as cooling water, is circulated and supplied, and the processing temperature of the semiconductor weno and w on the susceptor can be controlled by the temperature of the coolant.
- a heat transfer gas from a heat transfer gas supply mechanism (not shown), for example, He gas is supplied between the upper surface of the electrostatic chuck 18 and the back surface of the semiconductor wafer W via the gas supply line 32.
- An upper electrode 34 is provided in parallel above the susceptor 16 that is the lower electrode so as to face the susceptor 16.
- the space between the upper and lower electrodes 34 and 16 becomes a plasma generation space.
- the upper electrode 34 forms a surface facing the plasma generation space, that is, a surface facing the semiconductor wafer W and W on the susceptor 16 as the lower electrode.
- the upper electrode 34 is supported on the upper part of the chamber 10 via the insulating shielding member 42, and forms an opposing surface to the susceptor 16 and has a number of discharge holes 37
- the electrode plate 36 is detachably supported, and is composed of a conductive material, for example, an electrode support 38 having a water-cooled structure made of an anodized aluminum cover.
- the electrode plate 36 is preferably a low-resistance conductor or semiconductor with low Joule heat, and a silicon-containing material is preferable from the viewpoint of strengthening the resist as described later. From such a viewpoint, the electrode plate 36 is preferably made of silicon or SiC.
- a gas diffusion chamber 40 is provided inside the electrode support 38, and a number of gas flow holes 41 communicating with the gas discharge holes 37 extend downward from the gas diffusion chamber 40.
- the electrode support 38 is provided with a gas introduction port 62 for introducing the processing gas to the gas diffusion chamber 40.
- a gas supply pipe 64 is connected to the gas introduction port 62.
- Process gas supply 66 is connected.
- the gas supply pipe 64 is provided with a mass flow controller (MFC) 68 and an opening / closing valve 70 in order of upstream force.
- MFC mass flow controller
- the upper electrode 34 functions as a shower head for supplying the processing gas.
- a variable DC power supply 50 is electrically connected to the upper electrode 34 through a low pass filter (LPF) 48.
- the variable DC power supply 50 may be a bipolar power supply. This The variable DC power supply 50 can be turned on and off by an on / off switch 52. The polarity and current / voltage of the variable DC power supply 50 and the on / off of the on / off switch 52 are controlled by a controller (control device) 51.
- the low-pass filter (LPF) 48 is for trapping high-frequency waves from the first and second high-frequency power sources, which will be described later, and is preferably composed of an LR filter or an LC filter.
- a cylindrical ground conductor 10a is provided so as to extend above the height position of the upper electrode 34.
- the cylindrical ground conductor 10a has a top wall at the top.
- the first high-frequency power supply 88 is electrically connected to the susceptor 16 serving as the lower electrode via the matching unit 87, and the second high-frequency power supply 90 is connected to the susceptor 16 via the matching unit 89.
- the first high frequency power supply 88 outputs high frequency power of 27 MHz or higher, for example, 40 MHz.
- the second high frequency power supply 90 outputs a high frequency power of 13.56 MHz or less, for example, 2 MHz.
- Matchers 87 and 89 are used to match the load impedance to the internal (or output) impedance of the first and second high-frequency power supplies 88 and 90, respectively, and plasma is generated in the chamber 10.
- the internal impedance of the first and second high-frequency power supplies 88 and 90 and the load impedance seem to coincide with each other.
- An exhaust port 80 is provided at the bottom of the chamber 10, and an exhaust device 84 is connected to the exhaust port 80 via an exhaust pipe 82.
- the exhaust device 84 has a vacuum pump such as a turbo molecular pump, and can reduce the pressure in the chamber 10 to a desired degree of vacuum.
- a loading / unloading port 85 for the semiconductor wafer W is provided on the side wall of the chamber 10, and this loading / unloading port 85 can be opened and closed by a gate valve 86.
- a depositing shield 11 is detachably provided for preventing the etching byproduct (depot) from adhering to the chamber 10. That is, the deposit shield 11 constitutes the chamber wall.
- the deposition shield 11 is also provided on the outer periphery of the inner wall member 26.
- An exhaust plate 83 is provided between the deposition shield 11 on the chamber wall side at the bottom of the chamber 10 and the deposition shield 11 on the inner wall member 26 side.
- Depot shield 11 and exhaust play G 83 is preferably made of aluminum coated with ceramics such as YO.
- a conductive member (GND block) 91 that is connected to the ground in a DC manner is provided at a portion approximately the same height as the wafer W that forms the inner wall of the chamber of the deposition shield 11, and this is described later. It exhibits the effect of preventing abnormal discharge.
- Each component of the plasma etching apparatus is connected to and controlled by a control unit (overall control device) 95.
- the control unit 95 includes a keyboard for the process manager to input commands to manage the plasma etching device, a display that visualizes and displays the operating status of the plasma processing device, etc.
- Interface 96 is connected.
- control unit 95 includes a control program for realizing various processes executed by the plasma etching apparatus under the control of the control unit 95, and each configuration of the plasma etching apparatus according to the processing conditions.
- the recipe may be stored in a hard disk or semiconductor memory, or set in a predetermined position in the storage unit 97 while being stored in a storage medium readable by a portable computer such as a CDROM or DVD. It's okay.
- the plasma processing apparatus (plasma etching apparatus) described in the embodiment of the present invention includes this control unit 95.
- the gate valve 86 is opened, and the semiconductor wafer W to be etched through the loading / unloading port 85, W is placed in the chamber 10. And place it on the susceptor 16. Then, a processing gas for etching is supplied from the processing gas supply source 66 to the gas diffusion chamber 40 at a predetermined flow rate, and exhausted while being supplied into the chamber 10 through the gas flow holes 41 and the gas discharge holes 37.
- the chamber 84 is evacuated by the device 84, and the pressure in the chamber 10 is, for example, in the range of 0.1 to 150 Pa. Set value.
- the processing gas various conventionally used gases can be employed. For example, a gas represented by fluorocarbon gas (CxFy) such as CF gas is used.
- a gas containing a rogen element can be preferably used.
- the high frequency power for plasma generation is applied from the first high frequency power supply 88 to the susceptor 16, which is the lower electrode, at a predetermined power.
- a high-frequency power for ion attraction is applied from the high-frequency power source 90 of 2 at a predetermined power.
- a predetermined DC voltage is applied from the variable DC power source 50 to the upper electrode 34.
- a DC voltage is applied from the DC power source 22 for the electrostatic chuck 18 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W to the susceptor 16.
- the processing gas discharged from the gas discharge holes 37 formed in the electrode plate 36 of the upper electrode 34 is converted into plasma in a glow discharge between the upper electrode 34 and the susceptor 16 which is the lower electrode generated by high-frequency power.
- the surface to be processed of the semiconductor wafer W is etched by radicals and ions generated by the plasma.
- a DC voltage having a predetermined polarity and magnitude is applied to the upper electrode 34 from the variable DC power supply 50.
- the self-bias voltage V of the surface becomes deep enough to obtain a predetermined (moderate) sputtering effect on the surface of the upper electrode 34 that is the applied electrode, that is, the surface of the electrode plate 36, that is, the upper dc
- the applied voltage dc from the variable DC power supply 50 is set so that the absolute value of V on the surface of the electrode 34 increases.
- the pressure is preferably controlled by the controller 51.
- plasma is generated by applying high frequency from the first high frequency power supply 88, polymer may adhere to the upper electrode 34.
- the surface of the upper electrode 34 can be cleaned by sputtering the attached polymer.
- the surface of the photoresist film is roughened by supplying an optimal amount of polymer onto the semiconductor wafer W. Can be eliminated.
- the electrode plate 36 is a silicon-containing substance such as silicon or SiC
- the silicon sputtered on the surface of the electrode plate 36 reacts with the polymer to form SiC on the surface of the photoresist film, and the photoresist film is extremely strong.
- the material of the electrode plate 36 is preferably a silicon-containing substance. In this case, instead of controlling the applied voltage from the variable DC power supply 50, the applied current or applied power may be controlled.
- the thickness of the plasma sheath formed on the upper electrode 34 side is increased. As the plasma sheath becomes thicker, the plasma is reduced by that amount.
- the V on the upper electrode side is, for example, dc 100V, and the plasma has a thin sheath thickness d as shown in FIG. 42A.
- the voltage applied from the variable DC power supply 50 is preferably controlled by the controller 51 so that the thickness of the plasma sheath in the upper electrode 34 becomes thick enough to form a desired reduced plasma. In this case, instead of controlling the applied voltage from the variable DC power supply 50, the applied current or applied power may be controlled.
- the photoresist film on the semiconductor wafer W is a photoresist film for ArF excimer laser (wavelength: 193 nm) (hereinafter referred to as ArF resist film)
- ArF resist film the polymer structure of the ArF resist film is Through the reactions shown in the chemical formulas (1) and (2) described in the embodiment, electrons are irradiated to form a structure as shown on the right side of the chemical formula (3).
- the composition of the ArF resist film is modified (resist crosslinking reaction) as shown in part d of chemical formula (3).
- This d portion has a function of greatly increasing the etching resistance (plasma resistance), so that the etching resistance of the ArF resist film is dramatically increased. For this reason, the surface roughness of the ArF resist film can be suppressed, and the etching selectivity of the etching target layer to the ArF resist film can be increased.
- the applied voltage value / current value from the variable DC power supply 50 is preferably controlled by the controller 51 so that the etching resistance of the photoresist film (particularly, ArF resist film) is enhanced by electron irradiation.
- the DC voltage applied to the upper electrode 34 is controlled, so that the sputtering function of the upper electrode 34 or the plasma reduction function, and the large amount of electrons generated by the upper electrode 34 are increased.
- the supply function to the semiconductor wafer W, it is possible to strengthen the photoresist film, supply the optimum polymer, suppress dissociation of the processing gas, etc., and suppress the surface roughness of the photoresist.
- the etching selectivity of the etching target layer with respect to the photoresist film can be increased.
- such an effect can be further enhanced by controlling the DC voltage so that the three functions of the sputtering function, the plasma reduction function, and the electron supply function are appropriately exhibited.
- the plasma potential can be controlled by adjusting the DC voltage applied to the upper electrode 34. This has a function of suppressing adhesion of etching by-products to the upper electrode 34, the deposit 11 constituting the chamber wall, the inner wall member 26, and the insulating shielding member 42.
- etching by-products are attached to the upper electrode 34, the deposition shield 11 constituting the chamber wall, or the like, there is a change in process characteristics and concerns about particles.
- semiconductors based on Si-based organic films (SiOC), SiN films, SiO films, and photoresists are used.
- the etching conditions differ depending on each film, so that the memory effect that the influence of the previous process remains and the next process is adversely affected. It will occur.
- the plasma potential can be lowered, and the deposition shield 11 constituting the upper electrode 34 and the chamber wall, and further the chamber 10 It is possible to suppress the adhesion of etching by-products to the inner insulating material (members 26 and 42).
- the value of the plasma potential Vp is preferably in the range of 80V ⁇ Vp ⁇ 200V! /.
- Figure 43A-D shows the HARC etching with the frequency of the first high-frequency power applied to the susceptor 16 as the lower electrode being 40 MHz, the frequency of the second high-frequency power being 3.2 MHz, and the pressure: 4 Pa.
- FIG. 6 is a diagram showing the relationship between the output of each high-frequency power and the electron density distribution when the absolute value of the negative DC voltage applied to the upper electrode is changed to OV, 300V, 600V, and 900V under conditions.
- Figure 44A-D shows the absolute value of the DC voltage applied to the upper electrode in the same way under the condition of Via etching at 6.7 Pa when two high frequency powers with the same frequency are applied, and OV, 300V.
- FIG. 6 is a diagram showing the relationship between the output of each high-frequency power and the electron density distribution when changing between 600V and 900V.
- the electron density increases as the absolute value of the applied DC voltage increases.
- FIG. 45 is a diagram showing the electron density distribution in the wafer radial direction when the first high frequency power is 3000 W and the second high frequency power is 4000 W by the HARC etching. As can be seen from the figure, the electron density increases as the absolute value of the applied DC voltage increases.
- the variable DC power supply 50 to the upper electrode 34, it is possible to increase the plasma density particularly in the central portion during trench etching.
- the pressure in the chamber 10 is high and the etching gas used is a negative gas, such as the conditions during trench etching, the force that tends to lower the plasma density in the center of the chamber 10
- the plasma density can be controlled to make the plasma density uniform.
- a semiconductor wafer is loaded into a chamber and placed on a susceptor, and CF gas, CHF gas, Ar gas, and N gas are introduced into the chamber as processing gases,
- the first high-frequency power is 300 W at 40 MHz and the second high-frequency power is 1 OOOW at 3.2 MHz, it is applied to the susceptor as the lower electrode!
- the electron density (plasma density) distribution in the wafer radial direction was measured when no DC voltage was applied to the upper electrode and when 600 W was applied. The results are shown in Figure 46. As shown in this figure, when the DC voltage is not applied, the electron density at the wafer center is lower than the other parts, whereas the electron density at the wafer center is increased by applying the DC voltage. It was confirmed that the electron density was made uniform. In addition, the application of DC voltage increased the overall electron density.
- the lower electrode is supplied with first high frequency (RF) power for plasma formation and second high frequency (RF) power for ion attraction.
- RF high frequency
- RF radio frequency
- the plasma forming function and the ion attraction required for plasma etching are applied by separately applying the high frequency power for plasma formation and the high frequency power for ion attraction to the lower electrode. It is possible to control these functions independently.
- an apparatus of a type that applies high frequency power of one frequency to the lower electrode cannot control the plasma formation function and the ion attraction function independently, and requires high micromachining. It is difficult to satisfy the etching conditions.
- plasma can be formed near the wafer, plasma does not diffuse to a wide area, and the functions of plasma formation and ion attraction can be controlled independently. Furthermore, by applying a DC voltage to the upper electrode in a lower RF dual frequency plasma etching system, the sputtering function of the upper electrode, the function of reducing the plasma, the function of supplying electrons to the wafer, and the plasma potential Since it is possible to have at least one of a control function, a plasma density increasing function, and a plasma density control function, it is possible to provide a plasma etching apparatus having higher performance suitable for recent etching microfabrication.
- the application of a DC voltage to the upper electrode 34 may be selective. In etching conditions that require the application of a DC voltage to the upper electrode 34, the variable DC power supply 50 and the release switch 52 shown in FIG. 41 are turned on, and a DC voltage application to the upper electrode 34 is particularly necessary. It is only necessary to turn off the variable DC power supply 50 and the re-release switch 52 in the fitting conditions.
- FIG. 47 A schematic diagram is shown in FIG. In FIG. 47, where the capacitors 501, 502, and 503 are electrically formed, a dielectric material actually enters, and the upper electrode 34 is connected to the processing container 10 and the ground conductor 10 a via the dielectric material. DC floating.
- the high frequency power applied to the lower electrode 16 from the high frequency power supplies 88 and 89 reaches the upper electrode 34 through the processing space, and is grounded through the capacitors 501, 502, and 503 and the grounded processing container 10 and the ground conductor. Reach 10a.
- variable DC power supply 50 and the release switch 52 are turned off and no DC voltage is applied to the upper electrode 34, the upper electrode 34 can be changed to either the ground state or the DC floating state. It is good.
- a force switch (variable device) in which the ground electrode 10a and the upper electrode 34 are short-circuited by a switch (variable device) 504 and the upper electrode 34 is grounded.
- 504 may be turned off and the upper electrode 34 may be in a DC floating state.
- the portion where the capacitor 501 is electrically formed may be configured such that the capacitance can be electrically varied. As a result, the potential of the upper electrode can be varied.
- a detector 55 that detects the state of plasma from the plasma detection window 10a is provided, and the controller 51 controls the variable DC power supply 50 based on the detection signal. Accordingly, it is possible to automatically apply a DC voltage that effectively exhibits the above-described function to the upper electrode 34. Further, a detector for detecting the sheath thickness or a detector for detecting the electron density may be provided, and the controller 51 may control the variable DC power supply 50 based on the detection signal.
- the selectivity to the film (SiC, SiN, etc.) can be increased.
- the selection ratio with respect to the mask can be increased.
- the etching rate of the insulating film can be increased.
- Additive gas such as 2 or a combination of Z dilution gas
- 2 Additive gas such as 2 or a combination of Z dilution gas
- a GND block (conductive member) 91 which is a DC grounded part, is provided in the deposition shield 11 on the chamber wall side in this embodiment.
- This GND block 91 is exposed to the plasma surface, and is electrically connected to the conductive part inside the deposition shield 11, and the DC voltage and current applied from the variable DC power supply 50 to the upper electrode 34 passes through the processing space. Then, it reaches the GND block 91 and is grounded via the deposition shield 11.
- the GND block 91 is a conductor and is preferably a silicon-containing material such as Si or SiC.
- GND block 91 By this GND block 91, electrons accumulated in the upper electrode 34 can be released, and abnormal discharge can be prevented.
- the protrusion length of GND block 91 is preferably 10mm or more U ,.
- a method of neutralizing electrons by periodically applying a pulse having a reverse polarity is also effective.
- the GND block 91 is provided in the plasma formation region, the position thereof is not limited to the position shown in FIG. 1.
- the susceptor 16 may be provided around the susceptor 16 as shown in FIG.
- it may be provided near the upper electrode 34, for example, in the form of a ring outside the upper electrode 34, as shown in FIG.
- Y 2 O or polymer coated on the deposition shield 11 etc. will fly, and this will be the GND block 91
- the GND block 91 is Y O etc.
- the adjacent parts which are preferably located away from the member covered with 2 3 are preferably Si-containing materials such as Si and Sekiei (SiO 2).
- Si-containing materials such as Si and Sekiei (SiO 2).
- the length L of the portion under the GND block 91 of the Si-containing member 93 is preferably equal to or longer than the protruding length M of the GND block 91.
- a high temperature is effective for the upper electrode 34, but the upper electrode 34 is supplied with high-frequency power for plasma formation, and the temperature in the vicinity of the upper electrode 34 increases. Therefore, it is also preferable to provide it near the upper electrode 34 as shown in FIG. In this case, in particular, as shown in FIG. 52, it is more preferable to provide a ring shape outside the upper electrode 34.
- the GND block 91 is provided with a switching mechanism 53 for switching the connection of the GND block 91 between the variable DC power supply 50 side and the ground line so that a voltage can be applied from the variable DC power supply 50.
- a grounded conductive auxiliary member 91b is provided for allowing a DC electron current generated when a negative DC voltage is applied to 91 to flow in.
- the switching mechanism 53 includes a first switch 53a for switching the connection of the variable DC power supply 50 between the matching unit 46 side and the GND block 91 side, and a second switch 53b for turning on / off the connection of the GND block 91 to the ground line. And have.
- the GND block 91 is provided in a ring shape on the outside of the upper electrode 34, and the conductive auxiliary member 91b is provided on the outer periphery of the susceptor 16, and this arrangement is preferable. It may not be an arrangement.
- the first switch 53a of the switching mechanism 53 is connected to the upper electrode 34 side, and the variable DC power supply 50 is connected to the upper electrode 34 side.
- the second switch 53b is turned on, and the GND block 91 is connected to the ground line side.
- the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is grounded via the plasma to the GND block 91 and the upper electrode 34. It flows into the conductive auxiliary member 91b (the direction of positive ion current flow is reversed).
- the surface of the GND block 91 is covered with deposits such as Y 2 O and polymer as described above.
- the second switch Hb 53b may be turned off and the GND block 91 may be in a floating state.
- the DC electron current flows from the upper electrode 34 into the conductive auxiliary member 9 lb via the plasma (the direction of the positive ion current is reversed).
- a self-bias voltage is applied to the GND block 91, and positive ions are incident with the corresponding energy, so that the GND block 91 can be cleaned during plasma etching.
- the applied DC voltage may be small, and the DC electron current at that time is small.
- the conductive auxiliary member 91b is not necessarily required when electric charges are not accumulated in the GND block 91 due to the leakage current.
- connection switching mechanism 57 for switching the connection is provided so that the upper electrode 34 is connected and the negative electrode is connected to the GND block 91.
- the connection switching mechanism 57 includes a first switch 57a for switching the connection of the variable DC power supply 50 to the upper electrode 34 between the positive electrode and the negative electrode, and a connection of the variable DC power supply 50 to the GND block 91 between the positive electrode and the negative electrode.
- a second switch 57b for switching and a third switch 57c for grounding the positive electrode or the negative electrode of the variable DC power supply 50 are provided.
- the first switch 57a and the second switch 57b are configured such that when the first switch 57a is connected to the positive electrode of the variable DC power supply 50, the second switch 57b is connected to the negative electrode of the DC power supply, and the first switch 57a When connected to the negative electrode of the variable DC power supply 50, an interlocking switch is configured so that the second switch 57b is turned off.
- the first switch 57a of the connection switching mechanism 57 is connected to the negative electrode side of the variable DC power supply 50, so The negative electrode of the flow power supply 50 is connected to the upper electrode 34 side, the second switch 57b is connected to the positive electrode side of the variable DC power supply 50, and the third switch 57c is connected to the positive electrode side of the variable DC power supply 50 ( The positive pole of the variable DC power supply 50 is grounded), and the GND block 91 is connected to the ground line side.
- the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is grounded from the upper electrode 34 through the plasma.
- Flows into 91 the direction of positive ion current flow is reversed).
- the surface of the GND block 91 is YO or polymer as described above.
- the first switch 57a of the connection switching mechanism 57 is switched to the positive side of the variable DC power supply 50, and the second switch 57b is switched to the negative side of the variable DC power supply 50. Further, the third switch 57c is not connected. In this state, power is supplied from the first high frequency power supply 48 to the upper electrode 34 to form a cleaning plasma.
- the GND block 91 has a negative polarity of the variable DC power supply 50 and the upper electrode 34 has a positive polarity of the variable DC power supply 50.
- the variable DC power supply 50 is apparently in a floating state. Generally, the power supply is safe because it is provided with a frame ground line.
- the third switch 57c may be left unconnected, and may remain connected to the positive side of the variable DC power supply 50 (the positive electrode of the variable DC power supply 50 is grounded).
- the first high frequency power supply 48 supplies power to the upper electrode 34 to form a cleaning plasma
- the GND block 91 is applied with a DC voltage from the negative electrode of the variable DC power supply 50, and the DC electron current is generated from the plasma.
- the positive ions then flow into the GND block 91 from the GND block 91 to the upper electrode 34.
- the surface of the GND block 91 can be ion-sputtered by adjusting the DC voltage and controlling the incident energy of positive ions to the GND block 91.
- a DC voltage is applied to the GND block 91 during cleaning, but an AC voltage may be applied.
- a power source voltage may be applied according to the force applied to the GND block 91 using the variable DC power source 50 for applying a direct voltage to the upper electrode.
- the GND block 91 is grounded during plasma etching and a negative DC voltage is applied to the GND block 91 during cleaning.
- the present invention is not limited to this.
- a negative DC voltage may be applied to the GND block 91 during plasma etching.
- the above cleaning may be replaced during ashing.
- a nopolar power source is used as the variable DC power source 50, a complicated switching operation like the connection switching mechanism 57 is not necessary.
- switching mechanism 53 in the example of FIG. 53 and connection switching mechanism 57 in the example of FIG. 56 is performed based on a command from control unit 95.
- the GND block 91 is covered with another member and caused to move relative to each other, thereby creating a new surface of the GND block 91. It is effective to make it exposed.
- the GND block 91 is made a relatively large area, and a part of the surface of the GND block 91 on which the plasma hits moves in the direction of the arrow. It can be mentioned that the portion exposed to the plasma on the surface of the GND block 91 can be changed by covering with a possible mask material 111 and moving the protective plate 111.
- a cylindrical GND block 191 is rotatably provided, and a mask material is provided so that only a part of the outer peripheral surface of the GND block 191 can be exposed. It is also effective to change the part exposed to plasma by covering with 112 and rotating the GND block 191.
- the drive mechanism can be provided outside the chamber 10.
- Mask materials 111 and 112 are high in plasma resistance, such as ceramics such as YO. An aluminum plate sprayed with aluminum can be used.
- a part of the GND block 91 is covered with another member. It is effective to use a member that is gradually etched by plasma as a member of this, so that the GND block 91 always loses its conductivity and the surface is exposed. For example, as shown in FIG. 23A described in the first embodiment, a part of the surface of the GND block 91 is covered with a stepped protective film 113 so that the initial exposed surface 91c has a grounding function. If the plasma treatment is performed for 200 hours in this state, for example, as shown in FIG.
- the initial exposed surface 91c of the GND block 91 loses its conductivity.
- the thin part of the film 113 is etched so that a newly exposed surface 91d of the GND block 91 appears.
- the newly exposed surface 91d exhibits a grounding function.
- Such a protective film 113 has the effect of preventing the wall surface material from adhering to the GND block 91 and the effect of reducing the inflow of ions to the GND block 91 to prevent contamination.
- a protective film 113a in which a large number of thin layers 114 are stacked and each layer is slightly shifted.
- the time that one layer 114 disappears by etching with plasma is Te and the time until the exposed surface of the GND block 91 is contaminated and loses conductivity is T p, it is always Te
- Te the time that one layer 114 disappears by etching with plasma
- T p the time until the exposed surface of the GND block 91 is contaminated and loses conductivity
- the protective films 113 and 113a those which are appropriately etched by plasma are preferable.
- a photoresist film can be preferably used.
- a plurality of GND blocks 91 are provided, and the ones having the grounding function are sequentially switched.
- the first embodiment As described in FIG. 25, three GND blocks 91 are provided, and a switching switch 115 is provided so that only one of them is grounded. Further, a current sensor 117 is provided on the common ground line 116, and a direct current flowing therethrough is monitored. The current of GND block 91, which is grounded V, is monitored by current sensor 117, and when the current value becomes lower than a predetermined value, it is switched to another GND block 91 not to perform the grounding function. It should be noted that the number of GND blocks 91 may be selected appropriately within a range of about 3 to 10.
- the GND block that is not grounded is in an electrically floating state. From the viewpoint of protecting the unused GND block, instead of providing the switch 115, You may be able to apply potential!
- An example is shown in FIG. 26 described in the first embodiment.
- a variable DC power source 119 is provided for each ground line 118 individually connected to each GND block 91. From this, the voltage of the variable DC power supply 119 corresponding to the GND block 91 that should exhibit the grounding function becomes OV, and the voltage of the other GND block 91 is not affected by the voltage. For example, the voltage of the corresponding variable DC power supply 119 is controlled so as to be 100V.
- the GND block 119 connected thereto can function as an electrode for applying a DC voltage to the plasma. Can do. However, even if this value is too large, the plasma will be adversely affected. Further, by controlling the voltage applied to the GND block 119, a tally effect on the GND block 119 can be achieved.
- the first high-frequency power is 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 80 MHz, 100 MHz
- the second high frequency power can be 380kHz, 800kHz, 1 ⁇ , 2MHz, 3.2MHz, 13.56MHz, and can be used in an appropriate combination depending on the process. it can.
- the force described above using the plasma etching apparatus as an example is also applicable to an apparatus for processing a semiconductor substrate using another plasma.
- An example is a plasma film forming apparatus.
- FIG. 58 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 4 of the present invention.
- FIG. 58 the same components as those in FIG. 58.
- variable DC power source 110 is connected to the upper electrode 34 and the wall (ground potential) of the chamber 10, and the variable DC power source 114 is connected to the susceptor 16 and the wall of the chamber 10. That is, one pole of variable DC power supply 110 is connected to upper electrode 34, the other pole is connected to the wall of channel 10, and one pole of variable DC power supply 114 is connected to susceptor 16, The other pole is connected to the wall of Channo 10.
- variable DC power supplies 110 and 114 can be turned on / off by on / off switches 112 and 116, respectively.
- variable DC power supplies 110 and 114 and on / off switches 112 and 116 are controlled by a controller (not shown).
- the matching unit 88 incorporates a filter similar to the filter 58 of the matching unit 46, and the variable DC power source 114 is connected to the susceptor 16 through this filter.
- the focus ring 24 outside the wafer is connected to the susceptor 16 in a DC manner, and the amount of DC applied to the susceptor 16 is optimized to correct a drop in the etching rate at the wafer edge and achieve good uniformity within the wafer surface. Etching can be performed, and the number of chips taken from one wafer can be increased.
- the polarities of the variable DC power supplies 110 and 114 may be reversed.
- an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 59 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 5 of the present invention. Also in FIG. 59, the same components as those in FIG.
- variable DC power source 118 is connected to the upper electrode 34 and the susceptor 16 serving as the lower electrode through the filters in the matching units 46 and 88, respectively. That is, one pole of the variable DC power source 118 is connected to the upper electrode 34, and the other pole is connected to the susceptor 16 that is the lower electrode.
- the variable DC power supply 118 can be turned on and off by an on and off switch 120.
- the variable DC power source 118 and the on / off switch 120 are controlled by a controller (not shown).
- a predetermined DC voltage is applied from the DC power source 118 to the upper electrode 34 and the susceptor 16 when plasma is formed as in the first embodiment.
- the DC voltage is applied to the upper electrode 34, the effect of applying the DC voltage in the first embodiment can be obtained, and the electric field is linearly applied to the upper electrode 34 force wafer W.
- the electrons on the electrode can be accelerated efficiently. Both electrodes float like a DC against the chamber wall! Therefore, even if a potential difference is applied between the electrodes, the plasma potential is not directly affected. Therefore, abnormal discharge does not occur on the chamber wall or the like. Also, there is no need to provide a GND block on the chamber wall.
- variable DC power supply 118 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 60 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 6 of the present invention. Also in FIG. 60, the same components as those in FIG.
- the conductor 42a is embedded in the insulating shielding member 42, and the variable DC power source 122 is connected to the upper electrode 34 and the conductor 42a.
- a conductor 26a is embedded in an inner wall member 26, which is an insulator, and a variable DC power source 126 is connected to the susceptor 16 and the conductor 26a. That is, one pole of variable DC power supply 122 is connected to upper electrode 34, the other pole is connected to conductor 42a, one pole of variable DC power supply 126 is connected to susceptor 16, and the other pole is connected to conductor 42a. Connected to conductor 26a.
- These variable DC power supplies 122 and 126 are turned on and turned off when they are turned on and off, respectively.
- variable DC power sources 122 and 126 and on / off switches 124 and 128 are controlled by a controller (not shown).
- the DC power supply 126 is built in the matching unit 88 and connected to the susceptor 16 through a filter.
- a predetermined DC voltage is applied from the DC power source 122 to the upper electrode 34 and the conductor 42a.
- a predetermined DC voltage is applied from 126 to the susceptor 16 and the conductor 26a.
- the applied voltage is further optimized so that the insulating shielding member 42
- the acceleration of ions occurs due to the difference between the potential leaked to the inner wall member 26 and the plasma potential, and the adhesion of deposits (depots) to the insulating shielding member 42 and the inner wall member 26 that is an insulator is suppressed. Can do.
- variable DC power sources 122 and 126 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 61 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 7 of the present invention.
- FIG. 61 the same components as those in FIG. 1 and FIG. The description will be omitted.
- the conductor 42a is embedded in the insulating shielding member 42, and the conductor 26a is embedded in the inner wall member 26.
- the variable DC power supply 130 is connected to the conductor 42a and the wall (ground potential) of the chamber 10, and the variable DC power supply 134 is connected to the conductor 26a and the wall (ground potential) of the chamber 10. That is, one pole of variable DC power supply 130 is connected to conductor 42a, the other pole is connected to the wall of chamber 10, one pole of variable DC power supply 134 is connected to conductor 26a, and the other pole is connected to conductor 26a. Connected to chamber 10 wall.
- These variable DC power supplies 130 and 134 can be turned on and off by on and off switches 132 and 136, respectively.
- the variable DC power supplies 130 and 134 and the on / off switches 132 and 136 are controlled by a controller (not shown).
- a predetermined DC voltage is applied from the variable DC power source 130 to the conductor 42a, and the variable DC power source 134 A predetermined DC voltage is applied to the conductor 26a.
- the applied voltage it is possible to change the potential that appears on the surfaces of the insulating shielding member 42 and the inner wall member 26.
- an ion acceleration voltage is generated due to a potential difference from the plasma potential, and the ion energy incident on the insulating shielding member 42 and the inner wall member 26 is deposited on the insulating shielding member 42 and the inner wall member 26 that is an insulator. It is possible to suppress adhesion of objects (depots).
- variable DC power supplies 132 and 134 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 62 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 8 of the present invention.
- the same components as those in FIGS. 1 and 60 are denoted by the same reference numerals, and description thereof is omitted.
- the conductor 42a is embedded in the insulating shielding member 42, and the conductor 26a is embedded in the inner wall member 26. And conductor 42a and conductor 26a A variable DC power supply 138 is connected. That is, one pole of the variable DC power supply 138 is connected to the conductor 42a, and the other pole is connected to the conductor 26a.
- the variable DC power source 138 can be turned on and off by an on / off switch 140. Note that the variable DC power supply 138 and the on / off switch 140 are controlled by a controller (not shown).
- a predetermined DC voltage is applied from the variable DC power source 138 to the conductor 42a and the conductor 26a when plasma is formed in the same manner as in the first embodiment.
- the surface potential of the insulating shielding member 42 and the inner wall member 26 is changed, and by the ions accelerated by the potential difference from the plasma potential, the insulating shielding member 42 and the insulator are changed.
- the deposit (depot) can be prevented from adhering to an inner wall member 26.
- electrons and ions can be accelerated toward the electrode, so that the plasma can be confined.
- variable DC power supply 138 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 63 is a schematic cross-sectional view schematically showing a main part of the plasma etching apparatus according to the ninth embodiment of the present invention. Also in FIG. 63, the same components as those in FIG.
- the deposition shield 11 that is a floating wall has a structure having a deposition shield 1 la and a deposition shield 1 lb that are insulated from each other, and the variable DC power supply 142 is provided between the upper electrode 34 and the deposition shield 11 a.
- the variable DC power supply 146 is connected to the lower electrode susceptor 16 and the deposition shield l ib. That is, one pole of the variable DC power supply 142 is connected to the upper electrode 34, the other pole is connected to the deposition shield 11a, one pole of the variable DC power supply 146 is connected to the susceptor 16, and the other pole The pole is connected to the depot shield l ib.
- variable DC power sources 142 and 146 can be turned on and off by on and off switches 144 and 148, respectively. Note that these variable DC power supplies 142 and 146 and on / off switches 144 and 148 are controlled by a controller (not shown). Similarly to the fourth embodiment, the DC power source 148 is built in the matching unit 88 and connected to the susceptor 16 through a filter.
- a predetermined DC voltage is applied from the variable DC power source 142 to the upper electrode 34 and the deposition shield 1 la. Then, a predetermined DC voltage is applied from the variable DC power source 146 to the susceptor 16 and the deposition shield l ib.
- the DC voltage is applied to the upper electrode 34, the effect of applying the DC voltage in the first embodiment can be obtained.
- the deposition shield 11, the upper electrode 34, and the susceptor 16 that is the lower electrode float from the lands, the potential difference between the deposition shield 11 and the upper electrode 34 and the deposition shield 11 and the susceptor 16 is applied. Naturally determined by the voltage value.
- the arc prevention effect can be obtained without exposing the ground to the plasma, and the ion is accelerated by the potential difference between the two, and adhesion of deposits (depots) to the deposition shield 11 can be suppressed.
- plasma confinement can be achieved by creating a potential difference in the exhaust space by optimizing the potential direction and voltage.
- variable DC power supplies 142 and 146 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 64 is a schematic cross-sectional view schematically showing a main part of the plasma etching apparatus according to the tenth embodiment of the present invention.
- the same components as those in FIGS. 1 and 63 are denoted by the same reference numerals, and description thereof is omitted.
- the deposit shield 11 which is a floating wall is structured to have a deposit shield 1 la and a deposit shield 1 lb which are insulated from each other, and the deposit shield 11a and the channel 10
- the variable DC power supply 150 is connected to the wall of the wall, and the variable DC power supply 154 is connected to the wall of the deposit shield l ib and the chamber 10. That is, one pole of variable DC power supply 150 is connected to depot shield 11a, and the other pole is connected to the wall of Channo 10. One pole of the variable DC power supply 154 is connected to the deposition shield l ib, and the other pole is connected to the wall of the chamber 10.
- These variable DC power supplies 150 and 154 can be turned on and off by on and off switches 152 and 156, respectively.
- These variable DC power sources 150 and 154 and on / off switches 152 and 156 are controlled by a controller (not shown).
- the wall of the chamber 10 that is the floating wall and the depot shield 11a that is the floating wall from the variable DC power source 150.
- a predetermined DC voltage is applied to the variable DC power supply 154 force, and the DC constant voltage of the depot shield l ib which is a floating wall and the chamber 10 which is a ground wall is applied.
- adhesion of deposits (depots) to the deposition shield 11 can be suppressed by optimally applying the potential of the deposition shield to obtain an ion acceleration voltage.
- Figure 64 shows an example in which a horizontal electric field is applied to prevent the plasma from spreading downward.
- variable DC power supplies 150 and 154 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 65 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 11 of the present invention.
- the same components as those in FIGS. 1 and 63 are denoted by the same reference numerals, and description thereof is omitted.
- the deposition shield 11 which is a floating wall is structured to have a deposition shield 1 la and a deposition shield 1 lb which are insulated from each other, and the deposition shield 11a and the deposition shield l ib Is connected to a variable DC power supply 158. That is, one pole of the variable DC power supply 158 is connected to the deposition shield 11a, and the other pole is connected to the deposition shield l ib.
- the variable DC power source 158 can be turned on and off by an on / off switch 160.
- the variable DC power supply 158 and the on / off switch 160 are not shown. It is controlled by a controller.
- a predetermined DC voltage is applied from the variable DC power supply 158 to the deposition shield 1 la and the deposition shield l ib. Applied.
- the ions are accelerated to suppress deposits (depots) from adhering to the insulating shielding member 42 and the inner wall member 26 that is an insulator. can do.
- an electric field perpendicular to the exhaust direction ions and electrons are annihilated by hitting the deposition shield, that is, a plasma confinement effect can be obtained.
- variable DC power supply 158 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 66 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 12 of the present invention.
- the same components as those in FIG. 66 are identical to FIG. 66.
- a variable DC power supply 162 is connected to the upper electrode 34 and the conductive focus ring (correction ring) 24. That is, one pole of the variable DC power supply 162 is connected to the upper electrode 34, and the other pole is connected to the focus ring 24 placed above the susceptor 16 that is the lower electrode.
- the variable DC power supply 162 can be turned on / off by an on / off switch 164.
- the variable DC power supply 162 and the on / off switch 164 are controlled by a controller (not shown).
- the conductive focus ring 24 is electrically grounded.
- a predetermined DC voltage is applied from the variable DC power supply 162 to the upper electrode 34 and the focus ring 24.
- the predetermined voltage can be applied to uniformly distribute the wafer surface. Perform good etching Is possible.
- variable DC power supply 162 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 67 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 13 of the present invention.
- the same components as those in FIG. 67 the same components as those in FIG. 67.
- a cooling ring 166 that can be cooled is provided at a position adjacent to the UE and W between the focus ring 24 that is a correction ring and the electrostatic chuck 18, and the focus ring 24 and the chamber 10 are
- a variable DC power supply 167 is connected to the wall. That is, one pole of the variable DC power supply 167 is connected to the focus ring 24 and the other pole is connected to the wall of the chamber 10.
- a low-pass filter (LP F) 169 is interposed in the power supply line from the power source 167 to the focus ring 24.
- a switch 168 is provided in parallel with the variable DC power supply 167.
- the cooling ring 166 can be cooled by the cooling mechanism 170.
- the temperature of the cooling ring 16 6 and the focus ring 24 is measured by a temperature measurement system 171.
- the temperature control unit 172 controls the temperature of the cooling ring 16 6 and the focus ring 24 by inputting the signal from the temperature measurement system 171 and outputting the control signal to the cooling mechanism 170, the variable DC power supply 167, and the switch 168.
- the cooling mechanism include a mechanism that supplies a heat transfer gas such as He gas between the cooling ring 166 and the susceptor. In this case, by changing the supply pressure of the heat transfer gas, it is possible to control the cooling ring temperature by changing the degree of cold transfer of the refrigerant circulating in the susceptor 16.
- the edge portion of the wafer W is cooled by the cooling ring 166 so that the edge portion of the wafer W is cooled. It is possible to prevent deposits from adhering, and by applying a DC voltage to the focus ring 24, the etching characteristics deteriorate due to temperature drop. Can be prevented.
- a focus ring 24 is usually provided adjacent to the outer periphery of the wafer W as shown in FIG. 68, but the temperature of the focus ring 24 rises during the plasma processing. Therefore, the deposit 173 adheres to the edge portion and the back surface of the wafer W.
- the focus ring 24 is cooled in order to prevent deposition of deposits, such deposit deposition is reduced.
- Etching characteristics at the edge of the wafer W (especially the etching rate of the resist, etc.) deteriorates, and deposits and etching occur. The characteristic has a trade-off relationship.
- the depot is attached to the cooling ring 166 instead of attaching to the edge portion of the wafer W. Therefore, deposition of deposits on the edge and back surface of the wafer W can be prevented.
- the cooling ring 166 can be prevented from lowering the temperature in the vicinity of the wafer edge, and the etching characteristics are not lowered.
- temperature control is not always necessary.
- the temperature of the cooling ring 166 may be lower than the temperature of the edge portion of the wafer W.
- only the focus ring 24 may be measured and controlled. Therefore, as shown in FIG. 69, a good heat conductor such as silicon rubber 174 may be interposed between the cooling ring 166 and the susceptor 16 so that the cooling member 166 can be more easily cooled.
- a dielectric material for example, a member 174a having an A1N force, is sandwiched from above and below by silicon rubber 174 or the like so that high-frequency power is hardly transmitted and only heat is transmitted. This can prevent the cooling ring 166 from being heated by high-frequency power as much as possible, and can further increase the degree of cooling.
- a direct current voltage is applied to the focus ring 24 through the susceptor 16. It can also be applied.
- the focus ring 24 and the susceptor 16 are electrically connected by a contact pin 176 or the like, and a DC voltage is applied to the susceptor 16 via the power supply line of the high frequency power supply 90. If the temperature rise due to the high-frequency power supplied through the susceptor 16 cannot be ignored, a dielectric member 17 is interposed between the cooling ring 166 and the susceptor 16 as shown in the figure, so that the high-frequency power to the cooling ring 166 is increased. Power can be cut off to suppress temperature rise.
- the polarity of the DC voltage applied to the focus ring 24 may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- AM modulation or FM modulation.
- Fig. 74 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 14 of the present invention.
- FIG. 74 the same components as those in FIG. 74.
- the focus ring which is a correction ring, is separated into an inner first focus ring 24a adjacent to the electrostatic chuck 18 and an outer second focus ring 24b.
- a variable DC power supply 178 is connected to the focus ring 24a and the second focus ring 24b. That is, one pole of the variable DC power supply 178 is connected to the first focus ring 24a, and the other pole is connected to the second focus ring 24b.
- a low-pass filter (LPF) 180 is interposed in the power supply line from the power supply 178.
- a switch 182 is provided in parallel with the variable DC power supply 178.
- the variable DC power supply is applied to the inner first focus ring 24a and the outer second focus ring 24b.
- DC voltage is applied.
- the inner first four forces The voltage (voltage direction) applied to the sling 24a and the second outer focus ring 24b can be made different and the value can be changed, so that the plasma around the wafer W can be controlled, and the wafer can be controlled. It is possible to reduce the deterioration of process characteristics at the edge of W. For example, it is possible to reduce the etching rate at the edge of the wafer W, the bending of the etching shape at the edge of the wafer W, and the like.
- the first focus ring 24a is allowed to function as a cooling ring by providing the same cooling mechanism as in the thirteenth embodiment to cool the first focus ring 24a, a wello It is also possible to prevent deposits from adhering to the edge and back of W. Further, the temperature of the first and second focus rings 24a and 24b is measured in the same manner as in the embodiment 13, and the temperature controller controls the voltage of the variable DC power supply so that these temperatures become predetermined values as in the embodiment 13. Or control the polarity. If a cooling mechanism is provided, control the cooling of the first focus ring 24a.
- the first focus ring 24a and the second focus ring 24b may be arranged vertically near the edge of the W and W. In this way, the same effect can be obtained.
- the polarity of the DC voltage applied to the first and second focus rings 24a, 24b may be reversed, or an AC voltage may be applied instead of the DC voltage.
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 76 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 15 of the present invention.
- the same components as those in FIGS. 1 and 74 are denoted by the same reference numerals, and description thereof is omitted.
- the focus ring which is a correction ring, is separated into an inner first focus ring 24a adjacent to the electrostatic chuck 18 and an outer second focus ring 24b.
- first variable DC power supply 184 and second variable DC power supply 186 are connected to first focus ring 24a and second focus ring 24b, respectively.
- one pole of the first variable DC power supply 184 is connected to the first focus ring 24a
- one pole of the second variable DC power supply 186 is connected to the second focus ring 24b.
- a first low-pass filter (LPF) 188 and a second low-pass filter (LPF) 190 are interposed in the feed lines from the first and second variable DC power supplies 184 and 186, respectively.
- Switches 185 and 187 are provided in parallel with the first variable DC power supply 184 and the second variable DC power supply 186, respectively.
- the first variable is applied to the inner first focus ring 24a and the outer second focus ring 24b.
- DC voltage is applied independently from DC power supply 184 and second variable DC power supply 186.
- the voltages (voltage directions) applied to the two can be made different, and those values can be freely changed independently. Therefore, the outer periphery of the wafer W can be changed more than in the case of the fourteenth embodiment.
- the plasma can be controlled with high accuracy, and at the edge of the wafer W, the above-mentioned process characteristics such as the decrease in the etching rate at the edge of the wafer W and the bending of the etching shape at the edge of the wafer W are affected. It can be reduced more effectively.
- the first focus ring 24a can function as a cooling ring. It is also possible to prevent deposits from adhering to the edge and back of Ueno and W. Further, the temperature of the first and second focus rings 24a and 24b is measured in the same manner as in the embodiment 13, and the temperature controller controls the voltage of the variable DC power supply so that these temperatures become predetermined values as in the embodiment 13. Or control the polarity. If a cooling mechanism is provided, control the cooling of the first focus ring 24a.
- the other poles of the first variable power supply 184 and the second variable power supply 186 may be connected to the upper electrode 34 via a low-pass filter (LPF) 192.
- LPF low-pass filter
- the first focus ring 24a and the second focus ring 24b may be arranged vertically in the vicinity of the edge of the wafer W. This also has the same effect. Obtainable.
- the polarity of the DC voltage applied to the first and second focus rings 24a, 24b may be reversed, or an AC voltage may be applied instead of the DC voltage. .
- the voltage may be pulsed or modulated such as AM modulation or FM modulation.
- FIG. 79 is a schematic cross-sectional view schematically showing a plasma etching apparatus according to Embodiment 16 of the present invention.
- a lower RF1 frequency application type plasma etching apparatus that applies a high frequency (RF) power of, for example, 13.56 MHz for plasma generation from the first high frequency power supply 200 to the susceptor 16 that is the lower electrode.
- RF high frequency
- the variable DC power supply 204 is connected to the upper electrode 234 ′ so that a predetermined direct current (DC) voltage is applied.
- FIG. 79 is a diagram omitting details, but the plasma etching apparatus of this embodiment is a lower RF 2 frequency application type plasma etching apparatus of Embodiment 3 except that only one frequency of high frequency power is applied to the lower part. Is the same.
- the same effect as that of the plasma etching apparatus of Embodiment 3 can be obtained by applying a DC voltage from the upper electrode 234 and the variable DC power supply 204. That is, (1) the absolute value of the self-bias voltage of the first electrode is increased to increase the sputtering effect on the surface of the first electrode, and (2) the plasma sheath at the first electrode is expanded, and the plasma formed is reduced. (3) Effect of irradiating the substrate to be processed with electrons generated in the vicinity of the first electrode, (4) Effect of controlling plasma potential, (5) Effect of increasing electron (plasma) density, (6 ) At least one of the effects of increasing the plasma density in the center can be achieved.
- the apparatus configuration and method related to the application of the DC voltage to the upper electrode described in Embodiments 1 to 3 can be applied to the plasma etching apparatus of this embodiment.
- the conductive member of the third embodiment, the switch described in FIGS. 47 to 49 of the third embodiment, and the combination of process gases can be naturally applied to the plasma etching apparatus of the present embodiment. It is.
- the apparatus configuration and method relating to the application of the direct current voltage to the upper electrode and members other than the upper electrode described in the above embodiments 4 to 15 are applied to the plasma etching apparatus of this embodiment. Can do.
- FIG. 80 is a schematic cross-sectional view schematically showing a plasma etching apparatus according to Embodiment 17 of the present invention.
- a first high frequency (RF) power is applied from the first high frequency power supply 48 ′ to the lower electrode susceptor 16 and a second high frequency (RF) power is supplied from the second high frequency power supply 90.
- RF high frequency
- it is an upper RF 1 frequency lower RF 2 frequency type plasma etching apparatus that applies third high frequency power from the third high frequency power source 224 to the upper electrode 34, and a variable DC power source 50 is connected to the upper electrode 34 as shown in the figure.
- a plasma etching device to which a predetermined direct current (DC) voltage is applied.
- the high-frequency power source that outputs high-frequency power for plasma formation is the third high-frequency power source.
- Preferred is a high frequency power supply and a second high frequency power supply.
- the same effect as that of the plasma etching apparatus of Embodiment 3 can be obtained by applying a DC voltage from the variable DC power source 50 to the upper electrode 34. . That is, (1) the absolute value of the self-bias voltage of the first electrode is increased to increase the sputtering effect on the surface of the first electrode, and (2) the plasma sheath at the first electrode is expanded, and the plasma formed is reduced. (3) Effect of irradiating the substrate with electrons generated near the first electrode, (4) Effect of controlling plasma potential, (5) Effect of increasing electron (plasma) density, (6 ) At least one of the effects of increasing the plasma density in the center can be achieved.
- the apparatus configuration and method related to the application of the DC voltage to the upper electrode described in Embodiments 1 to 3 can be applied to the plasma etching apparatus of this embodiment.
- the apparatus configuration and method relating to the application of the direct current voltage to the upper electrode and members other than the upper electrode described in the above embodiments 4 to 15 are applied to the plasma etching apparatus of this embodiment. Can do.
- the switching switch 226 can be used to connect the upper electrode 34 to the third high-frequency power source 224 and the variable DC power source 50, or to switch the grounding force.
- Reference numeral 227 is a low-pass filter, and 228 is a high-pass filter.
- the apparatus configuration and method related to application of a DC voltage to the upper electrode and members other than the upper electrode described in Embodiments 4 to 15 are applied to the plasma etching apparatus of Embodiments 2 and 3. You can also
- a high frequency power of 60 MHz for plasma generation is applied to the lower electrode susceptor 16 from the first high frequency power supply 48 ′, and the second high frequency power supply 90 ′ is also applied.
- a high-frequency power of 2 MHz for ion attraction may be applied, and a DC power source 198 may be applied to the susceptor 16 as the lower electrode.
- a variable DC power source 202 connected to the lower electrode may be provided instead of the variable DC power source 204 connected to the upper electrode of FIG.
- the DC voltage application method described in the first to fifteenth embodiments can be applied to the devices of the types shown in FIGS. 81 and 82.
- the force described above using the plasma etching apparatus as an example is applicable to an apparatus for processing a semiconductor substrate using another plasma.
- An example is a plasma film forming apparatus.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Analytical Chemistry (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Vapour Deposition (AREA)
Abstract
Description
Claims
Priority Applications (14)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020117031587A KR101248709B1 (ko) | 2004-06-21 | 2005-06-21 | 플라즈마 처리 장치 |
KR1020077001691A KR100971799B1 (ko) | 2004-06-21 | 2005-06-21 | 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체 |
KR1020117031575A KR101247857B1 (ko) | 2004-06-21 | 2005-06-21 | 플라즈마 처리 장치 |
KR1020117031581A KR101247833B1 (ko) | 2004-06-21 | 2005-06-21 | 플라즈마 처리 방법 |
KR1020117031578A KR101248691B1 (ko) | 2004-06-21 | 2005-06-21 | 플라즈마 처리 장치 |
KR1020117031569A KR101250717B1 (ko) | 2004-06-21 | 2005-06-21 | 플라즈마 처리 장치 |
KR1020127021941A KR101270285B1 (ko) | 2004-06-21 | 2005-06-21 | 플라즈마 처리 방법 |
CN2005800205180A CN1973363B (zh) | 2004-06-21 | 2005-06-21 | 等离子体处理装置和方法 |
KR1020067026949A KR101180125B1 (ko) | 2004-06-21 | 2005-06-21 | 플라즈마 처리 장치 및 방법 |
EP20050753490 EP1780777A4 (en) | 2004-06-21 | 2005-06-21 | PLASMA PROCESSING DEVICE AND METHOD |
EP12159425.3A EP2479782B1 (en) | 2004-06-21 | 2005-06-21 | Plasma processing apparatus and method |
EP16189429.0A EP3128538B1 (en) | 2004-06-21 | 2005-06-21 | Plasma processing apparatus and method |
EP12159427.9A EP2479783B1 (en) | 2004-06-21 | 2005-06-21 | Plasma processing apparatus and method |
EP12159428.7A EP2479784B1 (en) | 2004-06-21 | 2005-06-21 | Plasma processing apparatus and method |
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2004183093 | 2004-06-21 | ||
JP2004-183093 | 2004-06-21 | ||
JP2005-013912 | 2005-01-21 | ||
JP2005013912 | 2005-01-21 | ||
JP2005-045095 | 2005-02-22 | ||
JP2005045095 | 2005-02-22 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2005124844A1 true WO2005124844A1 (ja) | 2005-12-29 |
Family
ID=35509997
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2005/011333 WO2005124844A1 (ja) | 2004-06-21 | 2005-06-21 | プラズマ処理装置及び方法 |
Country Status (6)
Country | Link |
---|---|
EP (5) | EP2479783B1 (ja) |
JP (6) | JP5349445B2 (ja) |
KR (8) | KR101247857B1 (ja) |
CN (6) | CN102256432B (ja) |
TW (4) | TWI447802B (ja) |
WO (1) | WO2005124844A1 (ja) |
Cited By (41)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007208194A (ja) * | 2006-02-06 | 2007-08-16 | Tokyo Electron Ltd | ガス供給装置,基板処理装置,ガス供給方法 |
JP2007214295A (ja) * | 2006-02-08 | 2007-08-23 | Tokyo Electron Ltd | ガス供給装置,基板処理装置,ガス供給方法 |
JP2007234770A (ja) * | 2006-02-28 | 2007-09-13 | Tokyo Electron Ltd | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 |
JP2007250967A (ja) * | 2006-03-17 | 2007-09-27 | Tokyo Electron Ltd | プラズマ処理装置および方法とフォーカスリング |
JP2007258470A (ja) * | 2006-03-23 | 2007-10-04 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
JP2007258417A (ja) * | 2006-03-23 | 2007-10-04 | Tokyo Electron Ltd | プラズマ処理方法 |
JP2007258500A (ja) * | 2006-03-24 | 2007-10-04 | Hitachi High-Technologies Corp | 基板支持装置 |
JP2007258379A (ja) * | 2006-03-22 | 2007-10-04 | Tokyo Electron Ltd | プラズマ処理装置 |
JP2007258471A (ja) * | 2006-03-23 | 2007-10-04 | Tokyo Electron Ltd | プラズマ処理装置 |
JP2007288119A (ja) * | 2006-03-22 | 2007-11-01 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
JP2008021791A (ja) * | 2006-07-12 | 2008-01-31 | Tokyo Electron Ltd | プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
JP2008028022A (ja) * | 2006-07-19 | 2008-02-07 | Tokyo Electron Ltd | プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
JP2008078515A (ja) * | 2006-09-25 | 2008-04-03 | Tokyo Electron Ltd | プラズマ処理方法 |
WO2008044633A1 (fr) * | 2006-10-06 | 2008-04-17 | Tokyo Electron Limited | Dispositif et procédé de gravure au plasma |
JP2008147659A (ja) * | 2006-12-11 | 2008-06-26 | Tokyo Electron Ltd | 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム |
KR100898165B1 (ko) * | 2006-11-24 | 2009-05-19 | 엘지전자 주식회사 | 플라즈마 발생장치 및 방법 |
JP2009545890A (ja) * | 2006-07-31 | 2009-12-24 | 東京エレクトロン株式会社 | Rf変調によって弾道電子ビームの均一性を制御する方法及びシステム |
US20110088850A1 (en) * | 2006-03-22 | 2011-04-21 | Tokyo Electron Limited | Plasma processing apparatus, plasma processing method, and storage medium |
CN102056394A (zh) * | 2009-10-27 | 2011-05-11 | 东京毅力科创株式会社 | 等离子体处理装置 |
US8104428B2 (en) | 2006-03-23 | 2012-01-31 | Tokyo Electron Limited | Plasma processing apparatus |
US8129282B2 (en) | 2006-07-19 | 2012-03-06 | Tokyo Electron Limited | Plasma etching method and computer-readable storage medium |
US8141514B2 (en) | 2006-03-23 | 2012-03-27 | Tokyo Electron Limited | Plasma processing apparatus, plasma processing method, and storage medium |
JP2012165007A (ja) * | 2006-07-10 | 2012-08-30 | Lam Research Corporation | プラズマ電位制御装置およびその方法 |
CN103219216A (zh) * | 2012-01-20 | 2013-07-24 | 东京毅力科创株式会社 | 等离子体处理装置 |
JP2014039060A (ja) * | 2006-03-22 | 2014-02-27 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
CN103985659A (zh) * | 2011-07-26 | 2014-08-13 | 中微半导体设备(上海)有限公司 | 一种mocvd半导体处理装置及制作方法 |
CN104051212A (zh) * | 2013-03-12 | 2014-09-17 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理装置 |
CN107516627A (zh) * | 2011-10-05 | 2017-12-26 | 应用材料公司 | 对称等离子体处理室 |
CN110098100A (zh) * | 2014-06-10 | 2019-08-06 | 朗姆研究公司 | 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性 |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11699572B2 (en) | 2019-01-22 | 2023-07-11 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11848176B2 (en) | 2020-07-31 | 2023-12-19 | Applied Materials, Inc. | Plasma processing using pulsed-voltage and radio-frequency power |
US11887813B2 (en) | 2021-06-23 | 2024-01-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
US12125673B2 (en) | 2023-11-13 | 2024-10-22 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Families Citing this family (370)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101247857B1 (ko) * | 2004-06-21 | 2013-03-26 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
US8222156B2 (en) | 2006-12-29 | 2012-07-17 | Lam Research Corporation | Method and apparatus for processing a substrate using plasma |
JP5371238B2 (ja) * | 2007-12-20 | 2013-12-18 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
KR100920187B1 (ko) * | 2007-12-31 | 2009-10-06 | 네스트 주식회사 | 플라즈마 챔버내의 플라즈마 밀도 분포 제어 방법 |
JP2009193989A (ja) * | 2008-02-12 | 2009-08-27 | Tokyo Electron Ltd | プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体 |
KR100911327B1 (ko) * | 2008-09-26 | 2009-08-07 | 주식회사 테스 | 플라즈마 발생 장치 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
KR101214758B1 (ko) * | 2010-02-26 | 2012-12-21 | 성균관대학교산학협력단 | 식각 방법 |
KR101864132B1 (ko) | 2010-10-05 | 2018-07-13 | 에바텍 아크티엔게젤샤프트 | 폴리머 기판의 진공 처리를 위한 현장 컨디셔닝 |
JP5759718B2 (ja) * | 2010-12-27 | 2015-08-05 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5690596B2 (ja) | 2011-01-07 | 2015-03-25 | 東京エレクトロン株式会社 | フォーカスリング及び該フォーカスリングを備える基板処理装置 |
JP2012204644A (ja) * | 2011-03-25 | 2012-10-22 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
WO2013046640A1 (ja) * | 2011-09-26 | 2013-04-04 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
TWI585837B (zh) * | 2011-10-12 | 2017-06-01 | 歐瑞康先進科技股份有限公司 | 濺鍍蝕刻室及濺鍍方法 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9396900B2 (en) * | 2011-11-16 | 2016-07-19 | Tokyo Electron Limited | Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties |
JP5951324B2 (ja) * | 2012-04-05 | 2016-07-13 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
WO2014052228A1 (en) * | 2012-09-26 | 2014-04-03 | Applied Materials, Inc. | Bottom and side plasma tuning having closed loop control |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP2014082228A (ja) | 2012-10-12 | 2014-05-08 | Tokyo Electron Ltd | プラズマエッチング方法 |
KR101375742B1 (ko) * | 2012-12-18 | 2014-03-19 | 주식회사 유진테크 | 기판처리장치 |
CN103903945B (zh) * | 2012-12-24 | 2016-04-20 | 中微半导体设备(上海)有限公司 | 一种稳定脉冲射频的方法 |
JP6144917B2 (ja) * | 2013-01-17 | 2017-06-07 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理装置の運転方法 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9053908B2 (en) * | 2013-09-19 | 2015-06-09 | Lam Research Corporation | Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP6327970B2 (ja) * | 2014-06-19 | 2018-05-23 | 東京エレクトロン株式会社 | 絶縁膜をエッチングする方法 |
JP6357436B2 (ja) | 2014-07-25 | 2018-07-11 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9854659B2 (en) | 2014-10-16 | 2017-12-26 | Advanced Energy Industries, Inc. | Noise based frequency tuning and identification of plasma characteristics |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
TWI632607B (zh) | 2015-01-26 | 2018-08-11 | 東京威力科創股份有限公司 | 基板之高精度蝕刻用方法及系統 |
JP6488150B2 (ja) * | 2015-02-27 | 2019-03-20 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP2016178222A (ja) * | 2015-03-20 | 2016-10-06 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP6424120B2 (ja) * | 2015-03-23 | 2018-11-14 | 東京エレクトロン株式会社 | 電源システム、プラズマ処理装置及び電源制御方法 |
US9799494B2 (en) | 2015-04-03 | 2017-10-24 | Tokyo Electron Limited | Energetic negative ion impact ionization plasma |
JP2016207788A (ja) * | 2015-04-20 | 2016-12-08 | 東京エレクトロン株式会社 | 上部電極の表面処理方法、プラズマ処理装置及び上部電極 |
JP2017010993A (ja) | 2015-06-17 | 2017-01-12 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
JP2016096342A (ja) * | 2015-11-26 | 2016-05-26 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6556046B2 (ja) * | 2015-12-17 | 2019-08-07 | 東京エレクトロン株式会社 | プラズマ処理方法およびプラズマ処理装置 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
JP6643950B2 (ja) * | 2016-05-23 | 2020-02-12 | 東京エレクトロン株式会社 | プラズマ処理方法 |
JP6541618B2 (ja) * | 2016-05-25 | 2019-07-10 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US9852889B1 (en) * | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR101909479B1 (ko) * | 2016-10-06 | 2018-10-19 | 세메스 주식회사 | 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11319630B2 (en) * | 2016-12-14 | 2022-05-03 | Ulvac, Inc. | Deposition apparatus and deposition method |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
WO2018121896A1 (en) | 2016-12-27 | 2018-07-05 | Evatec Ag | Rf capacitive coupled dual frequency etch reactor |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
TWI620228B (zh) | 2016-12-29 | 2018-04-01 | 財團法人工業技術研究院 | 電漿處理裝置與電漿處理方法 |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10354844B2 (en) * | 2017-05-12 | 2019-07-16 | Asm Ip Holding B.V. | Insulator structure for avoiding abnormal electrical discharge and plasma concentration |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10861677B2 (en) | 2017-07-07 | 2020-12-08 | Advanced Energy Industries, Inc. | Inter-period control system for plasma power delivery system and method of operating the same |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
JP6836976B2 (ja) * | 2017-09-26 | 2021-03-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102063108B1 (ko) * | 2017-10-30 | 2020-01-08 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
KR101967436B1 (ko) | 2017-11-10 | 2019-04-10 | 에스엠에이치 주식회사 | 벨트 컨베이어 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7055054B2 (ja) * | 2018-04-11 | 2022-04-15 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
JP7094154B2 (ja) * | 2018-06-13 | 2022-07-01 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
JP7203531B2 (ja) * | 2018-08-08 | 2023-01-13 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
JP6833784B2 (ja) * | 2018-09-28 | 2021-02-24 | 芝浦メカトロニクス株式会社 | プラズマ処理装置 |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
JP7145041B2 (ja) * | 2018-11-08 | 2022-09-30 | 東京エレクトロン株式会社 | 基板支持器、プラズマ処理装置、及びフォーカスリング |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11562887B2 (en) * | 2018-12-10 | 2023-01-24 | Tokyo Electron Limited | Plasma processing apparatus and etching method |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN111354672B (zh) * | 2018-12-21 | 2023-05-09 | 夏泰鑫半导体(青岛)有限公司 | 静电卡盘及等离子体加工装置 |
US11804362B2 (en) | 2018-12-21 | 2023-10-31 | Advanced Energy Industries, Inc. | Frequency tuning for modulated plasma systems |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP6960421B2 (ja) * | 2019-01-23 | 2021-11-05 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
JP7462383B2 (ja) | 2019-04-15 | 2024-04-05 | 東京エレクトロン株式会社 | クリーニング方法及びプラズマ処理装置 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
JP7220626B2 (ja) * | 2019-06-18 | 2023-02-10 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7504686B2 (ja) | 2020-07-15 | 2024-06-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
CN114256046B (zh) * | 2020-09-22 | 2024-07-05 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置及其工作方法 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
JP7489896B2 (ja) | 2020-10-22 | 2024-05-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
CN115247257B (zh) * | 2021-04-25 | 2024-01-23 | 广东聚华印刷显示技术有限公司 | 成膜装置及膜层的制备方法 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JP2024013548A (ja) | 2022-07-20 | 2024-02-01 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09326383A (ja) | 1996-06-05 | 1997-12-16 | Hitachi Ltd | プラズマ処理装置及びプラズマ処理方法 |
JP2000323460A (ja) | 1999-05-11 | 2000-11-24 | Tokyo Electron Ltd | プラズマエッチング装置 |
US20020038631A1 (en) | 2000-09-29 | 2002-04-04 | Masahiro Sumiya | Plasma processing apparatus and method using active matching |
US6716303B1 (en) | 2000-10-13 | 2004-04-06 | Lam Research Corporation | Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same |
US20040112536A1 (en) | 2001-05-29 | 2004-06-17 | Tokyo Electron Limited | Plasma processing apparatus and method |
Family Cites Families (55)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS60245213A (ja) * | 1984-05-21 | 1985-12-05 | Hitachi Ltd | プラズマ処理装置 |
JPS61199078A (ja) * | 1985-02-28 | 1986-09-03 | Anelva Corp | 表面処理装置 |
JPH06104898B2 (ja) * | 1988-01-13 | 1994-12-21 | 忠弘 大見 | 減圧表面処理装置 |
JP2574838B2 (ja) * | 1988-01-18 | 1997-01-22 | 株式会社日立製作所 | Alのスパッタエッチング装置 |
JPH04279044A (ja) * | 1991-01-09 | 1992-10-05 | Sumitomo Metal Ind Ltd | 試料保持装置 |
JPH06279044A (ja) * | 1993-03-29 | 1994-10-04 | Fujikura Ltd | 光ファイバ母材の製造方法 |
JP3247491B2 (ja) * | 1993-05-19 | 2002-01-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3236724B2 (ja) * | 1993-11-30 | 2001-12-10 | 東京エレクトロン株式会社 | 真空処理装置 |
JPH07211489A (ja) * | 1994-01-21 | 1995-08-11 | Sumitomo Metal Ind Ltd | マイクロ波プラズマ処理装置及び該装置のクリーニング方法 |
JP3438003B2 (ja) * | 1994-04-20 | 2003-08-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3210207B2 (ja) * | 1994-04-20 | 2001-09-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3520577B2 (ja) * | 1994-10-25 | 2004-04-19 | 株式会社日立製作所 | プラズマ処理装置 |
JPH07207471A (ja) * | 1994-12-05 | 1995-08-08 | Hitachi Ltd | プラズマエッチング装置 |
JP3257328B2 (ja) * | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
JPH09111460A (ja) * | 1995-10-11 | 1997-04-28 | Anelva Corp | チタン系導電性薄膜の作製方法 |
JPH08319588A (ja) * | 1996-06-17 | 1996-12-03 | Hitachi Ltd | プラズマエッチング装置 |
JPH1012597A (ja) * | 1996-06-20 | 1998-01-16 | Hitachi Ltd | プラズマエッチング装置及びプラズマエッチング方法 |
US6500314B1 (en) * | 1996-07-03 | 2002-12-31 | Tegal Corporation | Plasma etch reactor and method |
JP3220394B2 (ja) * | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3582287B2 (ja) * | 1997-03-26 | 2004-10-27 | 株式会社日立製作所 | エッチング装置 |
JP4008077B2 (ja) * | 1997-10-01 | 2007-11-14 | キヤノンアネルバ株式会社 | プラズマ処理装置及び静電吸着機構 |
JP2000164583A (ja) * | 1998-06-24 | 2000-06-16 | Hitachi Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP4151749B2 (ja) * | 1998-07-16 | 2008-09-17 | 東京エレクトロンAt株式会社 | プラズマ処理装置およびその方法 |
JP4066214B2 (ja) * | 1998-07-24 | 2008-03-26 | 財団法人国際科学振興財団 | プラズマプロセス装置 |
JP4230029B2 (ja) | 1998-12-02 | 2009-02-25 | 東京エレクトロン株式会社 | プラズマ処理装置およびエッチング方法 |
JP2000299198A (ja) * | 1999-02-10 | 2000-10-24 | Tokyo Electron Ltd | プラズマ処理装置 |
JP2000306891A (ja) * | 1999-04-22 | 2000-11-02 | Hitachi Ltd | プラズマ処理装置 |
US7537672B1 (en) * | 1999-05-06 | 2009-05-26 | Tokyo Electron Limited | Apparatus for plasma processing |
JP2000328248A (ja) * | 1999-05-12 | 2000-11-28 | Nissin Electric Co Ltd | 薄膜形成装置のクリーニング方法及び薄膜形成装置 |
US6232236B1 (en) * | 1999-08-03 | 2001-05-15 | Applied Materials, Inc. | Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system |
JP3400770B2 (ja) * | 1999-11-16 | 2003-04-28 | 松下電器産業株式会社 | エッチング方法、半導体装置及びその製造方法 |
JP4454781B2 (ja) * | 2000-04-18 | 2010-04-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4592916B2 (ja) * | 2000-04-25 | 2010-12-08 | 東京エレクトロン株式会社 | 被処理体の載置装置 |
US6779481B2 (en) * | 2000-04-27 | 2004-08-24 | Tokyo Electron Limited | Electrical coupling between chamber parts in electronic device processing equipment |
JP3920015B2 (ja) * | 2000-09-14 | 2007-05-30 | 東京エレクトロン株式会社 | Si基板の加工方法 |
US6872281B1 (en) * | 2000-09-28 | 2005-03-29 | Lam Research Corporation | Chamber configuration for confining a plasma |
JP2002110650A (ja) * | 2000-10-03 | 2002-04-12 | Tokyo Electron Ltd | プラズマエッチング方法およびプラズマエッチング装置 |
JP4602532B2 (ja) * | 2000-11-10 | 2010-12-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4877884B2 (ja) * | 2001-01-25 | 2012-02-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4213871B2 (ja) * | 2001-02-01 | 2009-01-21 | 株式会社日立製作所 | 半導体装置の製造方法 |
JP2002270586A (ja) | 2001-03-08 | 2002-09-20 | Tokyo Electron Ltd | 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス |
US6984288B2 (en) * | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
JP2003077896A (ja) * | 2001-08-31 | 2003-03-14 | Tokyo Electron Ltd | エッチング方法 |
US6887340B2 (en) * | 2001-11-13 | 2005-05-03 | Lam Research Corporation | Etch rate uniformity |
JP4326746B2 (ja) * | 2002-01-07 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US6828241B2 (en) * | 2002-01-07 | 2004-12-07 | Applied Materials, Inc. | Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source |
US6744212B2 (en) * | 2002-02-14 | 2004-06-01 | Lam Research Corporation | Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions |
US20040025791A1 (en) * | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
JP4071069B2 (ja) | 2002-08-28 | 2008-04-02 | 東京エレクトロン株式会社 | 絶縁膜のエッチング方法 |
JP2004095663A (ja) * | 2002-08-29 | 2004-03-25 | Tokyo Electron Ltd | プラズマ処理装置およびプラズマ処理方法 |
CN1228820C (zh) * | 2002-09-04 | 2005-11-23 | 东京毅力科创株式会社 | 等离子体处理装置以及等离子体处理方法 |
JP4141234B2 (ja) * | 2002-11-13 | 2008-08-27 | キヤノンアネルバ株式会社 | プラズマ処理装置 |
JP4584565B2 (ja) * | 2002-11-26 | 2010-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US20050051273A1 (en) * | 2003-09-04 | 2005-03-10 | Kenji Maeda | Plasma processing apparatus |
KR101247857B1 (ko) * | 2004-06-21 | 2013-03-26 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
-
2005
- 2005-06-21 KR KR1020117031575A patent/KR101247857B1/ko active IP Right Grant
- 2005-06-21 TW TW094120653A patent/TWI447802B/zh active
- 2005-06-21 CN CN201110206223.XA patent/CN102256432B/zh active Active
- 2005-06-21 EP EP12159427.9A patent/EP2479783B1/en active Active
- 2005-06-21 KR KR1020067026949A patent/KR101180125B1/ko active IP Right Grant
- 2005-06-21 WO PCT/JP2005/011333 patent/WO2005124844A1/ja active Application Filing
- 2005-06-21 KR KR1020127021941A patent/KR101270285B1/ko active IP Right Grant
- 2005-06-21 KR KR1020117031581A patent/KR101247833B1/ko active IP Right Grant
- 2005-06-21 EP EP12159425.3A patent/EP2479782B1/en active Active
- 2005-06-21 EP EP20050753490 patent/EP1780777A4/en not_active Withdrawn
- 2005-06-21 TW TW094120664A patent/TW200612488A/zh unknown
- 2005-06-21 CN CN201110206176.9A patent/CN102270577B/zh active Active
- 2005-06-21 KR KR1020117031587A patent/KR101248709B1/ko active IP Right Grant
- 2005-06-21 KR KR1020117031578A patent/KR101248691B1/ko active IP Right Grant
- 2005-06-21 TW TW094120663A patent/TWI447803B/zh active
- 2005-06-21 EP EP16189429.0A patent/EP3128538B1/en active Active
- 2005-06-21 KR KR1020117031569A patent/KR101250717B1/ko active IP Right Grant
- 2005-06-21 CN CN201110206162.7A patent/CN102256431B/zh active Active
- 2005-06-21 EP EP12159428.7A patent/EP2479784B1/en active Active
- 2005-06-21 CN CN2011100927521A patent/CN102157372B/zh active Active
- 2005-06-21 TW TW102126893A patent/TWI574318B/zh active
- 2005-06-21 KR KR1020077001688A patent/KR100952521B1/ko active IP Right Grant
- 2005-06-21 CN CN201110206125.6A patent/CN102263026B/zh active Active
- 2005-06-21 CN CN201110206202.8A patent/CN102263001B/zh active Active
-
2010
- 2010-11-08 JP JP2010249962A patent/JP5349445B2/ja active Active
- 2010-11-08 JP JP2010249960A patent/JP5491358B2/ja active Active
- 2010-11-08 JP JP2010249961A patent/JP5491359B2/ja active Active
-
2013
- 2013-04-22 JP JP2013089519A patent/JP5714048B2/ja active Active
-
2014
- 2014-07-22 JP JP2014148506A patent/JP6029623B2/ja active Active
-
2015
- 2015-07-23 JP JP2015145789A patent/JP5976898B2/ja active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09326383A (ja) | 1996-06-05 | 1997-12-16 | Hitachi Ltd | プラズマ処理装置及びプラズマ処理方法 |
JP2000323460A (ja) | 1999-05-11 | 2000-11-24 | Tokyo Electron Ltd | プラズマエッチング装置 |
US20020038631A1 (en) | 2000-09-29 | 2002-04-04 | Masahiro Sumiya | Plasma processing apparatus and method using active matching |
US6716303B1 (en) | 2000-10-13 | 2004-04-06 | Lam Research Corporation | Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same |
US20040112536A1 (en) | 2001-05-29 | 2004-06-17 | Tokyo Electron Limited | Plasma processing apparatus and method |
Non-Patent Citations (1)
Title |
---|
See also references of EP1780777A4 * |
Cited By (58)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007208194A (ja) * | 2006-02-06 | 2007-08-16 | Tokyo Electron Ltd | ガス供給装置,基板処理装置,ガス供給方法 |
JP2007214295A (ja) * | 2006-02-08 | 2007-08-23 | Tokyo Electron Ltd | ガス供給装置,基板処理装置,ガス供給方法 |
JP2007234770A (ja) * | 2006-02-28 | 2007-09-13 | Tokyo Electron Ltd | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 |
JP2007250967A (ja) * | 2006-03-17 | 2007-09-27 | Tokyo Electron Ltd | プラズマ処理装置および方法とフォーカスリング |
JP2007288119A (ja) * | 2006-03-22 | 2007-11-01 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
US20110088850A1 (en) * | 2006-03-22 | 2011-04-21 | Tokyo Electron Limited | Plasma processing apparatus, plasma processing method, and storage medium |
JP2014039060A (ja) * | 2006-03-22 | 2014-02-27 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
JP2007258379A (ja) * | 2006-03-22 | 2007-10-04 | Tokyo Electron Ltd | プラズマ処理装置 |
US9362090B2 (en) | 2006-03-22 | 2016-06-07 | Tokyo Electron Limited | Plasma processing apparatus, plasma processing method, and storage medium |
JP2007258417A (ja) * | 2006-03-23 | 2007-10-04 | Tokyo Electron Ltd | プラズマ処理方法 |
JP2007258471A (ja) * | 2006-03-23 | 2007-10-04 | Tokyo Electron Ltd | プラズマ処理装置 |
US8141514B2 (en) | 2006-03-23 | 2012-03-27 | Tokyo Electron Limited | Plasma processing apparatus, plasma processing method, and storage medium |
JP2007258470A (ja) * | 2006-03-23 | 2007-10-04 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
US8104428B2 (en) | 2006-03-23 | 2012-01-31 | Tokyo Electron Limited | Plasma processing apparatus |
JP2007258500A (ja) * | 2006-03-24 | 2007-10-04 | Hitachi High-Technologies Corp | 基板支持装置 |
US9111724B2 (en) | 2006-07-10 | 2015-08-18 | Lam Research Corporation | Apparatus and method for controlling plasma potential |
JP2012165007A (ja) * | 2006-07-10 | 2012-08-30 | Lam Research Corporation | プラズマ電位制御装置およびその方法 |
JP2008021791A (ja) * | 2006-07-12 | 2008-01-31 | Tokyo Electron Ltd | プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
JP2008028022A (ja) * | 2006-07-19 | 2008-02-07 | Tokyo Electron Ltd | プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
US8609547B2 (en) | 2006-07-19 | 2013-12-17 | Tokyo Electron Limited | Plasma etching method and computer-readable storage medium |
US8129282B2 (en) | 2006-07-19 | 2012-03-06 | Tokyo Electron Limited | Plasma etching method and computer-readable storage medium |
JP2009545890A (ja) * | 2006-07-31 | 2009-12-24 | 東京エレクトロン株式会社 | Rf変調によって弾道電子ビームの均一性を制御する方法及びシステム |
US8404595B2 (en) | 2006-09-25 | 2013-03-26 | Tokyo Electron Limited | Plasma processing method |
JP2008078515A (ja) * | 2006-09-25 | 2008-04-03 | Tokyo Electron Ltd | プラズマ処理方法 |
US10861678B2 (en) | 2006-10-06 | 2020-12-08 | Tokyo Electron Limited | Plasma etching apparatus and method |
JP2009033080A (ja) * | 2006-10-06 | 2009-02-12 | Tokyo Electron Ltd | プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
US10229815B2 (en) | 2006-10-06 | 2019-03-12 | Tokyo Electron Limited | Plasma etching apparatus and method |
US8852385B2 (en) | 2006-10-06 | 2014-10-07 | Tokyo Electron Limited | Plasma etching apparatus and method |
WO2008044633A1 (fr) * | 2006-10-06 | 2008-04-17 | Tokyo Electron Limited | Dispositif et procédé de gravure au plasma |
KR100898165B1 (ko) * | 2006-11-24 | 2009-05-19 | 엘지전자 주식회사 | 플라즈마 발생장치 및 방법 |
JP2008147659A (ja) * | 2006-12-11 | 2008-06-26 | Tokyo Electron Ltd | 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム |
CN102056394A (zh) * | 2009-10-27 | 2011-05-11 | 东京毅力科创株式会社 | 等离子体处理装置 |
CN105704904A (zh) * | 2009-10-27 | 2016-06-22 | 东京毅力科创株式会社 | 等离子体处理装置 |
CN103985659A (zh) * | 2011-07-26 | 2014-08-13 | 中微半导体设备(上海)有限公司 | 一种mocvd半导体处理装置及制作方法 |
CN107516627A (zh) * | 2011-10-05 | 2017-12-26 | 应用材料公司 | 对称等离子体处理室 |
US10453656B2 (en) | 2011-10-05 | 2019-10-22 | Applied Materials, Inc. | Symmetric plasma process chamber |
US10535502B2 (en) | 2011-10-05 | 2020-01-14 | Applied Materials, Inc. | Symmetric plasma process chamber |
US10546728B2 (en) | 2011-10-05 | 2020-01-28 | Applied Materials, Inc. | Symmetric plasma process chamber |
US10580620B2 (en) | 2011-10-05 | 2020-03-03 | Applied Materials, Inc. | Symmetric plasma process chamber |
US10615006B2 (en) | 2011-10-05 | 2020-04-07 | Applied Materials, Inc. | Symmetric plasma process chamber |
CN107516627B (zh) * | 2011-10-05 | 2020-04-24 | 应用材料公司 | 对称等离子体处理室 |
US11315760B2 (en) | 2011-10-05 | 2022-04-26 | Applied Materials, Inc. | Symmetric plasma process chamber |
CN103219216A (zh) * | 2012-01-20 | 2013-07-24 | 东京毅力科创株式会社 | 等离子体处理装置 |
CN104051212A (zh) * | 2013-03-12 | 2014-09-17 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理装置 |
CN110098100A (zh) * | 2014-06-10 | 2019-08-06 | 朗姆研究公司 | 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性 |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11699572B2 (en) | 2019-01-22 | 2023-07-11 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
US12057292B2 (en) | 2019-01-22 | 2024-08-06 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
US11848176B2 (en) | 2020-07-31 | 2023-12-19 | Applied Materials, Inc. | Plasma processing using pulsed-voltage and radio-frequency power |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11887813B2 (en) | 2021-06-23 | 2024-01-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US12125673B2 (en) | 2023-11-13 | 2024-10-22 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5976898B2 (ja) | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 | |
JP4672456B2 (ja) | プラズマ処理装置 | |
JP4672455B2 (ja) | プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体 | |
JP4827081B2 (ja) | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 | |
US20100126668A1 (en) | Plasma processing apparatus and method | |
KR100971799B1 (ko) | 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 1020067026949 Country of ref document: KR Ref document number: 200580020518.0 Country of ref document: CN |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
WWW | Wipo information: withdrawn in national office |
Ref document number: DE |
|
REEP | Request for entry into the european phase |
Ref document number: 2005753490 Country of ref document: EP |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2005753490 Country of ref document: EP |
|
WWP | Wipo information: published in national office |
Ref document number: 1020067026949 Country of ref document: KR |
|
WWP | Wipo information: published in national office |
Ref document number: 2005753490 Country of ref document: EP |