WO2005124844A1 - プラズマ処理装置及び方法 - Google Patents

プラズマ処理装置及び方法 Download PDF

Info

Publication number
WO2005124844A1
WO2005124844A1 PCT/JP2005/011333 JP2005011333W WO2005124844A1 WO 2005124844 A1 WO2005124844 A1 WO 2005124844A1 JP 2005011333 W JP2005011333 W JP 2005011333W WO 2005124844 A1 WO2005124844 A1 WO 2005124844A1
Authority
WO
WIPO (PCT)
Prior art keywords
electrode
plasma
voltage
plasma processing
processing apparatus
Prior art date
Application number
PCT/JP2005/011333
Other languages
English (en)
French (fr)
Inventor
Akira Koshiishi
Masaru Sugimoto
Kunihiko Hinata
Noriyuki Kobayashi
Chishio Koshimizu
Ryuji Ohtani
Kazuo Kibi
Masashi Saito
Naoki Matsumoto
Yoshinobu Ooya
Manabu Iwata
Daisuke Yano
Yohei Yamazawa
Hidetoshi Hanaoka
Toshihiro Hayami
Hiroki Yamazaki
Manabu Sato
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to KR1020117031587A priority Critical patent/KR101248709B1/ko
Priority to KR1020077001691A priority patent/KR100971799B1/ko
Priority to KR1020117031575A priority patent/KR101247857B1/ko
Priority to KR1020117031581A priority patent/KR101247833B1/ko
Priority to KR1020117031578A priority patent/KR101248691B1/ko
Priority to KR1020117031569A priority patent/KR101250717B1/ko
Priority to KR1020127021941A priority patent/KR101270285B1/ko
Priority to CN2005800205180A priority patent/CN1973363B/zh
Priority to KR1020067026949A priority patent/KR101180125B1/ko
Priority to EP20050753490 priority patent/EP1780777A4/en
Priority to EP12159425.3A priority patent/EP2479782B1/en
Priority to EP16189429.0A priority patent/EP3128538B1/en
Priority to EP12159427.9A priority patent/EP2479783B1/en
Priority to EP12159428.7A priority patent/EP2479784B1/en
Publication of WO2005124844A1 publication Critical patent/WO2005124844A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Definitions

  • the present invention relates to a plasma processing apparatus, a plasma processing method, and a computer-readable storage medium that perform plasma processing on a substrate to be processed such as a semiconductor substrate.
  • a plasma etching process is performed in which a resist is used as a mask to form a predetermined pattern in a predetermined layer formed on a semiconductor wafer that is a substrate to be processed. Is frequently used.
  • a capacitively coupled parallel plate plasma etching apparatus has a pair of parallel plate electrodes (upper and lower electrodes) disposed in a chamber, introduces a processing gas into the chamber, and applies a high frequency to one of the electrodes. Then, a high-frequency electric field is formed between the electrodes, a plasma of a processing gas is formed by the high-frequency electric field, and plasma etching is performed on a predetermined layer of the semiconductor wafer.
  • a plasma etching apparatus that forms an appropriate plasma state by applying a high frequency for plasma formation to the upper electrode to form plasma and applying a high frequency for ion attraction to the lower electrode.
  • This makes it possible to perform etching processing with high selectivity and high reproducibility (for example, JP 2000-173993 A (Patent Document 1)).
  • the photoresist used as a mask is reduced, and the photoresist used is also a KrF photoresist (that is, a laser beam using KrF gas as a light source). That can be formed with a pattern opening of about 0.13 / zm or less (that is, photoresist exposed with a shorter wavelength laser light using ArF gas as a light source). (Resist).
  • the ArF photoresist has low plasma resistance, there is a problem that the KrF resist has a rough surface in the middle of etching, which is almost the same as the KrF resist.
  • Low-k films SiOC films are attracting attention.
  • Patent Document 2 Japanese Unexamined Patent Publication No. 2002-270586 (Patent Document 2)).
  • CHF / Ar / N is used as a processing gas when plasma etching a SiOC-based interlayer insulating film using a silicon nitride film as a base etch stop layer.
  • Etching has also been proposed to improve the selectivity with respect to both the mask and the silicon nitride film (for example, JP-A-2004-87875 (Patent Document 3)).
  • silicon nitride used as a copper wiring NORA layer has good barrier properties but has a high relative dielectric constant of 7.0.
  • a barrier layer with a lower relative dielectric constant is required, and one of them is silicon carbide (SiC) with a relative dielectric constant of 3.5. .
  • the present invention has been made in view of intensive circumstances, and can be etched at a high selectivity while maintaining high plasma resistance of an organic mask layer such as a resist layer, or deposited on an electrode. It is an object of the present invention to provide a plasma processing apparatus and a plasma processing method capable of effectively eliminating adhesion of an object, performing high-speed etching, or performing uniform etching on a substrate to be processed. To do.
  • a processing container in which a substrate to be processed is accommodated and evacuated; First and second electrodes disposed opposite to each other in the processing container, and a first high-frequency power supply unit that supplies first high-frequency power for plasma formation to the first electrode or the second electrode And a processing gas supply unit for supplying a processing gas into the processing container, and plasma of a processing gas is generated between the first electrode and the second electrode to plasma a predetermined layer of the substrate to be processed.
  • a plasma processing apparatus for processing further comprising a power source for applying a DC voltage or an AC voltage to the first electrode or the second electrode, and the surface self-adhering to such an extent that a predetermined sputtering effect is obtained on the surface of the applied electrode.
  • the absolute value of the bias voltage V is
  • the thickness of the plasma sheath at the application electrode is increased or the plasma is reduced on the counter electrode side of the application electrode, or electrons generated in the vicinity of the application electrode are applied to the target electrode.
  • To irradiate the processing substrate to control the plasma potential to a desired value, to increase the plasma density, or to obtain the desired etching uniformity.
  • a plasma processing apparatus characterized by controlling any one of an applied voltage, an applied current and an applied power from the power source so as to be uniform as much as possible.
  • the DC voltage or AC voltage is preferably pulsed or modulated.
  • it may be configured to further include a control device that controls any one of applied voltage, applied current, and applied power from the power source.
  • a detector for detecting the state of the generated plasma is further provided, and the control device controls whether the applied voltage, applied current, and applied power of the power supply power are shifted based on information of the detector. Do it.
  • a processing container in which a substrate to be processed is accommodated and capable of being evacuated, a first electrode and a second electrode disposed facing each other in the processing container, and the first electrode Or a first high-frequency power supply unit that supplies a first high-frequency power for plasma formation to the second electrode, and a processing gas supply unit that supplies a processing gas into the processing container.
  • a plasma processing apparatus for generating a plasma of a processing gas between an electrode and a second electrode to perform plasma processing on a predetermined layer of a substrate to be processed, wherein a DC voltage or an AC voltage is applied to the first electrode or the second electrode.
  • a power source for applying a voltage wherein one pole of the power source is connected to the first electrode or the second electrode, and the other pole is a predetermined member in the processing container.
  • the plasma processing apparatus is characterized in that any one of an applied voltage, an applied current and an applied power from the power source is controlled.
  • the predetermined member is a conductor embedded in an insulating member present in the processing container, a member constituting the wall of the processing container, or a cover on the second electrode. It is preferable that the correction ring is placed on the periphery of the processing substrate.
  • the DC power supply further includes another DC power supply, and one pole of the other DC power supply is connected to the negative electrode connected to the DC power supply of the first electrode and the second electrode, and the other electrode is connected to the other electrode.
  • the predetermined member or the predetermined member force may be connected to another predetermined member that is insulated.
  • the other predetermined member to which the other DC power source is connected is a conductor embedded in an insulating member present in the processing container, a member constituting a wall of the processing container, or the second member.
  • a correction ring placed on the periphery of the substrate to be processed on the electrode is preferable.
  • a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode and a second electrode disposed to face each other in the processing container, and the first electrode Or a first high-frequency power supply unit that supplies a first high-frequency power for plasma formation to the second electrode, and a processing gas supply unit that supplies a processing gas into the processing container.
  • a plasma processing apparatus for generating a plasma of a processing gas between an electrode and a second electrode to perform plasma processing on a predetermined layer of a substrate to be processed, wherein a DC voltage or an AC voltage is applied to a predetermined member in the processing container.
  • a plasma processing apparatus is further provided, which further includes a power supply for applying the voltage.
  • the DC voltage or AC voltage may be pulsed or modulated.
  • the predetermined member is preferably a conductor embedded in an insulating member existing in the processing container or a member constituting a wall of the processing container.
  • the power supply pole may be connected to the predetermined member, and the other pole may be connected to another predetermined member that is insulated from the predetermined member force in the processing container.
  • the predetermined member and the other predetermined member are conductors embedded in an insulating member present in the processing container or a member constituting a wall portion of the processing container.
  • the power supply further includes another power source, and the other power source is the processing unit. It is preferable to apply a DC voltage or an AC voltage by connecting to the other predetermined member insulated from the predetermined member inside the container. In this case, the DC voltage or AC voltage applied to the other predetermined member may be pulsed or modulated.
  • the predetermined member to which the power source is connected is disposed in the vicinity of the first electrode, and the other predetermined member to which the other DC power source is connected is the first electrode. It is preferable to arrange in the vicinity of the two electrodes. In this case, it is preferable that the predetermined member and the other predetermined member are conductors embedded in an insulating member existing in the processing container or a member constituting a wall portion of the processing container.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode on which an object to be processed is placed
  • a cooling ring that can be cooled installed at a position adjacent to the substrate to be processed, and a correction ring installed on the outer side or the upper side of the cooling ring, and the correction ring is charged with a DC voltage or an AC voltage. It may be configured to function as the predetermined member.
  • a member having good heat dissipation is disposed between the cooling ring and the second electrode, or heat transfer is performed between the cooling ring and the second electrode. It is preferably cooled by flowing a gas.
  • a temperature measurement mechanism that measures the temperature of the cooling ring
  • a cooling unit that cools the cooling ring
  • a cooling control unit that controls cooling of the inner ring by the cooling unit.
  • high-frequency power is supplied to the second electrode
  • power is supplied to the correction ring through the second electrode
  • a dielectric member is provided between the cooling ring and the second electrode. It may be configured as shown.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode on which the object to be processed is placed
  • a first correction ring installed at a position adjacent to the substrate to be processed and a second correction ring installed outside or above the first correction ring, the first correction ring and the second correction ring being a DC voltage
  • it may be configured to function as the predetermined member to which an AC voltage is applied.
  • the voltage applied to the first correction ring and the second correction ring is the same.
  • the first correction ring and the second correction ring may be configured such that different voltages are applied to the first correction ring and the second correction ring.
  • one pole and the other pole of a single power source may be connected to the first correction ring and the second correction ring, respectively.
  • the first correction ring may be cooled.
  • a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode that supports the substrate to be processed
  • a first high-frequency power application unit that applies a first high-frequency power for plasma formation to the second electrode, a DC power source that applies a DC voltage to the first electrode, and a processing gas in the processing vessel
  • a plasma processing apparatus comprising a processing gas supply unit to be supplied.
  • a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode that supports the substrate to be processed
  • a first high-frequency power application unit that applies high-frequency power for plasma formation to the first electrode, a second high-frequency power application unit that applies a second high-frequency power to the second electrode, and A third high-frequency power application unit that applies a third high-frequency power to the second electrode; a DC power source that applies a DC voltage to the first electrode; and a processing gas supply unit that supplies a processing gas into the processing vessel
  • a plasma processing apparatus is provided.
  • a processing container in which a substrate to be processed is accommodated and capable of being evacuated, a first electrode and a second electrode disposed opposite to each other in the processing container, and the first electrode Or a first high-frequency power supply unit that supplies a first high-frequency power for plasma formation to the second electrode, and a processing gas supply unit that supplies a processing gas into the processing container.
  • a plasma processing method using a plasma processing apparatus that generates a plasma of a processing gas between an electrode 1 and a second electrode to perform plasma processing on a predetermined layer of a substrate to be processed.
  • a plasma When a plasma is formed, When a DC voltage or an AC voltage is applied to the first electrode or the second electrode, the absolute value of the self-bias voltage V on the surface is large enough to obtain a predetermined sputtering effect on the surface of the applied electrode. Or applied All the poles The thickness of the plasma sheath is increased so that a reduced plasma is formed on the counter electrode side of the application electrode, or electrons generated in the vicinity of the application electrode are irradiated on the substrate to be processed. Or so that the plasma potential is controlled to a desired value, or the plasma density is increased, or the distribution of the plasma density is uniform enough to obtain the desired etching uniformity. And a plasma processing method characterized by controlling any one of the applied voltage, applied current and applied power.
  • a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode and a second electrode arranged to face each other in the processing container, and the first electrode Or a high-frequency power supply unit for supplying high-frequency power for plasma formation to the second electrode, and a processing gas supply unit for supplying a processing gas into the processing container, and the first electrode and the second electrode
  • a plasma etching method is provided, wherein a DC voltage or an AC voltage is applied to a member.
  • a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and a first high frequency for plasma formation is disposed on the second electrode.
  • This is a plasma processing method in which a processing gas is supplied into the processing container while electric power is applied, plasma of the processing gas is generated, and a substrate to be processed supported by the second electrode is subjected to plasma processing. And providing a plasma process to the substrate while applying a DC voltage to the first electrode and applying a DC voltage to the first electrode. To do.
  • a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and a first high frequency for plasma formation is disposed on the first electrode.
  • a processing gas is supplied into the processing container to generate plasma of the processing gas, and
  • a plasma processing method of performing plasma processing on a substrate to be processed supported by two electrodes, the step of applying a DC voltage to the first electrode, and applying the DC voltage to the first electrode A plasma treatment characterized by comprising plasma treatment on the substrate.
  • a computer storage medium storing a control program that operates on a computer, and the control program is executed by the plasma processing method of the sixth aspect at the time of execution. Therefore, a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
  • a computer storage medium storing a control program that operates on a computer.
  • the control program is executed by the plasma processing method according to the seventh aspect at the time of execution. Therefore, a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
  • a computer storage medium storing a control program that operates on a computer.
  • the control program is executed by the plasma processing method according to the eighth aspect at the time of execution. Therefore, a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
  • a computer storage medium storing a control program that operates on a computer, and the control program is executed by the plasma processing method according to the ninth aspect at the time of execution. Therefore, a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
  • a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode that supports the substrate to be processed
  • a first high-frequency power application unit that applies a first high-frequency power having a relatively high frequency to the first electrode, and a second high-frequency power that has a relatively low frequency applied to the second electrode.
  • a second high-frequency power applying unit a direct current power source for applying a DC voltage to the first electrode, a processing gas supply unit for supplying a processing gas into the processing vessel, and the first electrode from the direct current power source.
  • a control device for controlling any one of an applied voltage, an applied current, and an applied power to the plasma processing apparatus.
  • the DC power supply can be configured such that any one of applied voltage, applied current, and applied power is variable.
  • the control device can be configured to control whether or not a DC voltage can be applied to the first electrode.
  • the detector further includes a detector that detects the state of the generated plasma, and based on the information of the detector, the controller controls the applied voltage, applied current, and applied power from the DC power source to the first electrode. It can be configured to control the deviation.
  • the first electrode is an upper electrode and the second electrode is a lower electrode.
  • the frequency of the first high-frequency power applied to the first electrode is preferably 13.56 MHz or more, more preferably 40 MHz or more.
  • the frequency of the second high-frequency power applied to the second electrode is preferably 13.56 MHz or less.
  • the DC power supply applies a voltage in a range of -2000 to + 1000V.
  • the absolute value of the DC voltage applied from the DC power source is preferably 100 V or more, more preferably 500 V or more.
  • the DC voltage is preferably a negative voltage having a larger absolute value than a self-bias voltage generated on the surface of the first electrode by the first high-frequency power applied to the first electrode.
  • the surface of the first electrode facing the second electrode can be formed of a silicon-containing material.
  • the conductive material that is always grounded in order to release a current based on a DC voltage from the DC power source applied to the first electrode through the plasma, the conductive material that is always grounded.
  • a sex member can be provided in the processing vessel.
  • the first electrode may be an upper electrode
  • the second electrode may be a lower electrode
  • the conductive member may be installed around the second electrode. Further, it may be arranged in the vicinity of the first electrode. Further, the conductive member can be arranged in a ring shape outside the first electrode.
  • the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing.
  • the conductive member has a protective plate that covers a part of the conductive member, and the drive member moves the protective plate relative to the conductive member.
  • the part exposed to the plasma may change.
  • the conductive member has a cylindrical shape in which a part of the conductive member is exposed to plasma, and a portion of the conductive member exposed to plasma by a driving mechanism that rotates the conductive member around a cylinder axis. Change You may do it.
  • a step-shaped protective film that covers a part of the conductive member and has a material that can be etched by plasma, and is exposed to plasma of the conductive member by etching the protective film. May be changed.
  • a conductive member to be grounded can be provided in the processing container.
  • the first electrode may be an upper electrode
  • the second electrode may be a lower electrode
  • the conductive member may be installed around the second electrode. It can also be arranged in the vicinity of the first electrode.
  • the conductive member can be arranged in a ring shape outside the first electrode.
  • the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing. Further, the conductive member can be rubbed to be grounded during plasma etching.
  • a DC voltage or an AC voltage can be applied to the conductive member, and a surface of the conductive member is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. Can be. In this case, it is preferable that a DC voltage or an AC voltage is applied to the conductive member during cleaning.
  • a switching mechanism for switching the connection of the conductive member between the DC power source side and the ground line is further provided, and when the conductive member is connected to the DC power source side by the switching mechanism, the switching unit is connected to the DC power source.
  • a negative DC voltage can be applied to the conductive member.
  • a negative DC voltage in order to discharge the DC electron current that flows into the processing container when a negative DC voltage is applied to the conductive member, It is preferable to provide a conductive auxiliary member.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode
  • the conductive member is disposed in the vicinity of the first electrode
  • the conductive auxiliary member is It can be configured to be installed around the second electrode.
  • a conductive member that takes either a first state to be grounded or a second state in which a DC voltage is applied from the DC power source and the surface thereof is sputtered or etched is provided in the processing vessel, and the DC A first connection in which a negative electrode of the power source is connected to the application electrode and the conductive member is connected to a ground line, a positive electrode of the DC power source is connected to the first electrode, and a negative electrode of the DC power source is A structure further comprising a connection switching mechanism capable of switching between the second connection connected to the conductive member and capable of forming the first state and the second state by switching, respectively.
  • the first state is preferably formed during plasma etching
  • the second state is preferably formed during cleaning of the conductive member.
  • a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode that supports the processing substrate
  • a first high-frequency power application unit that applies a first high-frequency power having a relatively high frequency to the first electrode, and a second high-frequency power that has a relatively low frequency applied to the second electrode.
  • a second high-frequency power applying unit a direct current power source for applying a DC voltage to the first electrode, a processing gas supply unit for supplying a processing gas into the processing vessel, and the first electrode from the direct current power source.
  • a control device that controls any one of an applied voltage, an applied current, and an applied power to the first electrode, wherein the first electrode is divided into an inner electrode and an outer electrode, and the first high-frequency power is Distributed and applied to the inner and outer electrodes,
  • the plasma processing apparatus is characterized in that the DC power supply is connected to at least one of them.
  • the DC power supply is configured to be capable of independently changing a DC voltage applied to the inner electrode and the outer electrode.
  • the inner electrode and the outer electrode can be configured such that a DC voltage is applied from different DC power sources.
  • one pole of the power source can be connected to the inner electrode, and the other pole can be connected to the outer electrode.
  • the DC power supply is applied voltage, applied Either the current or the applied power can be configured to be variable.
  • control device can be configured to control whether or not a DC voltage is applied from the DC power source to the first electrode.
  • a detector for detecting the state of the generated plasma is further provided, and the control device applies an applied voltage, an applied current, and an applied power from the direct current power source to the first electrode based on information of the detector. It can be configured to control either of these.
  • the first electrode is an upper electrode and the second electrode is a lower electrode.
  • the frequency of the first high-frequency power applied to the first electrode is preferably 13.56 MHz or more, more preferably 40 MHz or more.
  • the frequency of the second high-frequency power applied to the second electrode is preferably 13.56 MHz or less.
  • the DC power supply may be one that applies a voltage in the range of 2000 to +1000 V, and the DC power applied from the DC power supply.
  • the absolute value of the voltage is preferably 100 V or more, preferably 500 V or more.
  • the DC voltage is preferably a negative voltage having a larger absolute value than a self-bias voltage generated on the surface of the first electrode by the first high-frequency power applied to the first electrode.
  • the surface of the first electrode facing the second electrode can be formed of a silicon-containing material.
  • the conductive member is always grounded in order to release a current based on a DC voltage from the DC power source applied to the first electrode via the plasma.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode
  • the conductive member can be installed around the second electrode. . It can also be arranged in the vicinity of the first electrode. Further, the conductive member can be arranged in a ring shape outside the first electrode.
  • the grounded conductive member may have a recess for preventing the attachment of flying objects during plasma processing.
  • the protective plate includes a protective plate that covers a part of the conductive member.
  • the portion exposed to the plasma of the conductive member may be changed by a drive mechanism that moves the relative position of the conductive member relative to the conductive member.
  • the conductive member has a cylindrical shape in which a part of the conductive member is exposed to plasma, and a portion of the conductive member exposed to plasma by a driving mechanism that rotates the conductive member around a cylinder axis. May be changed.
  • a step-shaped protective film that covers a part of the conductive member and has a material that can be etched by plasma, and is exposed to plasma of the conductive member by etching the protective film. May be changed.
  • a conductive member to be grounded can be provided in the processing container.
  • the first electrode may be an upper electrode
  • the second electrode may be a lower electrode
  • the conductive member may be installed around the second electrode. It can also be arranged in the vicinity of the first electrode.
  • the conductive member can be arranged in a ring shape outside the first electrode.
  • the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing. Further, the conductive member can be rubbed to be grounded during plasma etching.
  • a DC voltage or an AC voltage can be applied to the conductive member, and the surface is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. Can be. In this case, it is preferable that a DC voltage or an AC voltage is applied to the conductive member during cleaning.
  • a switching mechanism for switching the connection of the conductive member between the DC power source side and the ground line is further provided, and when the conductive member is connected to the DC power source side by the switching mechanism, the switching unit is connected to the DC power source.
  • a negative DC voltage can be applied to the conductive member.
  • the DC electrons that flowed into the processing container when a negative DC voltage is applied to the conductive member In order to discharge the current, it is preferable to provide a grounded conductive auxiliary member.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode
  • the conductive member is disposed in the vicinity of the first electrode
  • the conductive auxiliary member is It can be configured to be installed around the second electrode.
  • a conductive member that takes either a first state to be grounded or a second state in which a DC voltage is applied from the DC power source and the surface thereof is sputtered or etched is provided in the processing vessel, and the DC A first connection in which a negative electrode of the power source is connected to the application electrode and the conductive member is connected to a ground line, a positive electrode of the DC power source is connected to the first electrode, and a negative electrode of the DC power source is A structure further comprising a connection switching mechanism capable of switching between the second connection connected to the conductive member and capable of forming the first state and the second state by switching, respectively.
  • the first state is preferably formed during plasma etching
  • the second state is preferably formed during cleaning of the conductive member.
  • a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and the first electrode having a relatively high frequency is disposed on the first electrode.
  • a processing gas is supplied into the processing container to generate plasma of the processing gas.
  • the first electrode is an upper electrode and the second electrode is a lower electrode.
  • the DC voltage is preferably a negative voltage having an absolute value larger than a self-noise voltage generated on the surface of the first electrode by the first high-frequency power applied to the first electrode.
  • the frequency of the first high-frequency power applied to the upper electrode is 13.56 to 60 MHz, and the lower electrode
  • the frequency of the second high frequency power applied to the pole is preferably 300 kHz to 13.56 MHz or less.
  • the processing gas is a gas containing a fluorocarbon. In this case, the gas power containing the fluorocarbon should contain at least CF.
  • the gas containing the fluorocarbon can further contain an inert gas.
  • the insulating film may be an organic insulating film.
  • the organic insulating film may be a SiOC-based film.
  • the base film of the SiOC-based film is preferably formed of silicon carbide (SiC).
  • the absolute value of the DC voltage is preferably 1500 V or less.
  • the processing pressure is preferably 1.3 to 26.7 Pa (10 to 200 mTorr).
  • the first high frequency power applied to the upper electrode is preferably 3000 W or less.
  • the second high frequency power applied to the lower electrode is preferably 100 to 5000 W.
  • LZmin (sccm) is preferred.
  • the above plasma processing method may be applied to the overetching step.
  • the processing gas is CF or C
  • C F, CF, Ar, O, or C is used as the processing gas in order to increase the etching speed of the insulating film.
  • the first electrode and the second electrode that supports the substrate to be processed are disposed opposite to each other in the processing container, and the first electrode is divided into an inner electrode and an outer electrode.
  • a first high-frequency power having a relatively high frequency is applied to one electrode, and the relative frequency is applied to the second electrode.
  • the second high frequency power of low power! A plasma processing method for supplying a processing gas into the processing container, generating plasma of the processing gas, and performing a plasma processing on a substrate to be processed supported by the second electrode, A step of applying a DC voltage to at least one of the inner electrode and the outer electrode, and a step of applying a plasma treatment to the substrate to be processed while applying a DC voltage to the first electrode.
  • a plasma processing method is provided.
  • the processing gas is CF or C F
  • the processing gas is C F, CF, Ar, O
  • a computer storage medium storing a control program that operates on a computer, wherein the control program is executed when the plasma processing according to the sixteenth aspect is performed.
  • a computer storage medium is provided that controls the plasma processing apparatus such that the method is performed.
  • a computer storage medium storing a control program that operates on a computer, wherein the control program is executed when the plasma processing according to the seventeenth aspect is performed.
  • a computer storage medium is provided that controls the plasma processing apparatus such that the method is performed.
  • a processing container in which a substrate to be processed is accommodated and evacuated, a first electrode disposed opposite to the processing container, and a second electrode supporting the substrate to be processed
  • a first high frequency power application unit that applies a first high frequency power having a relatively high frequency to the second electrode, and a second high frequency power having a relatively low frequency to the second electrode.
  • a second high-frequency power applying unit to be applied; a direct current power source for applying a DC voltage to the first electrode; and a processing gas supply unit for supplying a processing gas into the processing container.
  • a plasma processing apparatus is provided.
  • the DC power supply can be configured such that any one of the applied voltage, applied current and applied power to the first electrode is variable. Further, it may be configured to further comprise a control device that controls whether the applied voltage, applied current, and applied power from the DC power source to the first electrode are shifted. In this case, the control device can be configured to control whether or not a DC voltage can be applied to the first electrode. In addition, a detector for detecting the state of the generated plasma is further provided, and based on the information of the detector, the control device controls the applied voltage, applied current, and applied power from the DC power source to the first electrode. Can be configured to control either!
  • the first electrode is an upper electrode and the second electrode is a lower electrode.
  • the frequency of the first high-frequency power applied to the second electrode is preferably 27 MHz or more, and preferably 40 MHz or more.
  • the frequency of the second high-frequency power applied to the second electrode is preferably 13.56 MHz or less.
  • the DC power supply applies a voltage in a range of -2000 to + 1000V.
  • a surface of the first electrode facing the second electrode can be formed of a silicon-containing material.
  • the first electrode is in a DC floating state with respect to a ground potential.
  • the first electrode has a variable device that can be changed to a floating state or a grounded state, and when the DC voltage is applied to the first electrode based on a command from the overall control device, the first electrode can be changed.
  • the variable device places the first electrode in a floating state with respect to the ground potential, and when no DC voltage is applied to the first electrode, the variable device has the first electrode in a floating state with respect to the ground potential. It is preferable to be out of state.
  • the first electrode is applied to the first electrode.
  • a conductive member which is always grounded can be provided in the processing container. In this case, the first
  • One electrode may be an upper electrode, the second electrode may be a lower electrode, and the conductive member may be installed around the second electrode. Further, it can be rubbed so as to be arranged in the vicinity of the first electrode. Further, the conductive member can be arranged in a ring shape outside the first electrode.
  • the grounded conductive member may have a recess for preventing the attachment of flying objects during plasma processing.
  • the conductive member has a protective plate that covers a part of the conductive member, and the drive member moves the protective plate relative to the conductive member.
  • the part exposed to the plasma may change.
  • the conductive member has a cylindrical shape in which a part of the conductive member is exposed to plasma, and a portion of the conductive member exposed to plasma by a driving mechanism that rotates the conductive member around a cylinder axis. May be changed.
  • a step-shaped protective film that covers a part of the conductive member and has a material that can be etched by plasma, and is exposed to plasma of the conductive member by etching the protective film. May be changed.
  • a conductive member to be grounded can be provided in the processing container.
  • the first electrode may be an upper electrode
  • the second electrode may be a lower electrode
  • the conductive member may be installed around the second electrode. It can also be arranged in the vicinity of the first electrode.
  • the conductive member can be arranged in a ring shape outside the first electrode.
  • the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing. Further, the conductive member can be rubbed to be grounded during plasma etching.
  • a DC voltage or an AC voltage can be applied to the conductive member, and a surface of the conductive member is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. Can be.
  • the guide The electric member is preferably applied with a DC voltage or an AC voltage during cleaning.
  • a switching mechanism for switching the connection of the conductive member between the DC power source side and the ground line is further provided, and when the conductive member is connected to the DC power source side by the switching mechanism, the switching unit is connected to the DC power source.
  • a negative DC voltage can be applied to the conductive member.
  • a negative DC voltage in order to discharge the DC electron current that flows into the processing container when a negative DC voltage is applied to the conductive member, It is preferable to provide a conductive auxiliary member.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode
  • the conductive member is disposed in the vicinity of the first electrode
  • the conductive auxiliary member is It can be configured to be installed around the second electrode.
  • a conductive member that takes either a first state to be grounded or a second state in which a DC voltage is applied from the DC power source and the surface thereof is sputtered or etched is provided in the processing vessel, and the DC A first connection in which a negative electrode of a power source is connected to the application electrode and the conductive member is connected to a ground line; a positive electrode of the DC power source is connected to the first electrode; and a negative electrode of the DC power source
  • the first state is preferably formed during plasma etching
  • the second state is preferably formed during cleaning of the conductive member.
  • a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and the second electrode having a relatively high frequency is disposed on the second electrode.
  • a processing gas is supplied into the processing container to generate plasma of the processing gas, and is supported by the second electrode.
  • a plasma processing method comprising a step of applying a current voltage and a step of applying a plasma treatment to the substrate to be processed while applying a DC voltage to the first electrode.
  • any one of the applied voltage, applied current and applied power to the first electrode can be made variable.
  • any one of an applied voltage, an applied current, and an applied power to the first electrode can be controlled.
  • the state of the generated plasma can be detected, and any one of the applied voltage, applied current, and applied power to the first electrode can be controlled based on the detected information.
  • the first electrode is in a DC floating state with respect to a ground potential.
  • the first electrode can be changed to a floating state or a grounded state, and the first electrode is turned on when a DC voltage is applied to the first electrode based on a command from the overall control device.
  • the first electrode is in a floating state with respect to a ground potential, and when no DC voltage is applied to the first electrode, the first electrode is in a floating state or a ground state with respect to the ground potential.
  • a conductive member that is always grounded is provided in the processing container, and a current based on a DC voltage applied to the first electrode is obtained. It is preferable to escape via plasma.
  • a conductive member to be grounded is provided in the processing container based on a command from the overall control device, and a current based on a DC voltage applied to the first electrode is released through plasma.
  • the conductive member may be grounded during plasma etching. Further, a DC voltage or an AC voltage can be applied to the conductive member, and a surface of the conductive member is sputtered or etched by applying the DC voltage or the AC voltage based on a command of the overall control device force. You may do it.
  • the conductive member may be applied with a DC voltage or an AC voltage during cleaning.
  • a switching mechanism for switching the connection of the conductive member between a DC power supply side to which a DC voltage is applied and a ground line, and when the conductive member is connected to the DC power supply side by the switching mechanism.
  • a DC voltage or a voltage from the DC power source to the conductive member The surface may be sputtered or etched by applying an AC voltage.
  • a negative DC voltage may be applied to the conductive member.
  • a grounded conductive auxiliary member is provided in the processing container in order to discharge a DC electron current that has flowed into the processing container when a negative DC voltage is applied to the conductive member. May be.
  • the processing gas is CF or
  • the processing gas is CF or CF, CF or CF, CF or CF or CF, CF.
  • any combination of 4 4 3 8 4 4 8 4 4 6 can be used. Further, when etching the insulating film of the substrate to be processed supported by the second electrode, in order to increase the etching rate of the insulating film, CF, CF, Ar, O, or CF, CF, Ar, O,
  • a computer storage medium storing a control program that operates on a computer.
  • the control program is executed by the plasma processing method according to the twenty-first aspect when executed.
  • a computer-readable storage medium characterized by controlling a plasma processing apparatus is provided.
  • the absolute value of the self-bias voltage of the first electrode is increased.
  • the effect of sputtering on the surface of the first electrode (2) the effect of expanding the plasma sheath at the first electrode and reducing the plasma formed, and (3) the processing of electrons generated in the vicinity of the first electrode Effect on substrate, (4) effect of controlling plasma potential, (5) effect of increasing electron (plasma) density, (7) At least one of the effects of increasing the plasma density of the core can be achieved.
  • the effective residence time on the substrate to be processed is reduced, and the plasma is concentrated on the substrate to be processed, so that the diffusion is suppressed and the exhaust space is reduced. Dissociation of the processing gas of the system is suppressed, and an organic mask such as a photoresist film is difficult to etch.
  • the mask composition on the substrate to be processed can be modified, and the roughness of the photoresist film can be eliminated.
  • the substrate to be processed is irradiated with high-speed electrons, the shading effect is suppressed, and the fine processability of the substrate to be processed is improved.
  • the plasma potential is appropriately controlled, and etching sub- stances on the inner members of the processing container such as electrodes, chamber walls (depot shields, etc.), insulating materials in the processing container, etc.
  • the adhesion of living things can be suppressed.
  • the etching rate (etching rate) for the substrate to be processed can be increased by the effect (5).
  • the plasma density in the central portion of the processing container is lower than that in the vicinity. (The generation of negative ions can be suppressed), and the plasma density can be controlled so that the plasma density is uniform.
  • the plasma resistance of the organic mask layer such as a resist layer can be maintained high and etching can be performed with a high selectivity.
  • deposits on the electrode can be effectively eliminated.
  • high-speed etching can be performed, or uniform etching can be performed on the substrate to be processed.
  • the effect of controlling the plasma potential can be achieved.
  • the plasma potential is appropriately controlled, the electrodes, It is possible to suppress etching by-products from adhering to chamber walls (depot shields, etc.) and insulating container materials.
  • the first high-frequency power application unit that applies the first high-frequency power having a relatively high frequency is connected to the first electrode, and the first substrate that supports the substrate to be processed is supported. Since the second high-frequency power application unit for applying the second high-frequency power having a relatively low frequency is connected to the second electrode, and a DC power source for applying a DC voltage is connected to the first electrode, the first (1) First voltage is applied to the first electrode when plasma processing is performed while forming a plasma of the processing gas with the second high-frequency power and while the second high-frequency power is attracting ions to the substrate to be processed.
  • Sputtering effect on the surface of the first electrode by increasing the absolute value of the self-bias voltage of the electrode (2) Effect of expanding the plasma sheath in the first electrode and reducing the plasma formed, (3) Electricity generated near the first electrode
  • the effect of irradiating the substrate onto the substrate to be processed (4) the effect of controlling the plasma potential, (5) the effect of increasing the electron (plasma) density, (7) the effect of increasing the plasma density at the center Both can play one.
  • the effective residence time on the substrate to be processed is reduced, and the plasma is concentrated on the substrate to be processed, so that the diffusion is suppressed and the exhaust space is reduced. Dissociation of the processing gas of the system is suppressed, and an organic mask such as a photoresist film is difficult to etch.
  • the mask composition on the substrate to be processed can be modified, and the roughness of the photoresist film can be eliminated.
  • the substrate to be processed is irradiated with high-speed electrons, the shading effect is suppressed, and the fine processability of the substrate to be processed is improved.
  • the plasma potential is appropriately controlled so that the electrode, the chamber wall (depot shield, etc.), the insulating material in the processing container, etc.
  • the adhesion of etching by-products can be suppressed.
  • the etching rate (etching rate) for the substrate to be processed can be increased by the effect (5).
  • the plasma resistance of the organic mask layer such as a resist layer can be maintained high and etching can be performed with a high selectivity.
  • deposits on the electrode can be effectively eliminated.
  • high-speed etching can be performed, or uniform etching can be performed on the substrate to be processed.
  • the first electrode is divided into an inner electrode and an outer electrode, and the first high-frequency power is distributed to the inner electrode and the outer electrode.
  • the DC power source is connected to at least one of them, so that the above effect can be avoided and the electric field strength of the inner electrode and the outer electrode can be changed, and the uniformity of the plasma density in the radial direction can be changed. Can be further enhanced.
  • the insulating film which is the layer to be etched, and A sufficient selectivity with respect to the base film can be obtained.
  • the insulating film is a SiOC film of an organic insulating film and the base film is formed of silicon carbide, or the insulating film is SiO of an inorganic insulating film and the base film is formed of silicon nitride. In case formed
  • Etching can be performed while suppressing etching of the underlying film as much as possible.
  • the SiOC film or the like can be maintained while maintaining a high selection ratio as described above.
  • the selectivity with respect to the resist particularly the etching selectivity of the SiOC-based film with respect to the ArF resist.
  • CD Cosmetic Dimension
  • a first high-frequency power application unit that applies a first high-frequency power having a relatively high frequency to the second electrode that supports the substrate to be processed.
  • a second high frequency power application unit that applies a second high frequency power having a relatively low frequency
  • a DC power source that applies a DC voltage is connected to the first electrode.
  • the first electrode is applied to the first electrode.
  • the absolute value of the self-bias voltage of the first electrode is increased to increase the sputtering effect on the surface of the first electrode, and (2) the plasma sheath at the first electrode is enlarged.
  • the plasma is reduced Effects, (3) the effect of irradiating the substrate with electrons generated near the first electrode, (4) the effect of controlling the plasma potential, (5) the effect of increasing the electron (plasma) density, (6) At least one of the effects of increasing the plasma density in the center can be achieved.
  • the effect of the above (3) can modify the mask composition on the substrate to be processed and eliminate the roughness of the photoresist film.
  • the substrate to be processed is irradiated with high-speed electrons, the shading effect is suppressed, and the fine processability of the substrate to be processed is improved.
  • the plasma potential is appropriately controlled, and the electrode
  • the adhesion of etching by-products to chamber walls (depot shields, etc.) and internal members of the processing container such as insulating materials in the processing container can be suppressed.
  • the etching rate (etching speed) for the substrate to be processed can be increased by the effect (5).
  • the plasma resistance of the organic mask layer such as a resist layer can be kept high and etching can be performed with a high selectivity.
  • deposits on the electrode can be effectively eliminated.
  • high-speed etching can be performed, or uniform etching can be performed on the substrate to be processed.
  • FIG. 1 is a schematic sectional view showing a plasma etching apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a diagram showing the structure of a matching unit connected to the first high-frequency power source in the plasma etching apparatus of FIG.
  • FIG. 3 is a diagram showing changes in V and plasma sheath thickness when a DC voltage is applied to the upper electrode in the plasma etching apparatus of FIG.
  • FIG. 4A is a diagram comparing the plasma state in the plasma etching apparatus of FIG. 1 with and without direct current applied to the upper electrode.
  • FIG. 4B is a diagram showing a comparison of plasma states in the plasma etching apparatus of FIG. 1 with and without direct current applied to the upper electrode.
  • FIG. 5 shows the etching rate of the photoresist film when the SiO film is etched by changing the DC voltage applied to the upper electrode by the plasma etching apparatus of FIG.
  • 2 is a graph showing the etch rate of 2 2 and the selectivity of the SiO film to the photoresist film.
  • FIG. 6 is a diagram showing an example of a multilayer film to which a continuous etching process is applied.
  • Fig. 7 shows the application of DC voltage to the upper electrode in the plasma etching system of Fig. 1. The figure which shows the change of the plasma potential waveform at the time of doing.
  • FIG. 8 is a diagram showing the relationship between the DC voltage applied to the upper electrode and the maximum plasma potential in the plasma etching apparatus of FIG.
  • FIG. 9 is a diagram showing changes in the electron density and its distribution when the applied DC voltage is changed in the plasma etching apparatus of FIG.
  • FIG. 10A is a diagram schematically showing the etching state of the center and the edge at each DC voltage in the etching of FIG.
  • FIG. 10B is a diagram schematically showing the etching state of the center and the edge at each DC voltage in the etching of FIG.
  • FIG. 10C is a diagram schematically showing the etching state of the center and the edge at each DC voltage in the etching of FIG.
  • FIG. 11 is a diagram showing the relationship between the self-bias voltage on the surface of the upper electrode and the applied DC voltage.
  • FIG. 12 is a cross-sectional view showing a state where a detector for detecting plasma is provided in the plasma etching apparatus of FIG.
  • FIG. 13 is a diagram showing waveforms for suppressing abnormal discharge when a DC voltage is applied to the upper electrode in the plasma etching apparatus of FIG. 1.
  • FIG. 14 is a schematic diagram showing another arrangement example of the GND block.
  • FIG. 15 is a schematic diagram showing still another arrangement example of the GND block.
  • FIG. 16A is a diagram for explaining an example of preventing adhesion of a GND block.
  • FIG. 16B is a diagram for explaining an example of preventing adhesion of a GND block.
  • FIG. 17 is a schematic diagram showing an example of a device configuration capable of removing deposits on the GND block.
  • FIG. 18A is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG.
  • FIG. 18B is a schematic diagram for explaining the state during plasma etching and the state during tallying in the apparatus of FIG.
  • FIG. 19 is a schematic diagram showing another state during plasma etching in the apparatus of FIG. Schematic.
  • FIG. 20 is a schematic view showing another example of a device configuration capable of removing deposits on the GND block.
  • FIG. 21A is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG.
  • FIG. 21B is a schematic diagram for explaining the state during plasma etching and the state during tallying in the apparatus of FIG.
  • FIG. 22 is a schematic diagram showing an example of a GND block having a function for preventing DC from being grounded.
  • FIG. 23 is a schematic diagram showing another example of a GND block having a function to prevent DC from being grounded.
  • FIG. 24A is a schematic diagram showing still another example of a GND block having a function of preventing the DC from being grounded.
  • FIG. 24B is a schematic diagram showing still another example of a GND block having a function of preventing the DC from being grounded.
  • FIG. 25 is a schematic diagram showing still another example of a GND block having a function of preventing the DC from being grounded.
  • FIG. 26 is a schematic diagram showing still another example of a GND block having a function for preventing DC from being grounded.
  • FIG. 27 is a schematic diagram showing still another example of a GND block provided with a function for preventing DC from being grounded.
  • FIG. 28 is a graph showing electron temperature distribution in RF plasma and DC plasma.
  • FIG. 29 is a diagram showing an electron temperature distribution when plasma is formed with only high-frequency power and when a DC voltage is also applied.
  • FIG. 30A is a diagram for explaining the ion followability when the frequency of the bias high-frequency power is 2 MHz and 13.56 MHz.
  • FIG. 30B is a diagram for explaining ion follow-up characteristics when the frequency of the bias high-frequency power is 2 MHz and 13.56 MHz.
  • FIG. 31 is a diagram showing ion energy distribution when the frequency of the bias high-frequency power is 2 MHz and 13.56 MHz.
  • FIG. 32A is a schematic diagram showing an example of a cross-sectional structure of a wafer that can be an etching target when etching is performed by the plasma etching apparatus of FIG. 1.
  • FIG. 32B is a schematic diagram showing an example of a cross-sectional structure of a wafer that can be an etching target when etching is performed by the plasma etching apparatus of FIG. 1.
  • FIG. 33A is a schematic diagram showing another example of a cross-sectional structure of a wafer that can be an etching target when etching is performed by the plasma etching apparatus of FIG. 1.
  • FIG. 33B is a schematic diagram showing another example of a cross-sectional structure of a wafer that can be an etching target when etching is performed by the plasma etching apparatus of FIG. 1.
  • FIG. 34 is a schematic sectional view showing a plasma etching apparatus according to a second embodiment of the present invention.
  • FIG. 35 is a schematic cross-sectional view showing a configuration of a main part of the plasma etching apparatus of FIG. 34.
  • FIG. 36 is a circuit diagram showing an equivalent circuit of the main part of the plasma generating means in the plasma etching apparatus of FIG. 34.
  • FIG. 37 is a view showing the relationship between the capacitance value of the variable capacitor and the electric field strength ratio in the plasma etching apparatus of FIG.
  • FIG. 38 is a view showing a modification example of applying DC voltage to the upper electrode of the plasma etching apparatus of FIG.
  • FIG. 39 is a view showing another modification of applying a DC voltage to the upper electrode of the plasma etching apparatus of FIG. 34.
  • FIG. 40 is a schematic sectional view showing a plasma etching apparatus according to a third embodiment of the present invention.
  • FIG. 41 is a schematic cross-sectional view showing a plasma etching apparatus according to a third embodiment of the present invention.
  • FIG. 42A-B are diagrams showing changes in V and plasma sheath thickness when a DC voltage is applied to the upper electrode in the plasma etching apparatus of FIG. 41.
  • FIGS. 42A-B show a direct current applied to the upper electrode in the plasma etching apparatus of FIG. 41. The figure which shows the change of V and plasma sheath thickness when a voltage is applied.
  • FIG. 43A is a diagram showing a change in electron density when the applied DC voltage is changed using the HARC etching conditions in the plasma etching apparatus of FIG. 41.
  • FIG. 43B is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of HARC etching in the plasma etching apparatus of FIG. 41.
  • FIG. 43C is a diagram showing a change in electron density when the applied DC voltage is changed using the HARC etching conditions in the plasma etching apparatus of FIG.
  • FIG. 43D is a diagram showing a change in electron density when the applied DC voltage is changed using the HARC etching conditions in the plasma etching apparatus of FIG. 41.
  • FIG. 44A is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of Via etching in the plasma etching apparatus of FIG. 41.
  • FIG. 44B is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of Via etching in the plasma etching apparatus of FIG. 41.
  • FIG. 44C is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of Via etching in the plasma etching apparatus of FIG. 41.
  • FIG. 44D is a diagram showing a change in electron density when the applied DC voltage is changed using the conditions of Via etching in the plasma etching apparatus of FIG. 41.
  • FIG. 45 is a diagram showing an electron density distribution in the wafer radial direction when the first high frequency power is 3000 W and the second high frequency power is 4000 W by the HARC etching.
  • FIG. 46 is a diagram showing the results of measuring the electron density distribution in the wafer radial direction when a DC voltage is applied and when no DC voltage is applied, using trench etching conditions.
  • FIG. 47 is a diagram showing an electrical state of the upper electrode in the plasma etching apparatus of FIG. 41.
  • FIG. 48 is a diagram showing an electrical state of the upper electrode in the plasma etching apparatus of FIG. 41.
  • FIG. 49 is a view showing the electrical state of the upper electrode in the plasma etching apparatus of FIG. 41.
  • FIG. 50 shows the detection for detecting plasma in the plasma etching apparatus of FIG. 41. Sectional drawing which shows the state which provided the container.
  • FIG. 51 is a schematic diagram showing another arrangement example of the GND block.
  • FIG. 52 is a schematic diagram showing still another arrangement example of the GND block.
  • FIG. 53 is a schematic diagram showing an example of a device configuration capable of removing deposits on the GND block.
  • FIG. 54A is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG. 53.
  • FIG. 54A is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG. 53.
  • FIG. 54B is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG. 53.
  • FIG. 55 is a schematic view showing another state at the time of plasma etching in the apparatus of FIG. 53.
  • FIG. 56 is a schematic view showing another example of a device configuration capable of removing deposits on the GND block.
  • FIG. 57A is a schematic diagram for explaining the state during plasma etching and the state during tallying in the apparatus of FIG. 56.
  • FIG. 57B is a schematic diagram for explaining a state during plasma etching and a state during tallying in the apparatus of FIG. 56.
  • FIG. 58 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 4 of the present invention.
  • FIG. 59 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 5 of the present invention.
  • FIG. 60 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 6 of the present invention.
  • FIG. 61 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 7 of the present invention.
  • FIG. 62 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 8 of the present invention.
  • FIG. 63 is a simplified schematic view of the essential parts of the plasma etching apparatus according to the ninth embodiment of the present invention.
  • FIG. 63 is a simplified schematic view of the essential parts of the plasma etching apparatus according to the ninth embodiment of the present invention.
  • FIG. 64 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 10 of the present invention.
  • FIG. 65 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 11 of the present invention.
  • FIG. 66 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 12 of the present invention.
  • FIG. 67 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 13 of the present invention.
  • FIG. 68 is a schematic cross-sectional view showing a simplified main part of a conventional plasma etching apparatus to be compared with the plasma etching apparatus according to Embodiment 13 of the present invention.
  • FIG. 69 is a schematic cross-sectional view showing, in a simplified manner, main portions of a modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
  • FIG. 70 is a schematic cross-sectional view showing, in a simplified manner, main portions of another modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
  • FIG. 71 is a schematic cross-sectional view showing, in a simplified manner, main portions of another modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
  • FIG. 72 is a schematic cross-sectional view showing the main part of still another modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
  • FIG. 73 is a schematic cross-sectional view showing the main part of still another modification of the plasma etching apparatus according to Embodiment 13 of the present invention.
  • FIG. 74 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 14 of the present invention.
  • FIG. 75 is a schematic cross-sectional view showing, in a simplified manner, main portions of a modification of the plasma etching apparatus according to Embodiment 14 of the present invention.
  • FIG. 76 is a schematic cross-sectional view showing, in a simplified manner, main parts of a plasma etching apparatus according to Embodiment 15 of the present invention.
  • FIG. 77 is a schematic diagram of a modification of the plasma etching apparatus according to Embodiment 15 of the present invention.
  • the schematic sectional drawing which simplifies and shows a part.
  • FIG. 78 is a schematic cross-sectional view showing, in a simplified manner, main portions of another modification of the plasma etching apparatus according to Embodiment 15 of the present invention.
  • FIG. 79 is a cross-sectional view showing an example of a plasma etching apparatus according to Embodiment 16 of the present invention.
  • FIG. 80 is a cross-sectional view showing an example of a plasma etching apparatus according to Embodiment 17 of the present invention.
  • FIG. 81 is a cross-sectional view showing an example of another type of plasma etching apparatus to which the present invention can be applied.
  • FIG. 82 is a schematic view showing an example of still another type of plasma etching apparatus to which the present invention can be applied.
  • FIG. 1 is a schematic sectional view showing a plasma etching apparatus according to the first embodiment of the present invention.
  • This plasma etching apparatus is configured as a capacitively coupled parallel plate plasma etching apparatus, and has, for example, a substantially cylindrical chamber (processing vessel) 10 made of aluminum having an anodized surface. ing. This chamber 10 is a safety ground.
  • a cylindrical susceptor support 14 is disposed at the bottom of the chamber 10 via an insulating plate 12 that also has ceramic isotropic force.
  • a susceptor 16 that also has aluminum force, for example, is provided on the susceptor support 14. Yes.
  • the susceptor 16 constitutes a lower electrode, on which a semiconductor wafer W as a substrate to be processed is placed.
  • an electrostatic chuck 18 for attracting and holding the semiconductor wafer W with an electrostatic force is provided.
  • This electrostatic chuck 18 has a structure in which an electrode 20 made of a conductive film is sandwiched between a pair of insulating layers or insulating sheets, and a DC power source 22 is electrically connected to the electrode 20. Then, the semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power source 22.
  • a cylindrical inner wall member 26 having a quartz force is provided on the side surfaces of the susceptor 16 and the susceptor support 14.
  • a refrigerant chamber 28 is provided inside the susceptor support base 14, for example.
  • a coolant of a predetermined temperature for example, cooling water
  • a chiller unit not shown
  • the temperature can be controlled.
  • a heat transfer gas from a heat transfer gas supply mechanism (not shown), for example, He gas is supplied between the upper surface of the electrostatic chuck 18 and the back surface of the semiconductor wafer W via the gas supply line 32.
  • An upper electrode 34 is provided in parallel above the susceptor 16 serving as the lower electrode so as to face the susceptor 16.
  • the space between the upper and lower electrodes 34 and 16 becomes a plasma generation space.
  • the upper electrode 34 forms a surface facing the plasma generation space, that is, a surface facing the semiconductor wafer W and W on the susceptor 16 as the lower electrode.
  • the upper electrode 34 is supported on the upper portion of the chamber 10 via an insulating shielding member 42.
  • the electrode plate 36 forms a surface facing the susceptor 16 and has a large number of discharge holes 37.
  • the electrode plate 36 is detachably supported, and is composed of a conductive material, for example, an electrode support 38 having a water-cooled structure made of an anodized aluminum cover.
  • the electrode plate 36 is preferably a low-resistance conductor or semiconductor with low Joule heat, and a silicon-containing material is preferable from the viewpoint of strengthening the resist as described later. From such a viewpoint, the electrode plate 36 is preferably made of silicon or SiC.
  • a gas diffusion chamber 40 is provided inside the electrode support 38, and a number of gas flow holes 41 communicating with the gas discharge holes 37 extend downward from the gas diffusion chamber 40.
  • the electrode support 38 is provided with a gas introduction port 62 for introducing a processing gas to the gas diffusion chamber 40.
  • a gas supply pipe 64 is connected to the gas introduction port 62.
  • Process gas supply 66 is connected.
  • the gas supply pipe 64 is also provided with a mass flow controller (MFC) 68 and an opening / closing valve 70 in order of upstream force (FCN may be used instead of MFC).
  • MFC mass flow controller
  • FCN upstream force
  • Fluorocarbon gas (CxFy) such as 48 gas reaches the gas diffusion chamber 40 as well as the gas supply pipe 64, and is discharged into the plasma generation space in the form of a shower through the gas flow hole 41 and the gas discharge hole 37. That is, the upper electrode 34 functions as a shower head for supplying the processing gas.
  • a first high-frequency power supply 48 is electrically connected to the upper electrode 34 via a matching unit 46 and a power feed rod 44.
  • the first high frequency power supply 48 outputs a high frequency power of 13.56 MHz or higher, for example, 60 MHz.
  • the matching unit 46 matches the load impedance to the internal (or output) impedance of the first high-frequency power source 48, and the output impedance of the first high-frequency power source 48 when plasma is generated in the chamber 10. Functions so that the load impedances seem to match.
  • the output terminal of the matching unit 46 is connected to the upper end of the feeder rod 44.
  • variable DC power supply 50 is electrically connected to the upper electrode 34.
  • the variable DC power supply 50 may be a bipolar power supply.
  • the variable DC power supply 50 is connected to the upper electrode 34 via the matching unit 46 and the power supply rod 44, and the power supply can be turned on and off by the on / off switch 52.
  • the polarity and current / voltage of the variable DC power supply 50 and the on / off of the on / off switch 52 are controlled by a controller (control device) 51.
  • the matching unit 46 includes a first variable capacitor 54 that is branched from the power supply line 49 of the first high-frequency power supply 48, and a downstream side of the branch point of the power supply line 49.
  • the second variable capacitor 56 provided in the first and second capacitors 56 is provided, and these functions are exhibited.
  • the matching unit 46 is supplied with a high frequency (for example, 60 MHz) from the first high frequency power supply 48 so that a DC voltage current (hereinafter simply referred to as a DC voltage) can be effectively supplied to the upper electrode 34 and a first voltage described later.
  • a filter 58 is provided for trapping a high frequency (for example, 2 MHz) from the second high frequency power source.
  • the filter 58 includes a coil 59 and a capacitor 60, and traps high frequency from the first high frequency power supply 48 and high frequency from a second high frequency power supply described later.
  • Side wall force of the chamber 10 A cylindrical ground conductor 10a is provided so as to extend above the height position of the upper electrode 34, and the top wall portion of the cylindrical ground conductor 10a is a cylindrical insulating member. It is electrically insulated from the upper feed rod 44 by 44a.
  • a second high frequency power supply 90 is electrically connected to the susceptor 16 serving as the lower electrode via a matching unit 88.
  • the second high frequency power supply 90 outputs a frequency within a range of 300 kHz to 13.56 MHz, for example, a high frequency power of 2 MHz.
  • the matching unit 88 is used to match the load impedance to the internal (or output) impedance of the second high-frequency power supply 90, and the internal impedance of the second high-frequency power supply 90 when plasma is generated in the chamber 10. And the load impedance function so that they match.
  • the upper electrode 34 does not pass the high frequency (60MHz) from the first high frequency power supply 48, but passes the high frequency (2MHz) from the second high frequency power supply 90 to the ground.
  • Low pass filter (LP F) 92 are electrically connected.
  • the low-pass filter (LPF) 92 is preferably composed of an LR filter or an LC filter.
  • a susceptor 16 as a lower electrode is electrically connected to a high pass filter (HPF) 94 for passing a high frequency (60 MHz) from the first high frequency power supply 48 to the ground.
  • An exhaust port 80 is provided at the bottom of the chamber 10, and an exhaust device 84 is connected to the exhaust port 80 via an exhaust pipe 82.
  • the exhaust device 84 has a vacuum pump such as a turbo molecular pump, and can reduce the pressure in the chamber 10 to a desired degree of vacuum.
  • a loading / unloading port 85 for the semiconductor wafer W is provided on the side wall of the chamber 10, and this loading / unloading port 85 can be opened and closed by a gate valve 86.
  • a depositing shield 11 is detachably provided for preventing the etching byproduct (depot) from adhering to the chamber 10. That is, the deposit shield 11 constitutes the chamber wall.
  • the deposition shield 11 is also provided on the outer periphery of the inner wall member 26.
  • An exhaust plate 83 is provided between the deposition shield 11 on the chamber wall side at the bottom of the chamber 10 and the deposition shield 11 on the inner wall member 26 side.
  • Depot shield 11 and exhaust plate 83 are preferably made of aluminum coated with ceramics such as YO. be able to.
  • a conductive member (GND block) 91 connected to the ground in a DC manner is provided at a portion substantially the same height as the wafer W of the portion constituting the inner wall of the chamber of the deposition shield 11, and this is described later. It exhibits the effect of preventing abnormal discharge.
  • control unit 95 Each component of the plasma etching apparatus is connected to and controlled by a control unit (overall control device) 95.
  • control unit 95 includes a keyboard for the process manager to input commands to manage the plasma etching device, a display that visualizes and displays the operating status of the plasma processing device, etc.
  • Interface 96 is connected.
  • control unit 95 includes a control program for realizing various processes executed by the plasma etching apparatus under the control of the control unit 95, and each configuration of the plasma etching apparatus according to the processing conditions.
  • the recipe may be stored in a hard disk or semiconductor memory, or set in a predetermined position in the storage unit 97 while being stored in a storage medium readable by a portable computer such as a CDROM or DVD. It's okay.
  • the plasma processing apparatus (plasma etching apparatus) described in the embodiment of the present invention includes this control unit 95.
  • the gate valve 86 is opened, and the semiconductor wafer, W, to be etched is transferred into the chamber 10 via the loading / unloading port 85. And place it on the susceptor 16. Then, a processing gas for etching is supplied from the processing gas supply source 66 to the gas diffusion chamber 40 at a predetermined flow rate, and exhausted while being supplied into the chamber 10 through the gas flow holes 41 and the gas discharge holes 37.
  • the chamber 84 is evacuated by the apparatus 84, and the pressure in the chamber 10 is set to a set value within a range of 0.1 to 150 Pa, for example.
  • various conventional gases are used.
  • a fluorocarbon gas (CxFy) such as CF gas
  • a gas containing a rogen element can be preferably used.
  • the first high-frequency power supply 48 also applies high-frequency power for plasma generation to the upper electrode 34 with a predetermined power, and the second high-frequency power supply 90 Further, a high frequency for ion attraction is applied to the susceptor 16 as the lower electrode with a predetermined power. Then, a predetermined DC voltage is applied from the variable DC power supply 50 to the upper electrode 34. Further, a DC voltage is applied from the DC power source 22 for the electrostatic chuck 18 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W to the susceptor 16.
  • the processing gas discharged from the gas discharge holes 37 formed in the electrode plate 36 of the upper electrode 34 is converted into plasma in a glow discharge between the upper electrode 34 and the lower electrode susceptor 16 generated by high frequency power.
  • the surface to be processed of the semiconductor wafer W is etched by radicals and ions generated by the plasma.
  • the first high frequency power for plasma formation is supplied to the upper electrode 34 to adjust the plasma density
  • the second high frequency power for ion attraction is supplied to the susceptor 16, which is the lower electrode, to adjust the voltage. Therefore, the plasma control margin can be widened.
  • high-frequency power in a high frequency region (for example, 10 MHz or more) is supplied to the upper electrode 34, so that plasma is preferred. It is possible to increase the density with this, and it is possible to form high-density plasma even under lower pressure conditions.
  • the voltage applied from the variable DC power supply 50 is adjusted to 5 dc so that the absolute value of V
  • the force that the polymer adheres to the upper electrode 34 when the high-frequency power applied from the first high-frequency power supply 48 is low.
  • an appropriate DC voltage from the variable DC power supply 50 By applying an appropriate DC voltage from the variable DC power supply 50, the polymer attached to the upper electrode 34 Sputter on The surface of the partial electrode 34 can be cleaned.
  • it is possible to eliminate the surface roughness of the photoresist film by supplying an optimal amount of polymer onto the semiconductor wafer and W.
  • adjusting the voltage from the variable DC power supply 50 and sputtering the upper electrode 34 itself to supply the electrode material itself to the surface of the semiconductor wafer W a force-bond is formed on the surface of the photoresist film.
  • the photoresist film is strengthened and the sputtered electrode material is exhausted by reacting with F in the flow-through carbon-based processing gas, thereby reducing the F ratio in the plasma and making the photoresist film difficult to etch.
  • the electrode plate 36 is a silicon-containing material such as silicon or SiC
  • the silicon sputtered on the surface of the electrode plate 36 reacts with the polymer to form SiC on the surface of the photoresist film, and the photoresist film is extremely strong.
  • the material of the electrode plate 36 is preferably a silicon-containing substance. In this case, instead of controlling the applied voltage from the variable DC power source 50, the applied current or the applied power may be controlled.
  • the thickness of the plasma sheath formed on the upper electrode 34 side is increased.
  • the plasma sheath becomes thicker the plasma is reduced by that amount.
  • V on the upper electrode side is, for example, dc
  • the plasma has a thin sheath thickness d. Shi
  • a thicker plasma sheath d is formed, and the plasma is reduced accordingly.
  • the effective residence time on the semiconductor wafer W is reduced and the plasma is concentrated on the wafer W to suppress the diffusion.
  • the dissociation space is reduced.
  • the release of the fluorocarbon-based processing gas is suppressed, and the photoresist film becomes difficult to be etched. Therefore, variable DC power supply
  • the applied voltage from 50 is preferably controlled by the controller 51 so that the thickness of the plasma sheath in the upper electrode 34 is increased to such a degree that a desired reduced plasma is formed. In this case as well, instead of controlling the applied voltage from the variable DC power supply 50, Control the applied current or applied power.
  • the photoresist film on the semiconductor wafer W is a photoresist film for ArF excimer laser (wavelength: 193 nm) (hereinafter referred to as ArF resist film)
  • ArF resist film the polymer structure of the ArF resist film is as follows. Through the reactions shown in chemical formulas (1) and (2), electrons are irradiated to form the structure on the right side of chemical formula (3).
  • the composition of the ArF resist film is modified (resist cross-linking reaction) as shown in part d of chemical formula (3).
  • This d-part has a function of greatly increasing the etching resistance (plasma resistance), so that the etching resistance of the ArF resist film is dramatically increased. For this reason, the surface roughness of the ArF resist film can be suppressed, and the etching selectivity of the etching target layer with respect to the ArF resist film can be increased.
  • the applied voltage value / current value from the variable DC power supply 50 is preferably controlled by the controller 51 so that the etching resistance of the photoresist film (particularly, ArF resist film) is enhanced by electron irradiation.
  • the electron current I which is a DC voltage
  • the amount of ion current I that is incident on the wafer from the plasma cathode is used.
  • I Z p v e (where Z is the number of loads, p:
  • the SiO film that is the etching target film for the photoresist film is the SiO film that is the etching target film for the photoresist film
  • silicon is used as the electrode plate 36 of the upper electrode 34, and high-frequency power of 100 to 3000 W is supplied from the first high-frequency power supply 48 to the upper electrode 34 at a frequency of 60 MHz, and from the second high-frequency power supply 90 to the lower electrode.
  • the selectivity increases significantly. That is, if a negative DC voltage with an absolute value higher than -600 V is applied to the upper electrode 34, the selection of the SiO film relative to the photoresist film
  • the plasma potential can be controlled by adjusting the DC voltage applied to the upper electrode 34. This has a function of suppressing adhesion of etching by-products to the upper electrode 34, the deposit 11 constituting the chamber wall, the inner wall member 26, and the insulating shielding member 42.
  • FIG. 7 shows the change in the plasma potential waveform when a DC voltage is applied to the upper electrode 34.
  • Figure 8 shows the relationship between the value of the DC voltage supplied to the upper electrode and the maximum value of the plasma potential.
  • FIG. 1 when a negative DC voltage is applied to the upper electrode 34, the maximum value of the plasma potential decreases as the absolute value increases. That is, it can be seen that the plasma potential can be controlled by the DC voltage applied to the upper electrode 34. This is achieved by applying a DC voltage having a higher absolute value than the self-bias (V) of the high frequency power applied to the upper electrode 34 to the upper electrode 34.
  • V self-bias
  • the value of the plasma potential is It was decided by raising.
  • the voltage amplitude of the upper electrode is all made at a negative potential, so that the plasma potential is determined by the wall potential. For this reason, the plasma potential decreases.
  • the plasma potential can be lowered, and the deposition shield 11 constituting the upper electrode 34 and the chamber wall, and further The adhesion of etching by-products to the insulating material (members 26 and 42) in the chamber 10 can be suppressed.
  • the value of the plasma potential Vp is preferably in the range of 80V ⁇ Vp ⁇ 200V! /.
  • Another effect obtained by applying a DC voltage to the upper electrode 34 is that plasma is formed by the applied DC voltage, thereby increasing the plasma density and increasing the etching rate. It is done.
  • the plasma density in the central portion can be relatively increased due to plasma diffusion.
  • the pressure in the chamber 10 is high and the etching gas to be used is a negative gas, a DC voltage is applied to the upper electrode 34 in such a way that the plasma density in the center of the chamber 10 tends to decrease.
  • the plasma density at the center can be increased, and the plasma density can be controlled so that uniform etching can be performed.
  • the etching characteristics are not defined only by the plasma density, the etching is not necessarily uniform as the plasma density becomes uniform.
  • the semiconductor wafer was loaded into the chamber and placed on the susceptor, and the BARC (organic antireflection film) and etching target film were etched.
  • the BARC etching the first high-frequency power was 2500 W, the second high-frequency power was 2000 W, and CH F, CHF, Ar, and O were used as process gases. Etch the target film.
  • the first high-frequency power was 1500 W
  • the second high-frequency power was 4500 W
  • CH F, CF 3, Ar, and O were used as processing gases, and holes were etched. In that case,
  • variable DC power supply 50 one capable of applying a voltage in the range of -2000 to + 1000V can be applied.
  • the absolute value of the DC voltage from the variable DC power supply 50 is preferably 500V or more.
  • the DC voltage to be applied is preferably a negative voltage having an absolute value larger than the self-bias voltage generated on the surface of the upper electrode by the first high-frequency power applied to the upper electrode 34.
  • Figure 11 shows how the first high frequency power supply 48 When the power of high frequency power (60 MHz) for generating Vietnamesea is changed and applied to the upper electrode 34, the self-bias voltage V generated on the surface of the upper electrode 34 and the dc applied to the upper electrode 34
  • the absolute value of the DC voltage applied to the upper electrode 34 can be as small as dc compared to the self-noise voltage V generated at the upper electrode.
  • a detector 55 for detecting the state of plasma from the plasma detection window 10a is provided, and the controller 51 controls the variable DC power supply 50 based on the detection signal. Accordingly, it is possible to automatically apply a DC voltage that effectively exhibits the above-described function to the upper electrode 34. Further, a detector for detecting the sheath thickness or a detector for detecting the electron density may be provided, and the controller 51 may control the variable DC power supply 50 based on the detection signal.
  • the plasma etching apparatus of the present embodiment it is particularly preferable to use it as a processing gas when etching an insulating film (for example, a low-k film) formed on the wafer W! /, Examples of gas combinations are given below.
  • Combinations of processing gases that are preferably used during overetching under the conditions of via etching include CF, Ar, and N. As a result, the base of the insulating film
  • the selectivity to the film can be increased.
  • CF or (CF, CF, Ar, N, O) can be used as a combination of processing gases that are preferably used under the trench etching conditions.
  • the selection ratio with respect to the mask can be increased.
  • the etching rate of the insulating film can be increased.
  • a GND block (conductive member) 91 which is a DC grounded part, is provided in the deposition shield 11 on the chamber wall side in this embodiment.
  • This GND block 91 is exposed to the plasma surface, and is electrically connected to the conductive part inside the deposition shield 11, and the DC voltage and current applied from the variable DC power supply 50 to the upper electrode 34 passes through the processing space. Then, it reaches the GND block 91 and is grounded via the deposition shield 11.
  • the GND block 91 is a conductor and is preferably a silicon-containing material such as Si or SiC. C can also be suitably used.
  • the GND block 91 is provided in the plasma formation region, the position thereof is not limited to the position shown in FIG. 1.
  • the GND block 91 may be provided around the susceptor 16. Alternatively, it may be provided near the upper electrode 34, for example, as shown in FIG.
  • YO or polymer covered by the deposition shield 11 etc. will fly, and this will be the GND block 91 If it adheres to the surface, it will not be grounded in a DC manner, making it difficult to exhibit the effect of preventing abnormal discharge.
  • GND block 91 is YO etc.
  • the adjacent parts which are preferably located away from the member covered with 2 3 are preferably Si-containing materials such as Si and Sekiei (SiO 2).
  • Si-containing materials such as Si and Sekiei (SiO 2).
  • the length L of the lower portion of the Si-containing member 93 below the GND block 91 is preferably equal to or longer than the protruding length M of the GND block 91.
  • the GND block 91 is provided with a switching mechanism 53 for switching the connection of the GND block 91 between the variable DC power supply 50 side and the ground line so that a voltage can be applied from the variable DC power supply 50.
  • a grounded conductive auxiliary member 91b is provided for allowing a DC electron current generated when a negative DC voltage is applied to 91 to flow in.
  • the switching mechanism 53 includes a first switch 53a for switching the connection of the variable DC power supply 50 between the matching unit 46 side and the GND block 91 side, and a second switch 53b for turning on / off the connection of the GND block 91 to the ground line. And have.
  • the GND block 91 is provided in a ring shape outside the upper electrode 34, and the conductive auxiliary member 91a is provided on the outer periphery of the susceptor 16, and this arrangement is preferable. It may not be an arrangement.
  • the first switch 53a of the switching mechanism 53 is normally connected to the upper electrode 34 side, and the variable DC power supply 50 is connected to the upper electrode 34 side.
  • the second switch 53b is turned on, and the GND block 91 is connected to the ground line side.
  • the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is grounded via the plasma to the GND block 91 and the upper electrode 34. It flows into the conductive auxiliary member 91b (the direction of positive ion current flow is reversed).
  • the surface of the GND block 91 is covered with a deposit such as YO or polymer as described above.
  • the second switch 53b may be turned off and the GND block 91 may be in a floating state during a part of the time during plasma etching.
  • the DC electron current flows from the upper electrode 34 into the conductive auxiliary member 9 la via the plasma (the direction of the positive ion current is reversed).
  • a self-bias voltage is applied to the GND block 91, and positive ions are incident with the corresponding energy, so that the GND block 91 can be cleaned during plasma etching.
  • the applied DC voltage may be small, and the DC electron current at that time is small.
  • the conductive auxiliary member 91b is not necessarily required when it is possible to prevent the electric charge from being accumulated in the GND block 91 due to the leakage current.
  • the connection of the variable DC power supply 50 is switched from the upper electrode 34 side to the GND electrode 91 side, and the DC electron current when the DC voltage is applied becomes conductive from the GND block 91.
  • Auxiliary member Force to flow to 9 lb Variable DC power supply 50 positive electrode is connected to upper electrode 34, negative electrode is connected to GND block 91, DC electronic current when DC voltage is applied from GND block 91 to upper electrode You may make it flow to 34. In this case, the conductive auxiliary member is not necessary.
  • FIG. 20 Such a configuration is shown in FIG. In the configuration of FIG. 20, the negative electrode of the variable DC power supply 50 is connected to the upper electrode 34 and the GND block 91 is connected to the ground line during plasma etching, and the positive electrode of the variable DC power supply 50 is connected to the ground line during cleaning.
  • a connection switching mechanism 57 for switching the connection is provided so that the upper electrode 34 is connected and the negative electrode is connected to the GND block 91.
  • the connection switching mechanism 57 includes a first switch 57a for switching the connection of the variable DC power supply 50 to the upper electrode 34 between the positive electrode and the negative electrode, and a connection of the variable DC power supply 50 to the GND block 91 between the positive electrode and the negative electrode.
  • a second switch 57b for switching and a third switch 57c for grounding the positive electrode or the negative electrode of the variable DC power supply 50 are provided.
  • the first switch 57a of the connection switching mechanism 57 is connected to the negative electrode side of the variable DC power supply 50, and the variable DC power supply 50
  • the negative electrode is connected to the upper electrode 34 side
  • the second switch 57b is connected to the positive side of the variable DC power supply 50
  • the third switch 57c is connected to the positive side of the variable DC power supply 50 (the variable DC power supply 50 GND block 91 is connected to the ground line side.
  • the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is grounded from the upper electrode 34 through the plasma. Flows into 91 (the direction of positive ion current flow is reversed).
  • the surface of the GND block 91 is made of YO or polymer as described above.
  • the first switch 5 of the connection switching mechanism 57 7a is switched to the positive side of variable DC power supply 50
  • second switch 57b is switched to the negative side of variable DC power supply 50
  • third switch 57c is left unconnected.
  • power is supplied from the first high frequency power supply 48 to the upper electrode 34 to form a cleaning plasma.
  • the GND block 91 has a negative polarity of the variable DC power supply 50 and the upper electrode 34 has a positive polarity of the variable DC power supply 50.
  • the variable DC power supply 50 is apparently in a floating state. Generally, the power supply is safe because it is provided with a frame ground line.
  • the force with the third switch 57c in an unconnected state may be left connected to the positive electrode side of the variable DC power supply 50 (the positive electrode of the variable DC power supply 50 is grounded).
  • the first high frequency power supply 48 supplies power to the upper electrode 34 to form a cleaning plasma
  • the GND block 91 is applied with a DC voltage from the negative electrode of the variable DC power supply 50, and the DC electron current is generated from the plasma.
  • the positive ions then flow into the GND block 91 from the GND block 91 to the upper electrode 34.
  • the surface of the GND block 91 can be ion-sputtered by adjusting the DC voltage and controlling the incident energy of positive ions to the GND block 91. Can be removed.
  • a force AC voltage in which a DC voltage is applied to the GND block 91 may be applied during cleaning.
  • a variable DC power supply 50 for applying a direct current voltage to the upper electrode may be used to apply a power supply voltage according to the force applied to the GND block 91.
  • the GND block 91 is grounded during plasma etching and a negative DC voltage is applied to the GND block 91 during cleaning, the present invention is not limited to this.
  • a negative DC voltage may be applied to the GND block 91 during plasma etching.
  • the above cleaning may be replaced during ashing.
  • variable DC power supply 50 When a nopolar power source is used, a complicated switching operation like the connection switching mechanism 57 is unnecessary.
  • the switching operation of the switching mechanism 53 in the example of FIG. 17 and the connection switching mechanism 57 in the example of FIG. 20 is performed based on a command from the control unit 95.
  • the GND block 91 From the standpoint of easily preventing DC from being grounded, a part of the GND block 91 is covered with another member and caused to move relative to each other, thereby creating a new surface of the GND block 91. It is effective to make it exposed.
  • the GND block 91 has a relatively large area, and a part of the surface of the GND block 91 that is exposed to plasma is covered with a mask material 111 that can move in the direction of the arrow. By moving 111, it is possible to change the portion of the GND block 91 exposed to the plasma. In this case, if the drive mechanism is provided in the chamber 10, there is a concern that particles are generated. However, since the frequency is as low as once every 100 hours, no major problem occurs.
  • a cylindrical GND block 191 is rotatably provided, and is covered with a mask material 112 so that only a part of the outer peripheral surface of the GND block 191 can be exposed. It is also effective to change the part exposed to the plasma by rotating 191.
  • the drive mechanism can be provided outside the chamber 10.
  • the mask materials 111 and 112 an aluminum plate having a high plasma resistance and sprayed with ceramics such as Y 2 O can be used.
  • a part of the GND block 91 is covered with another member. It is effective to use a member that is gradually etched by plasma as a member of this, so that the GND block 91 always loses its conductivity and the surface is exposed.
  • a part of the surface of the GND block 91 is covered with a stepped protective film 113, and the initial exposed surface 91c has a grounding function. If plasma treatment is performed for 200 hours in this state, for example, as shown in FIG.
  • the initial exposed surface 91c of the GND block 91 loses conductivity, but the thin portion of the stepped protective film 113 is etched at this time. Make sure the new exposed surface 91d of GND block 91 appears. As a result, the newly exposed surface 91d will function as a ground. become.
  • Such a protective film 113 has an effect of preventing the wall material from adhering to the GND block 91 and an effect of reducing the inflow of ions to the GND block 91 to prevent contamination.
  • a protective film 113a in which a large number of thin layers 114 are stacked and each layer is slightly shifted.
  • Te time until the exposed surface of the GND block 91 is contaminated and loses conductivity
  • Te Te
  • the number of layers 114 is preferably selected so that the lifetime of the GND block 91 is longer than the maintenance cycle.
  • one layer 114a with a different color as shown in the figure is provided as shown in the figure. For example, the layer 114a is replaced when it exceeds a certain area. It is possible to grasp the replacement time.
  • the protective films 113 and 113a those which are appropriately etched by plasma are preferable.
  • a photoresist film can be preferably used.
  • a plurality of GND blocks 91 are provided, and the ones that perform the grounding function are sequentially switched.
  • a switching switch 115 is provided so that only one of them is grounded.
  • a current sensor 117 is provided in the common ground line 116, and the direct current flowing therethrough is monitored. The current of the grounded GND block 91 is monitored by the current sensor 117, and when the current value becomes lower than the predetermined value, the ground function is switched to another GND block 91.
  • the number of GND blocks 91 may be selected in the range of about 3 to 10.
  • the GND block that is not grounded is in an electrically floating state. From the viewpoint of protecting the unused GND block, instead of providing the switch 115, You may be able to apply potential!
  • An example is shown in FIG. In Figure 27, the ground line connected to each GND block 91 individually.
  • a variable DC power source 119 is provided for each of the power stations 118.
  • the voltage of the variable DC power source 119 corresponding to the GND block 91 that should exhibit the grounding function is controlled so that the other GND block 91 has a voltage at which no current flows, for example, 100V.
  • the voltage of the corresponding variable DC power supply 119 is controlled so that Then, when the current value of the current sensor 117 provided on the ground line 118 connected to the GND block 91 that should perform the ground function becomes lower than the predetermined value, it is determined that the ground function is not performed. Then, the voltage value of the variable DC power supply 119 corresponding to another GND block 91 is controlled to a value at which the GND block performs the grounding function.
  • the GND block 91 connected thereto functions as an electrode for applying a DC voltage to the plasma. Can be made. However, even if this value is too large, the plasma will be adversely affected. Further, by controlling the voltage applied to the GND block 91, a tarrying effect on the GND block 119 can be obtained.
  • FIG. 28 is a diagram showing the electron temperature distribution of plasma, with the electron temperature on the horizontal axis and the intensity on the vertical axis.
  • the electron temperature distribution of the plasma (RF plasma) has an intensity peak in the excitation region where the electron temperature is low, as shown by curve A (case A) in Fig. 28.
  • the power is increased in order to obtain a higher plasma density, Since the intensity of the dissociation region where the electron temperature is at an intermediate level is high, the fluor power is similar to that of CF gas, which is a processing gas for etching.
  • One-bon gas (CxFy) dissociation progresses, and etching characteristics deteriorate.
  • curve B (case B) in Fig. 28 is the case of plasma generated by applying a DC voltage (DC plasma), and has a plasma density equivalent to curve A (case A).
  • DC plasma DC voltage
  • an intensity peak exists in the ionization region where the electron temperature is high, and there is almost no excitation region or dissociation region. Therefore, by superimposing a DC voltage on high frequency power of 13.56 MHz or higher, high plasma density can be achieved without increasing the power of high frequency power.
  • the electron temperature is a bipolar structure having an intensity peak in the excitation region and the ion region, and there is little dissociation of the processing gas even at the same plasma density. An ideal plasma can be formed.
  • FIG. 29 is a diagram comparing the plasma electron temperature distribution between the case of only high-frequency power and the case of superimposing a DC voltage.
  • Curve C in FIG. 29 shows a case where a high frequency power of 60 MHz is supplied to the upper electrode 34 and a high frequency power of 2 MHz for ion attraction is supplied to the susceptor 16 which is the lower electrode.
  • the high-frequency power is 2400 W and the high-frequency power to the lower electrode susceptor 16 is 1000 W.
  • Curve D applies 60 MHz and 2 MHz respectively to the upper electrode 34 and the susceptor 16 and the upper electrode 3 4 In this case, the high frequency power and DC voltage values are set so that the plasma density is the same as in curve C.
  • the high frequency power to the upper electrode 34 is set to 300 W. This is the case when the DC voltage is reduced to 900V. As shown in FIG. 29, by superimposing a DC voltage, it is possible to form a two-pole high-density plasma with almost no electron temperature in the dissociation region at the same plasma density. In this case, it is possible to control the electron temperature distribution by changing the frequency and power of the high-frequency power supplied to the upper electrode 34 and the value of the DC voltage, thereby obtaining a more appropriate plasma state. Is possible.
  • the frequency of the high frequency power applied to the upper electrode 34 is 40 MHz or more, for example, 60 MHz, the plasma energy is low, so the DC voltage is applied.
  • the control margin is wide. Therefore, the frequency of the high frequency power applied to the upper electrode 34 is preferably 40 MHz or more.
  • the high-frequency power from the second high-frequency power supply 90 supplied to the susceptor 16 is a force that is a noise high-frequency power for ion attraction.
  • the action is different Become.
  • the frequency of the RF applied frequency is less than ⁇ , for example, 2 MHz, since ions can generally follow the RF applied frequency, the ion energy incident on the wafer is high frequency as shown in FIG. 30A. It corresponds to the wafer potential that changes according to the power voltage waveform.
  • the maximum ion energy corresponds to Vpp, and the ion energy becomes small in the part where the difference between the plasma potential and the wafer potential is small. As shown, the ion energy on the wafer is broadened with two poles. On the other hand, in the case of a frequency that the ions in FIG. 30B do not follow (for example, 13.56 MHz), the ion energy corresponds to V regardless of the wafer potential, and as shown in FIG. Is
  • the frequency at which ions below 10 MHz can follow is suitable for increasing productivity with large ion energy, and the frequency at which ions above 10 MHz cannot follow is on the mask surface. This is suitable when low ion energy is required, such as when criticality is critical. Therefore, it is preferable to select the frequency of the high frequency power for bias appropriately according to the application.
  • the above is an example in which a DC voltage is applied in order to exert the sputtering function of the upper electrode 34, the function of reducing the plasma, the function of supplying electrons, and the like.
  • the same effect can be obtained.
  • the frequency is lower than the frequency of the high frequency power for plasma generation.
  • the voltage may be pulsed, or may be modulated such as AM modulation or FM modulation.
  • etching is performed while applying a DC voltage to the upper electrode 34 while superimposing a DC voltage on the first high-frequency power, and the above functions are effectively exhibited, thereby providing an etching stop layer. It is possible to etch a low-k film such as a SiOC film as an insulating film with a high etching selectivity with respect to the underlying film.
  • FIG. 32A-B shows a cross-sectional structure of a wafer W as a typical etching target when performing such etching.
  • this wafer W has a SiC layer 201 as a base film, a SiOC film 202 as an insulating film, a SiO film 203, and an antireflection film.
  • the SiOC-based film 202 is a low-k film containing Si, 0, C, and H as constituent components.
  • SiLK trade name; manufactured by Dow Chemical Co., Ltd.
  • SOD—MSQ of MSCH methylsilsesquisiloxane
  • CVD-SiOCH CORAL trade name; manufactured by Novellus Systems, Inc.
  • BlackDiamond trade name; manufactured by Applied Materials, Inc.
  • low-k films such as organic low-k films such as PAE films, HSQ films, PCB films, CF films, and inorganic low-k films such as SiOF films are used.
  • organic low-k films such as PAE films, HSQ films, PCB films, CF films, and inorganic low-k films such as SiOF films are used.
  • inorganic low-k films such as SiOF films.
  • examples of the SiC layer 101 serving as the base film include BLOk [trade name; manufactured by Applied Materials, Inc.].
  • this wafer W is etched with a fluorocarbon (CF-based) gas plasma to form recesses (grooves or holes) 211 corresponding to the mask pattern of ArF resist 105.
  • CF-based gas plasma to form recesses (grooves or holes) 211 corresponding to the mask pattern of ArF resist 105.
  • the DC voltage applied from the variable DC power supply 50 to the upper electrode 34 is preferably 0 to ⁇ 1500 V.
  • High frequency power (upper electrode Z lower electrode) 400W / 1500W;
  • Chamber 10 side wall temperature 60 ° C;
  • Etching Condition 1 It was the same as Etching Condition 1 except that the high frequency power (upper electrode Z lower electrode) was changed to 800WZ2500W.
  • Table 2 shows the etching characteristics when the high-frequency power to the upper electrode 34 is changed based on the etching condition 1. From Table 2, it was shown that when the high-frequency power supplied to the upper electrode 34 is increased, the etching rate is improved, but the selectivity to SiC tends to be reduced. On the other hand, under this condition, the change in the high-frequency power supplied to the upper electrode 34 is affected by the CD. There was little reverberation and the resist selection ratio was excellent with high frequency power of 400W. From the above results, it was shown that the range of 200 to 800 W is preferable as the high frequency power to the upper electrode 34.
  • Table 3 shows the etching characteristics when the high frequency power to the susceptor 16 as the lower electrode is changed with the etching condition 2 as a reference. Table 3 shows that increasing the high-frequency power supplied to the lower electrode (susceptor 16) significantly improves the etching rate but reduces the effect of improving the selectivity to SiC. On the other hand, it was shown that under this condition, the effect of changes in the high-frequency power supplied to the lower electrode on the CD is small, and the selectivity to resist improves as the high-frequency power increases. From these facts, it was shown that the range of 1500 to 3800 W is preferable as the high frequency power to the lower electrode.
  • Table 4 shows the etching characteristics when the processing pressure is changed based on the etching condition 2. From Table 4, it was found that under high etching conditions (2) where the high-frequency power (upper electrode Z lower electrode) is 800Z2500W, if the processing pressure is set higher than necessary, the etching rate decreases and etch stop occurs. Therefore, it was shown that the treatment pressure is preferably 4 Pa or more and less than 20 Pa.
  • Table 5 shows the etching characteristics when the Ar flow rate is changed based on the etching condition 2. From Table 5, it can be seen that the effect of changes in the Ar flow rate ratio is not clear under etching conditions 2 where the high-frequency power (upper electrode Z lower electrode) is relatively large, 800Z2500W. ⁇ 1S It is better to add a certain amount of Ar. It was shown that the SiC selectivity was improved, and that Ar addition of at least 10 OOmLZmin or less was preferable.
  • High frequency power (upper electrode Z lower electrode) 300W / 1000W;
  • Chamber 10 side wall temperature 60 ° C;
  • High frequency power (upper electrode Z lower electrode) 1000W / 1000W;
  • Process gas flow CF / N / Ar 6/260 / lOOOOmL / min; Overetch amount: 30%;
  • a similar effect can be obtained by applying a DC voltage to the pole 34.
  • the force for etching the SiOC-based film 202 (main etching, or main etching and over-etching) is used here. Therefore, it is possible to apply a DC voltage only to the overetching in the two-step process in which overetching is performed when the recess reaches the vicinity of the base by main etching under normal conditions.
  • FIG. 34 is a schematic cross-sectional view showing a plasma etching apparatus according to the second embodiment of the present invention.
  • the same components as those in FIG. 34 are identical to FIG. 34.
  • an upper electrode 34 ′ having the following structure is provided.
  • the upper electrode 34 ' is opposed to the susceptor 16 with a predetermined gap, and is insulated from the ring-shaped or donut-shaped outer upper electrode 34a and radially inward of the outer upper electrode 34a.
  • a disc-shaped inner upper electrode 34b is provided.
  • the outer upper electrode 34a is mainly used for plasma generation, and the inner upper electrode 34b has an auxiliary relationship.
  • an annular gap (gap of 0.25 to 2. Omm) is formed between the outer upper electrode 34a and the inner upper electrode 34b.
  • a dielectric 72 having a quartz force, for example is provided in the gap.
  • This gap is further provided with a ceramic member 73.
  • the ceramic member 73 can be omitted.
  • a capacitor is formed between the electrodes 34a and 34b with the dielectric 72 interposed therebetween. The capacitance C of this capacitor depends on the gap size and the dielectric constant of dielectric 72.
  • the outer upper electrode 34a includes an electrode plate 36a and a conductive member that detachably supports the electrode plate 36a. It has a material, for example an electrode support 38a which also has an anodized aluminum surface.
  • the electrode plate 36a is preferably composed of a low-resistance conductor or semiconductor with low Joule heat, such as silicon or SiC.
  • a first high-frequency power supply 48 similar to that of the first embodiment is electrically connected to the outer upper electrode 34a via the matching unit 46, the upper power feeding rod 74, the connector 98, and the power feeding cylinder 100 similar to those of the first embodiment. ing.
  • the output terminal of the matching unit 46 is connected to the upper end of the upper feed rod 74.
  • the feed tube 100 is a cylindrical, conical or near-shaped conductive plate such as an aluminum plate or a copper plate.
  • the lower end is continuously connected to the outer upper electrode 34a in the circumferential direction, and the upper end is connected by the connector 98. It is electrically connected to the lower end of the upper power feed rod 74.
  • the side wall of the chamber 10 extends upward from the height position of the upper electrode 34 'to form a cylindrical ground conductor 10a.
  • the upper end portion of the cylindrical ground conductor 10a is electrically insulated from the upper power feed rod 74 by a cylindrical insulating member 74a.
  • the inner upper electrode 34b includes an electrode plate 36b having a large number of gas discharge holes 37b, and a conductive material that removably supports the electrode plate 36b, for example, an anodized surface. And an electrode support 38b that also has a treated aluminum force. Inside the electrode support 38b, for example, a central gas diffusion chamber 40a and a peripheral gas diffusion chamber 40b divided by an annular partition member 43 having O-ring force are provided. A number of gas flow holes 41b communicating with the gas discharge holes 37b extend downward from the central gas diffusion chamber 40a and the peripheral gas diffusion chamber 40b.
  • a central shower head is composed of the central gas diffusion chamber 40a, a large number of gas flow holes 41b provided on the lower surface thereof, and a large number of gas discharge holes 37b communicating with the central gas diffusion chamber 40a.
  • a peripheral shower head is composed of a large number of gas flow holes 41b provided on the lower surface thereof and a large number of gas discharge holes 37b communicating therewith.
  • the processing gas is supplied from a common processing gas supply source 66 at a desired flow rate ratio. That is, the gas supply pipe 64 from the processing gas supply source 66 branches into two branch pipes 64a and 64b in the middle, and the gas formed on the electrode support 38b. The process gas from the gas inlets 62a and 62b reaches the gas inlet chambers 40a and 40b.
  • the branch pipes 64a and 64b are provided with flow control valves 71a and 71b, and the conductance of the flow path from the processing gas supply source 66 to the gas diffusion chambers 40a and 40b is equal, the flow control valves 71a and 71b are The flow rate ratio of the processing gas supplied to the gas introduction chamber 40a and the peripheral gas introduction chamber 40b can be arbitrarily adjusted.
  • the gas supply pipe 64 is provided with a mass flow controller (MFC) 68 and an opening / closing valve 70 as in the first embodiment. In this way, by adjusting the flow rate ratio of the processing gas introduced into the central gas diffusion chamber 40a and the peripheral gas diffusion chamber 40b, the flow rate F of the gas discharged from the central shower head and the peripheral gas are controlled.
  • MFC mass flow controller
  • the flow rate per unit area of the processing gas discharged from the central shower head and the peripheral shower head can also be made different. Furthermore, the gas type or gas mixture ratio of the processing gas discharged from the central shower head and the peripheral shower head can be selected independently or separately.
  • a first high-frequency power supply 90 similar to that of Embodiment 1 is electrically connected to the electrode support 38b of the inner upper electrode 34b via the matching unit 46, the upper feeding rod 74, the connector 98, and the lower feeding rod 76. It is connected to the.
  • a variable capacitor 78 capable of variably adjusting the capacitance is provided in the middle of the lower feed rod 76. As will be described later, the variable capacitor 78 has a function of adjusting the balance between the outer electric field strength and the inner electric field strength.
  • a variable DC power supply 50 is connected to the upper electrode 34 ′ as in the first embodiment.
  • the variable DC power supply 50 is connected to the outer upper electrode 34a and the inner upper electrode 34b through the filter 58.
  • the polarity, voltage and current of the variable DC power supply 50 and the on / off switch 52 are turned on / off by the controller 51 as in the first embodiment.
  • the filter 78 is built in the matching unit 46! However, in the present embodiment, the filter 78 is provided separately from the matching unit 46.
  • the semiconductor wafer W to be etched is first carried into the chamber 10 and mounted on the susceptor 16 as in the first embodiment. Put. Then, the processing gas for etching is diffused from the processing gas supply source 66 at a predetermined flow rate and flow rate ratio to the central gas diffusion chamber 40a and the surrounding gas diffusion. The gas is supplied to the chamber 40b and discharged into the chamber 10 through the gas discharge hole 37b. Similarly to the first embodiment, the chamber 10 is evacuated by the exhaust device 84 and maintained at the set pressure.
  • the first high-frequency power supply 48 applies high-frequency power (60 MHz) for plasma generation to the upper electrode 34 with a predetermined power
  • the second A high frequency (2 MHz) for ion attraction is applied from a high frequency power supply 90 to the susceptor 16 as a lower electrode with a predetermined power.
  • a predetermined voltage is applied from the variable DC power source 50 to the outer upper electrode 34a and the inner upper electrode 34b.
  • a DC voltage is applied from the DC power source 22 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W to the susceptor 16.
  • the etching gas discharged from the gas discharge hole 37b of the inner upper electrode 34b becomes plasma in the glow discharge between the upper electrode 34 and the lower electrode susceptor 16 generated by the high-frequency power.
  • the surface to be processed of the semiconductor wafer W is etched by the generated radicals and ions.
  • the inner upper electrode 34b that directly faces the semiconductor wafer W is used as a shower head type, and the ratio of the gas discharge flow rate is arbitrarily adjusted between the central shower head and the peripheral shower head. Therefore, the spatial distribution of the density of gas molecules or radicals can be controlled in the radial direction, and the spatial distribution characteristics of etching characteristics based on radicals can be arbitrarily controlled.
  • the outer upper electrode 34a is the main and the inner upper electrode 34b is the auxiliary, and these electrodes 34a and 34b Since the ratio of the electric field strength applied to the electrons directly below can be adjusted, the spatial distribution of plasma density can be controlled in the radial direction, and the spatial characteristics of reactive ion etching can be controlled arbitrarily and finely. be able to.
  • the electric field strength or input power between the outer upper electrode 34a and the inner upper electrode 34b The control of the plasma density spatial distribution performed by varying the ratio of the gas is performed by varying the flow rate of the processing gas and the ratio of the gas density or the gas mixture ratio between the central shower head and the peripheral shower head. Does not substantially affect control of radical density spatial distribution.
  • the center showerhead and peripheral showerhead forces are dissociated in the area immediately below the inner upper electrode 34b, so that the electric field strength is balanced between the inner upper electrode 34b and the outer upper electrode 34a. Even if it is changed, since the central shower head and the peripheral shower head are in the inner upper electrode 34b and within the same area, the radical generation amount or density balance between them is not significantly affected. Therefore, the spatial distribution of the plasma density and the spatial distribution of the radical density can be controlled substantially independently.
  • the plasma etching apparatus of the present embodiment is mainly composed of the outer upper electrode 34a, and most or a majority of the plasma is generated immediately below it and diffused immediately below the inner upper electrode 34b.
  • the inner upper electrode 34b which also serves as a shower head, has a small attack that receives the ionic force of the plasma. Therefore, it is possible to effectively suppress the progress of sputtering at the gas discharge port 37b of the electrode plate 36b, which is a replacement part. Can greatly extend the service life.
  • the outer upper electrode 34a that generates most or most of the plasma does not have a gas discharge port where the electric field is concentrated, so that the ion attack does not shorten the life.
  • FIG. 35 shows the configuration of the main part of the plasma etching apparatus according to the present embodiment, in particular, the main part of the plasma generation unit as described above.
  • FIG. 36 shows an equivalent circuit of the main part of the plasma generation unit. Show. In FIG. 35, the shower head structure is omitted, and in FIG. 36, the resistance of each part is omitted.
  • the outer upper electrode 34a and the feeding tube 100 and the cylindrical ground conductor 10a are coaxial lines using the outer upper electrode 34a and the feeding tube 100 as the waveguide Jo. Is formed.
  • the radius (outer diameter) of the feeder tube 100 is ao and the radius of the cylindrical ground conductor 10a is b, the characteristic impedance of this coaxial line or the inductor The flow Lo can be approximated by the following equation (1).
  • K is a constant determined by the mobility and dielectric constant of the waveguide.
  • a coaxial line is formed between the lower power feed rod 76 and the cylindrical ground conductor 1 Oa with the lower power feed rod 76 as the waveguide Ji. Since the inner upper electrode 34b also has extremely different force diameters on the extension of the lower feed rod 76, the impedance of the lower feed rod 76 becomes dominant.
  • the radius (outer diameter) of the lower feed rod 76 is ai, the characteristic impedance or inductance Li of this coaxial line can be approximated by the following equation (2).
  • the inner waveguide Ji that transmits high frequency to the inner upper electrode 34b provides the same inductance Li as that of the conventional general high-frequency system.
  • the outer waveguide Jo that transmits a high frequency to the upper electrode 34a can give a remarkably small inductance Lo for the larger diameter.
  • high-frequency waves are easily propagated through the low-impedance outer waveguide Jo (small voltage drop), and a relatively high high-frequency power Po is applied to the outer upper electrode 34a.
  • the electric field strength Eo can be obtained at the lower surface (plasma contact surface) of the outer upper electrode 36.
  • the high-impedance inner waveguide Ji is less likely to propagate high frequency (large voltage drop), and the high frequency power Pi supplied to the inner upper electrode 34b is smaller than the high frequency power Po supplied to the outer upper electrode 34a.
  • the electric field strength Ei obtained on the lower surface (plasma contact surface) of the upper electrode 34b can be made smaller than the electric field strength Eo on the outer upper electrode 34a side.
  • the maximum transmission power P in the coaxial line formed by the outer upper electrode 34a, the feeding tube 100 and the cylindrical grounding conductor 10a is the radius ao of the feeding tube 100 and the half max of the cylindrical grounding conductor 10a.
  • Zo is the input impedance of the coaxial line with the matching unit 46 side force
  • Eo is the maximum electric field strength of the RF transmission system.
  • the ratio of the diameter size (bZao) of the cylindrical grounding conductor 10a to the diameter size of the feed tube 50 is configured to be about 1.65. Is preferably within the range of at least 1.2 to 2.0. Sarako is in the range of 1.5 to 1.7.
  • variable capacitor 78 is inserted in the middle of the lower feed rod 76 as a means to adjust the ratio, that is, the balance with the electric field strength Ei (or the input power Pi to the inner upper electrode 34b). Capacitance C of this variable capacitor 78
  • Figure 37 shows the relationship between 78 and the ratio of the input power Pi to the inner upper electrode 34b with respect to the total input power. As is clear from this figure, the impedance or reactance of the inner waveguide ji is increased or decreased by changing the capacitance C of the variable capacitor 78.
  • the relative ratio between the voltage drop of the outer waveguide Jo and the voltage drop of the inner waveguide Ji can be changed, so that the outer electric field strength Eo (outer input power Po) and the inner electric field strength Ei (inner input power Pi) The ratio of can be adjusted.
  • the impedance of the ion sheath that provides the potential drop of the plasma is generally capacitive.
  • the capacitance of the sheath impedance immediately below the outer upper electrode 34a is C, and the sheath impedance key immediately below the inner upper electrode 34b is shown.
  • Capacitance is imitated as C.
  • the outer upper electrode 34a and the inner upper electrode 34b are formed of Capacitance.
  • the capacitance C of the capacitor formed between them is the capacitance of the variable capacitor 78.
  • Eo outer input power Po
  • Eo inner input power
  • the field strength Ei (inside input power Pi) affects the balance and must be selected or adjusted so that the electric field strength (input power) balance adjustment function by the variable capacitor 78 can be optimized. Preferred.
  • the DC voltage from the variable DC voltage 50 as in the first embodiment is applied to the outer upper electrode 34a and the inner upper electrode 34b after passing through the filter 58.
  • the plasma density spatial distribution control as described above is performed, and at the same time, as in the first embodiment, the sputtering function by increasing V and the bra dc by increasing the plasma sheath thickness are performed.
  • the effect of using the upper electrode 34 'divided into the outer upper electrode 34a and the inner upper electrode 34b is combined with the effect of applying a predetermined DC voltage to the upper electrode 34'. More preferable plasma control can be realized.
  • a DC voltage is applied to both the outer upper electrode 34a and the inner upper electrode 34b! /. However, it may be applied to either one of them! .
  • a force in which a DC voltage is applied from one variable DC power supply 50 to the outer upper electrode 34a and the inner upper electrode 34b as shown in FIG. 38, two variable DC power supplies 50a, 50b
  • the DC voltage may be applied to the outer upper electrode 34a and the inner upper electrode 34b through the switches 52a, 52b and the finoleators 58a, 58b, respectively.
  • the DC voltage applied by the outer upper electrode 34a and the inner upper electrode 34b can be individually controlled, so that better plasma control can be performed.
  • a variable DC power supply 50 ′ is interposed between the outer upper electrode 34a and the inner upper electrode 34b, and one pole thereof is connected to the outer upper electrode 34a, and the other
  • the plasma density ratio generated by the inner upper electrode 34b and the outer upper electrode 34a can be set in more detail, and the wafer surface It is possible to improve the control of the etching characteristics inside.
  • Reference numeral 52 ' is an on-off switch, and 58a' and 58b 'are filters.
  • the plasma etching apparatus of the second embodiment it is particularly preferable to use it as a processing gas when etching an insulating film (for example, a low-k film) formed on the wafer W!
  • a processing gas for example, a low-k film
  • C F, Ar, and N can be cited as a combination of processing gases that are preferably used during over-etching under the conditions of via etching. As a result, the base of the insulating film
  • the selectivity to the film (SiC, SiN, etc.) can be increased.
  • the selection ratio with respect to the mask can be increased.
  • the etching rate of the insulating film can be increased.
  • Additive gas such as 2 or a combination of Z dilution gas
  • 2 Additive gas such as 2 or a combination of Z dilution gas
  • the frequency that can be taken by the first high-frequency power and the second high-frequency power is illustrated as the first high-frequency power.
  • 56MHz, 27MHz, 40MHz, 60MHz, 80MHz, 100MHz, 160MHz can be mentioned, and the second high frequency power can be 380kHz, 800kHz, 1 ⁇ , 2MHz, 3.2MHz, 13.56MHz. It can be used in an appropriate combination.
  • the force described above using the plasma etching apparatus as an example is also applicable to an apparatus for processing a semiconductor substrate using another plasma.
  • An example is a plasma film forming apparatus.
  • FIG. 40 is a schematic cross-sectional view showing a plasma etching apparatus according to the third embodiment of the present invention.
  • RF high frequency
  • a lower RF2 frequency-applied plasma etching apparatus that applies high-frequency (RF) power, and is connected to a variable DC power supply 50 to the upper electrode 34 as shown in the figure and applied with a predetermined direct current (DC) voltage.
  • DC direct current
  • This plasma etching apparatus is configured as a capacitively coupled parallel plate plasma etching apparatus, and has, for example, a substantially cylindrical chamber (processing vessel) 10 having an aluminum force whose surface is anodized. ing. This chamber 10 is a safety ground.
  • a cylindrical susceptor support 14 is disposed at the bottom of the chamber 10 via an insulating plate 12 that also has ceramic isotropic force, and a susceptor 16 that also has, for example, aluminum force is provided on the susceptor support 14. Yes.
  • the susceptor 16 constitutes a lower electrode, on which a semiconductor wafer W as a substrate to be processed is placed.
  • an electrostatic chuck 18 that holds the semiconductor wafer W by electrostatic force is provided.
  • the electrostatic chuck 18 has a structure in which an electrode 20 made of a conductive film is sandwiched between a pair of insulating layers or insulating sheets, and a DC power source 22 is electrically connected to the electrode 20. Then, the semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power source 22.
  • a cylindrical inner wall member 26 having a quartz force is provided on the side surfaces of the susceptor 16 and the susceptor support 14.
  • a refrigerant chamber 28 is provided inside the susceptor support base 14, for example.
  • This refrigerant chamber is connected to a refrigerant unit (not shown) provided outside via pipes 30a and 30b.
  • a coolant having a predetermined temperature, such as cooling water, is circulated and supplied, and the processing temperature of the semiconductor weno and w on the susceptor can be controlled by the temperature of the coolant.
  • a heat transfer gas from a heat transfer gas supply mechanism (not shown), for example, He gas is supplied between the upper surface of the electrostatic chuck 18 and the back surface of the semiconductor wafer W via the gas supply line 32.
  • An upper electrode 34 is provided in parallel above the susceptor 16 that is the lower electrode so as to face the susceptor 16.
  • the space between the upper and lower electrodes 34 and 16 becomes a plasma generation space.
  • the upper electrode 34 forms a surface facing the plasma generation space, that is, a surface facing the semiconductor wafer W and W on the susceptor 16 as the lower electrode.
  • the upper electrode 34 is supported on the upper part of the chamber 10 via the insulating shielding member 42, and forms an opposing surface to the susceptor 16 and has a number of discharge holes 37
  • the electrode plate 36 is detachably supported, and is composed of a conductive material, for example, an electrode support 38 having a water-cooled structure made of an anodized aluminum cover.
  • the electrode plate 36 is preferably a low-resistance conductor or semiconductor with low Joule heat, and a silicon-containing material is preferable from the viewpoint of strengthening the resist as described later. From such a viewpoint, the electrode plate 36 is preferably made of silicon or SiC.
  • a gas diffusion chamber 40 is provided inside the electrode support 38, and a number of gas flow holes 41 communicating with the gas discharge holes 37 extend downward from the gas diffusion chamber 40.
  • the electrode support 38 is provided with a gas introduction port 62 for introducing the processing gas to the gas diffusion chamber 40.
  • a gas supply pipe 64 is connected to the gas introduction port 62.
  • Process gas supply 66 is connected.
  • the gas supply pipe 64 is provided with a mass flow controller (MFC) 68 and an opening / closing valve 70 in order of upstream force.
  • MFC mass flow controller
  • the upper electrode 34 functions as a shower head for supplying the processing gas.
  • a variable DC power supply 50 is electrically connected to the upper electrode 34 through a low pass filter (LPF) 48.
  • the variable DC power supply 50 may be a bipolar power supply. This The variable DC power supply 50 can be turned on and off by an on / off switch 52. The polarity and current / voltage of the variable DC power supply 50 and the on / off of the on / off switch 52 are controlled by a controller (control device) 51.
  • the low-pass filter (LPF) 48 is for trapping high-frequency waves from the first and second high-frequency power sources, which will be described later, and is preferably composed of an LR filter or an LC filter.
  • a cylindrical ground conductor 10a is provided so as to extend above the height position of the upper electrode 34.
  • the cylindrical ground conductor 10a has a top wall at the top.
  • the first high-frequency power supply 88 is electrically connected to the susceptor 16 serving as the lower electrode via the matching unit 87, and the second high-frequency power supply 90 is connected to the susceptor 16 via the matching unit 89.
  • the first high frequency power supply 88 outputs high frequency power of 27 MHz or higher, for example, 40 MHz.
  • the second high frequency power supply 90 outputs a high frequency power of 13.56 MHz or less, for example, 2 MHz.
  • Matchers 87 and 89 are used to match the load impedance to the internal (or output) impedance of the first and second high-frequency power supplies 88 and 90, respectively, and plasma is generated in the chamber 10.
  • the internal impedance of the first and second high-frequency power supplies 88 and 90 and the load impedance seem to coincide with each other.
  • An exhaust port 80 is provided at the bottom of the chamber 10, and an exhaust device 84 is connected to the exhaust port 80 via an exhaust pipe 82.
  • the exhaust device 84 has a vacuum pump such as a turbo molecular pump, and can reduce the pressure in the chamber 10 to a desired degree of vacuum.
  • a loading / unloading port 85 for the semiconductor wafer W is provided on the side wall of the chamber 10, and this loading / unloading port 85 can be opened and closed by a gate valve 86.
  • a depositing shield 11 is detachably provided for preventing the etching byproduct (depot) from adhering to the chamber 10. That is, the deposit shield 11 constitutes the chamber wall.
  • the deposition shield 11 is also provided on the outer periphery of the inner wall member 26.
  • An exhaust plate 83 is provided between the deposition shield 11 on the chamber wall side at the bottom of the chamber 10 and the deposition shield 11 on the inner wall member 26 side.
  • Depot shield 11 and exhaust play G 83 is preferably made of aluminum coated with ceramics such as YO.
  • a conductive member (GND block) 91 that is connected to the ground in a DC manner is provided at a portion approximately the same height as the wafer W that forms the inner wall of the chamber of the deposition shield 11, and this is described later. It exhibits the effect of preventing abnormal discharge.
  • Each component of the plasma etching apparatus is connected to and controlled by a control unit (overall control device) 95.
  • the control unit 95 includes a keyboard for the process manager to input commands to manage the plasma etching device, a display that visualizes and displays the operating status of the plasma processing device, etc.
  • Interface 96 is connected.
  • control unit 95 includes a control program for realizing various processes executed by the plasma etching apparatus under the control of the control unit 95, and each configuration of the plasma etching apparatus according to the processing conditions.
  • the recipe may be stored in a hard disk or semiconductor memory, or set in a predetermined position in the storage unit 97 while being stored in a storage medium readable by a portable computer such as a CDROM or DVD. It's okay.
  • the plasma processing apparatus (plasma etching apparatus) described in the embodiment of the present invention includes this control unit 95.
  • the gate valve 86 is opened, and the semiconductor wafer W to be etched through the loading / unloading port 85, W is placed in the chamber 10. And place it on the susceptor 16. Then, a processing gas for etching is supplied from the processing gas supply source 66 to the gas diffusion chamber 40 at a predetermined flow rate, and exhausted while being supplied into the chamber 10 through the gas flow holes 41 and the gas discharge holes 37.
  • the chamber 84 is evacuated by the device 84, and the pressure in the chamber 10 is, for example, in the range of 0.1 to 150 Pa. Set value.
  • the processing gas various conventionally used gases can be employed. For example, a gas represented by fluorocarbon gas (CxFy) such as CF gas is used.
  • a gas containing a rogen element can be preferably used.
  • the high frequency power for plasma generation is applied from the first high frequency power supply 88 to the susceptor 16, which is the lower electrode, at a predetermined power.
  • a high-frequency power for ion attraction is applied from the high-frequency power source 90 of 2 at a predetermined power.
  • a predetermined DC voltage is applied from the variable DC power source 50 to the upper electrode 34.
  • a DC voltage is applied from the DC power source 22 for the electrostatic chuck 18 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W to the susceptor 16.
  • the processing gas discharged from the gas discharge holes 37 formed in the electrode plate 36 of the upper electrode 34 is converted into plasma in a glow discharge between the upper electrode 34 and the susceptor 16 which is the lower electrode generated by high-frequency power.
  • the surface to be processed of the semiconductor wafer W is etched by radicals and ions generated by the plasma.
  • a DC voltage having a predetermined polarity and magnitude is applied to the upper electrode 34 from the variable DC power supply 50.
  • the self-bias voltage V of the surface becomes deep enough to obtain a predetermined (moderate) sputtering effect on the surface of the upper electrode 34 that is the applied electrode, that is, the surface of the electrode plate 36, that is, the upper dc
  • the applied voltage dc from the variable DC power supply 50 is set so that the absolute value of V on the surface of the electrode 34 increases.
  • the pressure is preferably controlled by the controller 51.
  • plasma is generated by applying high frequency from the first high frequency power supply 88, polymer may adhere to the upper electrode 34.
  • the surface of the upper electrode 34 can be cleaned by sputtering the attached polymer.
  • the surface of the photoresist film is roughened by supplying an optimal amount of polymer onto the semiconductor wafer W. Can be eliminated.
  • the electrode plate 36 is a silicon-containing substance such as silicon or SiC
  • the silicon sputtered on the surface of the electrode plate 36 reacts with the polymer to form SiC on the surface of the photoresist film, and the photoresist film is extremely strong.
  • the material of the electrode plate 36 is preferably a silicon-containing substance. In this case, instead of controlling the applied voltage from the variable DC power supply 50, the applied current or applied power may be controlled.
  • the thickness of the plasma sheath formed on the upper electrode 34 side is increased. As the plasma sheath becomes thicker, the plasma is reduced by that amount.
  • the V on the upper electrode side is, for example, dc 100V, and the plasma has a thin sheath thickness d as shown in FIG. 42A.
  • the voltage applied from the variable DC power supply 50 is preferably controlled by the controller 51 so that the thickness of the plasma sheath in the upper electrode 34 becomes thick enough to form a desired reduced plasma. In this case, instead of controlling the applied voltage from the variable DC power supply 50, the applied current or applied power may be controlled.
  • the photoresist film on the semiconductor wafer W is a photoresist film for ArF excimer laser (wavelength: 193 nm) (hereinafter referred to as ArF resist film)
  • ArF resist film the polymer structure of the ArF resist film is Through the reactions shown in the chemical formulas (1) and (2) described in the embodiment, electrons are irradiated to form a structure as shown on the right side of the chemical formula (3).
  • the composition of the ArF resist film is modified (resist crosslinking reaction) as shown in part d of chemical formula (3).
  • This d portion has a function of greatly increasing the etching resistance (plasma resistance), so that the etching resistance of the ArF resist film is dramatically increased. For this reason, the surface roughness of the ArF resist film can be suppressed, and the etching selectivity of the etching target layer to the ArF resist film can be increased.
  • the applied voltage value / current value from the variable DC power supply 50 is preferably controlled by the controller 51 so that the etching resistance of the photoresist film (particularly, ArF resist film) is enhanced by electron irradiation.
  • the DC voltage applied to the upper electrode 34 is controlled, so that the sputtering function of the upper electrode 34 or the plasma reduction function, and the large amount of electrons generated by the upper electrode 34 are increased.
  • the supply function to the semiconductor wafer W, it is possible to strengthen the photoresist film, supply the optimum polymer, suppress dissociation of the processing gas, etc., and suppress the surface roughness of the photoresist.
  • the etching selectivity of the etching target layer with respect to the photoresist film can be increased.
  • such an effect can be further enhanced by controlling the DC voltage so that the three functions of the sputtering function, the plasma reduction function, and the electron supply function are appropriately exhibited.
  • the plasma potential can be controlled by adjusting the DC voltage applied to the upper electrode 34. This has a function of suppressing adhesion of etching by-products to the upper electrode 34, the deposit 11 constituting the chamber wall, the inner wall member 26, and the insulating shielding member 42.
  • etching by-products are attached to the upper electrode 34, the deposition shield 11 constituting the chamber wall, or the like, there is a change in process characteristics and concerns about particles.
  • semiconductors based on Si-based organic films (SiOC), SiN films, SiO films, and photoresists are used.
  • the etching conditions differ depending on each film, so that the memory effect that the influence of the previous process remains and the next process is adversely affected. It will occur.
  • the plasma potential can be lowered, and the deposition shield 11 constituting the upper electrode 34 and the chamber wall, and further the chamber 10 It is possible to suppress the adhesion of etching by-products to the inner insulating material (members 26 and 42).
  • the value of the plasma potential Vp is preferably in the range of 80V ⁇ Vp ⁇ 200V! /.
  • Figure 43A-D shows the HARC etching with the frequency of the first high-frequency power applied to the susceptor 16 as the lower electrode being 40 MHz, the frequency of the second high-frequency power being 3.2 MHz, and the pressure: 4 Pa.
  • FIG. 6 is a diagram showing the relationship between the output of each high-frequency power and the electron density distribution when the absolute value of the negative DC voltage applied to the upper electrode is changed to OV, 300V, 600V, and 900V under conditions.
  • Figure 44A-D shows the absolute value of the DC voltage applied to the upper electrode in the same way under the condition of Via etching at 6.7 Pa when two high frequency powers with the same frequency are applied, and OV, 300V.
  • FIG. 6 is a diagram showing the relationship between the output of each high-frequency power and the electron density distribution when changing between 600V and 900V.
  • the electron density increases as the absolute value of the applied DC voltage increases.
  • FIG. 45 is a diagram showing the electron density distribution in the wafer radial direction when the first high frequency power is 3000 W and the second high frequency power is 4000 W by the HARC etching. As can be seen from the figure, the electron density increases as the absolute value of the applied DC voltage increases.
  • the variable DC power supply 50 to the upper electrode 34, it is possible to increase the plasma density particularly in the central portion during trench etching.
  • the pressure in the chamber 10 is high and the etching gas used is a negative gas, such as the conditions during trench etching, the force that tends to lower the plasma density in the center of the chamber 10
  • the plasma density can be controlled to make the plasma density uniform.
  • a semiconductor wafer is loaded into a chamber and placed on a susceptor, and CF gas, CHF gas, Ar gas, and N gas are introduced into the chamber as processing gases,
  • the first high-frequency power is 300 W at 40 MHz and the second high-frequency power is 1 OOOW at 3.2 MHz, it is applied to the susceptor as the lower electrode!
  • the electron density (plasma density) distribution in the wafer radial direction was measured when no DC voltage was applied to the upper electrode and when 600 W was applied. The results are shown in Figure 46. As shown in this figure, when the DC voltage is not applied, the electron density at the wafer center is lower than the other parts, whereas the electron density at the wafer center is increased by applying the DC voltage. It was confirmed that the electron density was made uniform. In addition, the application of DC voltage increased the overall electron density.
  • the lower electrode is supplied with first high frequency (RF) power for plasma formation and second high frequency (RF) power for ion attraction.
  • RF high frequency
  • RF radio frequency
  • the plasma forming function and the ion attraction required for plasma etching are applied by separately applying the high frequency power for plasma formation and the high frequency power for ion attraction to the lower electrode. It is possible to control these functions independently.
  • an apparatus of a type that applies high frequency power of one frequency to the lower electrode cannot control the plasma formation function and the ion attraction function independently, and requires high micromachining. It is difficult to satisfy the etching conditions.
  • plasma can be formed near the wafer, plasma does not diffuse to a wide area, and the functions of plasma formation and ion attraction can be controlled independently. Furthermore, by applying a DC voltage to the upper electrode in a lower RF dual frequency plasma etching system, the sputtering function of the upper electrode, the function of reducing the plasma, the function of supplying electrons to the wafer, and the plasma potential Since it is possible to have at least one of a control function, a plasma density increasing function, and a plasma density control function, it is possible to provide a plasma etching apparatus having higher performance suitable for recent etching microfabrication.
  • the application of a DC voltage to the upper electrode 34 may be selective. In etching conditions that require the application of a DC voltage to the upper electrode 34, the variable DC power supply 50 and the release switch 52 shown in FIG. 41 are turned on, and a DC voltage application to the upper electrode 34 is particularly necessary. It is only necessary to turn off the variable DC power supply 50 and the re-release switch 52 in the fitting conditions.
  • FIG. 47 A schematic diagram is shown in FIG. In FIG. 47, where the capacitors 501, 502, and 503 are electrically formed, a dielectric material actually enters, and the upper electrode 34 is connected to the processing container 10 and the ground conductor 10 a via the dielectric material. DC floating.
  • the high frequency power applied to the lower electrode 16 from the high frequency power supplies 88 and 89 reaches the upper electrode 34 through the processing space, and is grounded through the capacitors 501, 502, and 503 and the grounded processing container 10 and the ground conductor. Reach 10a.
  • variable DC power supply 50 and the release switch 52 are turned off and no DC voltage is applied to the upper electrode 34, the upper electrode 34 can be changed to either the ground state or the DC floating state. It is good.
  • a force switch (variable device) in which the ground electrode 10a and the upper electrode 34 are short-circuited by a switch (variable device) 504 and the upper electrode 34 is grounded.
  • 504 may be turned off and the upper electrode 34 may be in a DC floating state.
  • the portion where the capacitor 501 is electrically formed may be configured such that the capacitance can be electrically varied. As a result, the potential of the upper electrode can be varied.
  • a detector 55 that detects the state of plasma from the plasma detection window 10a is provided, and the controller 51 controls the variable DC power supply 50 based on the detection signal. Accordingly, it is possible to automatically apply a DC voltage that effectively exhibits the above-described function to the upper electrode 34. Further, a detector for detecting the sheath thickness or a detector for detecting the electron density may be provided, and the controller 51 may control the variable DC power supply 50 based on the detection signal.
  • the selectivity to the film (SiC, SiN, etc.) can be increased.
  • the selection ratio with respect to the mask can be increased.
  • the etching rate of the insulating film can be increased.
  • Additive gas such as 2 or a combination of Z dilution gas
  • 2 Additive gas such as 2 or a combination of Z dilution gas
  • a GND block (conductive member) 91 which is a DC grounded part, is provided in the deposition shield 11 on the chamber wall side in this embodiment.
  • This GND block 91 is exposed to the plasma surface, and is electrically connected to the conductive part inside the deposition shield 11, and the DC voltage and current applied from the variable DC power supply 50 to the upper electrode 34 passes through the processing space. Then, it reaches the GND block 91 and is grounded via the deposition shield 11.
  • the GND block 91 is a conductor and is preferably a silicon-containing material such as Si or SiC.
  • GND block 91 By this GND block 91, electrons accumulated in the upper electrode 34 can be released, and abnormal discharge can be prevented.
  • the protrusion length of GND block 91 is preferably 10mm or more U ,.
  • a method of neutralizing electrons by periodically applying a pulse having a reverse polarity is also effective.
  • the GND block 91 is provided in the plasma formation region, the position thereof is not limited to the position shown in FIG. 1.
  • the susceptor 16 may be provided around the susceptor 16 as shown in FIG.
  • it may be provided near the upper electrode 34, for example, in the form of a ring outside the upper electrode 34, as shown in FIG.
  • Y 2 O or polymer coated on the deposition shield 11 etc. will fly, and this will be the GND block 91
  • the GND block 91 is Y O etc.
  • the adjacent parts which are preferably located away from the member covered with 2 3 are preferably Si-containing materials such as Si and Sekiei (SiO 2).
  • Si-containing materials such as Si and Sekiei (SiO 2).
  • the length L of the portion under the GND block 91 of the Si-containing member 93 is preferably equal to or longer than the protruding length M of the GND block 91.
  • a high temperature is effective for the upper electrode 34, but the upper electrode 34 is supplied with high-frequency power for plasma formation, and the temperature in the vicinity of the upper electrode 34 increases. Therefore, it is also preferable to provide it near the upper electrode 34 as shown in FIG. In this case, in particular, as shown in FIG. 52, it is more preferable to provide a ring shape outside the upper electrode 34.
  • the GND block 91 is provided with a switching mechanism 53 for switching the connection of the GND block 91 between the variable DC power supply 50 side and the ground line so that a voltage can be applied from the variable DC power supply 50.
  • a grounded conductive auxiliary member 91b is provided for allowing a DC electron current generated when a negative DC voltage is applied to 91 to flow in.
  • the switching mechanism 53 includes a first switch 53a for switching the connection of the variable DC power supply 50 between the matching unit 46 side and the GND block 91 side, and a second switch 53b for turning on / off the connection of the GND block 91 to the ground line. And have.
  • the GND block 91 is provided in a ring shape on the outside of the upper electrode 34, and the conductive auxiliary member 91b is provided on the outer periphery of the susceptor 16, and this arrangement is preferable. It may not be an arrangement.
  • the first switch 53a of the switching mechanism 53 is connected to the upper electrode 34 side, and the variable DC power supply 50 is connected to the upper electrode 34 side.
  • the second switch 53b is turned on, and the GND block 91 is connected to the ground line side.
  • the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is grounded via the plasma to the GND block 91 and the upper electrode 34. It flows into the conductive auxiliary member 91b (the direction of positive ion current flow is reversed).
  • the surface of the GND block 91 is covered with deposits such as Y 2 O and polymer as described above.
  • the second switch Hb 53b may be turned off and the GND block 91 may be in a floating state.
  • the DC electron current flows from the upper electrode 34 into the conductive auxiliary member 9 lb via the plasma (the direction of the positive ion current is reversed).
  • a self-bias voltage is applied to the GND block 91, and positive ions are incident with the corresponding energy, so that the GND block 91 can be cleaned during plasma etching.
  • the applied DC voltage may be small, and the DC electron current at that time is small.
  • the conductive auxiliary member 91b is not necessarily required when electric charges are not accumulated in the GND block 91 due to the leakage current.
  • connection switching mechanism 57 for switching the connection is provided so that the upper electrode 34 is connected and the negative electrode is connected to the GND block 91.
  • the connection switching mechanism 57 includes a first switch 57a for switching the connection of the variable DC power supply 50 to the upper electrode 34 between the positive electrode and the negative electrode, and a connection of the variable DC power supply 50 to the GND block 91 between the positive electrode and the negative electrode.
  • a second switch 57b for switching and a third switch 57c for grounding the positive electrode or the negative electrode of the variable DC power supply 50 are provided.
  • the first switch 57a and the second switch 57b are configured such that when the first switch 57a is connected to the positive electrode of the variable DC power supply 50, the second switch 57b is connected to the negative electrode of the DC power supply, and the first switch 57a When connected to the negative electrode of the variable DC power supply 50, an interlocking switch is configured so that the second switch 57b is turned off.
  • the first switch 57a of the connection switching mechanism 57 is connected to the negative electrode side of the variable DC power supply 50, so The negative electrode of the flow power supply 50 is connected to the upper electrode 34 side, the second switch 57b is connected to the positive electrode side of the variable DC power supply 50, and the third switch 57c is connected to the positive electrode side of the variable DC power supply 50 ( The positive pole of the variable DC power supply 50 is grounded), and the GND block 91 is connected to the ground line side.
  • the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is grounded from the upper electrode 34 through the plasma.
  • Flows into 91 the direction of positive ion current flow is reversed).
  • the surface of the GND block 91 is YO or polymer as described above.
  • the first switch 57a of the connection switching mechanism 57 is switched to the positive side of the variable DC power supply 50, and the second switch 57b is switched to the negative side of the variable DC power supply 50. Further, the third switch 57c is not connected. In this state, power is supplied from the first high frequency power supply 48 to the upper electrode 34 to form a cleaning plasma.
  • the GND block 91 has a negative polarity of the variable DC power supply 50 and the upper electrode 34 has a positive polarity of the variable DC power supply 50.
  • the variable DC power supply 50 is apparently in a floating state. Generally, the power supply is safe because it is provided with a frame ground line.
  • the third switch 57c may be left unconnected, and may remain connected to the positive side of the variable DC power supply 50 (the positive electrode of the variable DC power supply 50 is grounded).
  • the first high frequency power supply 48 supplies power to the upper electrode 34 to form a cleaning plasma
  • the GND block 91 is applied with a DC voltage from the negative electrode of the variable DC power supply 50, and the DC electron current is generated from the plasma.
  • the positive ions then flow into the GND block 91 from the GND block 91 to the upper electrode 34.
  • the surface of the GND block 91 can be ion-sputtered by adjusting the DC voltage and controlling the incident energy of positive ions to the GND block 91.
  • a DC voltage is applied to the GND block 91 during cleaning, but an AC voltage may be applied.
  • a power source voltage may be applied according to the force applied to the GND block 91 using the variable DC power source 50 for applying a direct voltage to the upper electrode.
  • the GND block 91 is grounded during plasma etching and a negative DC voltage is applied to the GND block 91 during cleaning.
  • the present invention is not limited to this.
  • a negative DC voltage may be applied to the GND block 91 during plasma etching.
  • the above cleaning may be replaced during ashing.
  • a nopolar power source is used as the variable DC power source 50, a complicated switching operation like the connection switching mechanism 57 is not necessary.
  • switching mechanism 53 in the example of FIG. 53 and connection switching mechanism 57 in the example of FIG. 56 is performed based on a command from control unit 95.
  • the GND block 91 is covered with another member and caused to move relative to each other, thereby creating a new surface of the GND block 91. It is effective to make it exposed.
  • the GND block 91 is made a relatively large area, and a part of the surface of the GND block 91 on which the plasma hits moves in the direction of the arrow. It can be mentioned that the portion exposed to the plasma on the surface of the GND block 91 can be changed by covering with a possible mask material 111 and moving the protective plate 111.
  • a cylindrical GND block 191 is rotatably provided, and a mask material is provided so that only a part of the outer peripheral surface of the GND block 191 can be exposed. It is also effective to change the part exposed to plasma by covering with 112 and rotating the GND block 191.
  • the drive mechanism can be provided outside the chamber 10.
  • Mask materials 111 and 112 are high in plasma resistance, such as ceramics such as YO. An aluminum plate sprayed with aluminum can be used.
  • a part of the GND block 91 is covered with another member. It is effective to use a member that is gradually etched by plasma as a member of this, so that the GND block 91 always loses its conductivity and the surface is exposed. For example, as shown in FIG. 23A described in the first embodiment, a part of the surface of the GND block 91 is covered with a stepped protective film 113 so that the initial exposed surface 91c has a grounding function. If the plasma treatment is performed for 200 hours in this state, for example, as shown in FIG.
  • the initial exposed surface 91c of the GND block 91 loses its conductivity.
  • the thin part of the film 113 is etched so that a newly exposed surface 91d of the GND block 91 appears.
  • the newly exposed surface 91d exhibits a grounding function.
  • Such a protective film 113 has the effect of preventing the wall surface material from adhering to the GND block 91 and the effect of reducing the inflow of ions to the GND block 91 to prevent contamination.
  • a protective film 113a in which a large number of thin layers 114 are stacked and each layer is slightly shifted.
  • the time that one layer 114 disappears by etching with plasma is Te and the time until the exposed surface of the GND block 91 is contaminated and loses conductivity is T p, it is always Te
  • Te the time that one layer 114 disappears by etching with plasma
  • T p the time until the exposed surface of the GND block 91 is contaminated and loses conductivity
  • the protective films 113 and 113a those which are appropriately etched by plasma are preferable.
  • a photoresist film can be preferably used.
  • a plurality of GND blocks 91 are provided, and the ones having the grounding function are sequentially switched.
  • the first embodiment As described in FIG. 25, three GND blocks 91 are provided, and a switching switch 115 is provided so that only one of them is grounded. Further, a current sensor 117 is provided on the common ground line 116, and a direct current flowing therethrough is monitored. The current of GND block 91, which is grounded V, is monitored by current sensor 117, and when the current value becomes lower than a predetermined value, it is switched to another GND block 91 not to perform the grounding function. It should be noted that the number of GND blocks 91 may be selected appropriately within a range of about 3 to 10.
  • the GND block that is not grounded is in an electrically floating state. From the viewpoint of protecting the unused GND block, instead of providing the switch 115, You may be able to apply potential!
  • An example is shown in FIG. 26 described in the first embodiment.
  • a variable DC power source 119 is provided for each ground line 118 individually connected to each GND block 91. From this, the voltage of the variable DC power supply 119 corresponding to the GND block 91 that should exhibit the grounding function becomes OV, and the voltage of the other GND block 91 is not affected by the voltage. For example, the voltage of the corresponding variable DC power supply 119 is controlled so as to be 100V.
  • the GND block 119 connected thereto can function as an electrode for applying a DC voltage to the plasma. Can do. However, even if this value is too large, the plasma will be adversely affected. Further, by controlling the voltage applied to the GND block 119, a tally effect on the GND block 119 can be achieved.
  • the first high-frequency power is 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 80 MHz, 100 MHz
  • the second high frequency power can be 380kHz, 800kHz, 1 ⁇ , 2MHz, 3.2MHz, 13.56MHz, and can be used in an appropriate combination depending on the process. it can.
  • the force described above using the plasma etching apparatus as an example is also applicable to an apparatus for processing a semiconductor substrate using another plasma.
  • An example is a plasma film forming apparatus.
  • FIG. 58 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 4 of the present invention.
  • FIG. 58 the same components as those in FIG. 58.
  • variable DC power source 110 is connected to the upper electrode 34 and the wall (ground potential) of the chamber 10, and the variable DC power source 114 is connected to the susceptor 16 and the wall of the chamber 10. That is, one pole of variable DC power supply 110 is connected to upper electrode 34, the other pole is connected to the wall of channel 10, and one pole of variable DC power supply 114 is connected to susceptor 16, The other pole is connected to the wall of Channo 10.
  • variable DC power supplies 110 and 114 can be turned on / off by on / off switches 112 and 116, respectively.
  • variable DC power supplies 110 and 114 and on / off switches 112 and 116 are controlled by a controller (not shown).
  • the matching unit 88 incorporates a filter similar to the filter 58 of the matching unit 46, and the variable DC power source 114 is connected to the susceptor 16 through this filter.
  • the focus ring 24 outside the wafer is connected to the susceptor 16 in a DC manner, and the amount of DC applied to the susceptor 16 is optimized to correct a drop in the etching rate at the wafer edge and achieve good uniformity within the wafer surface. Etching can be performed, and the number of chips taken from one wafer can be increased.
  • the polarities of the variable DC power supplies 110 and 114 may be reversed.
  • an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 59 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 5 of the present invention. Also in FIG. 59, the same components as those in FIG.
  • variable DC power source 118 is connected to the upper electrode 34 and the susceptor 16 serving as the lower electrode through the filters in the matching units 46 and 88, respectively. That is, one pole of the variable DC power source 118 is connected to the upper electrode 34, and the other pole is connected to the susceptor 16 that is the lower electrode.
  • the variable DC power supply 118 can be turned on and off by an on and off switch 120.
  • the variable DC power source 118 and the on / off switch 120 are controlled by a controller (not shown).
  • a predetermined DC voltage is applied from the DC power source 118 to the upper electrode 34 and the susceptor 16 when plasma is formed as in the first embodiment.
  • the DC voltage is applied to the upper electrode 34, the effect of applying the DC voltage in the first embodiment can be obtained, and the electric field is linearly applied to the upper electrode 34 force wafer W.
  • the electrons on the electrode can be accelerated efficiently. Both electrodes float like a DC against the chamber wall! Therefore, even if a potential difference is applied between the electrodes, the plasma potential is not directly affected. Therefore, abnormal discharge does not occur on the chamber wall or the like. Also, there is no need to provide a GND block on the chamber wall.
  • variable DC power supply 118 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 60 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 6 of the present invention. Also in FIG. 60, the same components as those in FIG.
  • the conductor 42a is embedded in the insulating shielding member 42, and the variable DC power source 122 is connected to the upper electrode 34 and the conductor 42a.
  • a conductor 26a is embedded in an inner wall member 26, which is an insulator, and a variable DC power source 126 is connected to the susceptor 16 and the conductor 26a. That is, one pole of variable DC power supply 122 is connected to upper electrode 34, the other pole is connected to conductor 42a, one pole of variable DC power supply 126 is connected to susceptor 16, and the other pole is connected to conductor 42a. Connected to conductor 26a.
  • These variable DC power supplies 122 and 126 are turned on and turned off when they are turned on and off, respectively.
  • variable DC power sources 122 and 126 and on / off switches 124 and 128 are controlled by a controller (not shown).
  • the DC power supply 126 is built in the matching unit 88 and connected to the susceptor 16 through a filter.
  • a predetermined DC voltage is applied from the DC power source 122 to the upper electrode 34 and the conductor 42a.
  • a predetermined DC voltage is applied from 126 to the susceptor 16 and the conductor 26a.
  • the applied voltage is further optimized so that the insulating shielding member 42
  • the acceleration of ions occurs due to the difference between the potential leaked to the inner wall member 26 and the plasma potential, and the adhesion of deposits (depots) to the insulating shielding member 42 and the inner wall member 26 that is an insulator is suppressed. Can do.
  • variable DC power sources 122 and 126 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 61 is a schematic cross-sectional view schematically showing a main part of a plasma etching apparatus according to Embodiment 7 of the present invention.
  • FIG. 61 the same components as those in FIG. 1 and FIG. The description will be omitted.
  • the conductor 42a is embedded in the insulating shielding member 42, and the conductor 26a is embedded in the inner wall member 26.
  • the variable DC power supply 130 is connected to the conductor 42a and the wall (ground potential) of the chamber 10, and the variable DC power supply 134 is connected to the conductor 26a and the wall (ground potential) of the chamber 10. That is, one pole of variable DC power supply 130 is connected to conductor 42a, the other pole is connected to the wall of chamber 10, one pole of variable DC power supply 134 is connected to conductor 26a, and the other pole is connected to conductor 26a. Connected to chamber 10 wall.
  • These variable DC power supplies 130 and 134 can be turned on and off by on and off switches 132 and 136, respectively.
  • the variable DC power supplies 130 and 134 and the on / off switches 132 and 136 are controlled by a controller (not shown).
  • a predetermined DC voltage is applied from the variable DC power source 130 to the conductor 42a, and the variable DC power source 134 A predetermined DC voltage is applied to the conductor 26a.
  • the applied voltage it is possible to change the potential that appears on the surfaces of the insulating shielding member 42 and the inner wall member 26.
  • an ion acceleration voltage is generated due to a potential difference from the plasma potential, and the ion energy incident on the insulating shielding member 42 and the inner wall member 26 is deposited on the insulating shielding member 42 and the inner wall member 26 that is an insulator. It is possible to suppress adhesion of objects (depots).
  • variable DC power supplies 132 and 134 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 62 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 8 of the present invention.
  • the same components as those in FIGS. 1 and 60 are denoted by the same reference numerals, and description thereof is omitted.
  • the conductor 42a is embedded in the insulating shielding member 42, and the conductor 26a is embedded in the inner wall member 26. And conductor 42a and conductor 26a A variable DC power supply 138 is connected. That is, one pole of the variable DC power supply 138 is connected to the conductor 42a, and the other pole is connected to the conductor 26a.
  • the variable DC power source 138 can be turned on and off by an on / off switch 140. Note that the variable DC power supply 138 and the on / off switch 140 are controlled by a controller (not shown).
  • a predetermined DC voltage is applied from the variable DC power source 138 to the conductor 42a and the conductor 26a when plasma is formed in the same manner as in the first embodiment.
  • the surface potential of the insulating shielding member 42 and the inner wall member 26 is changed, and by the ions accelerated by the potential difference from the plasma potential, the insulating shielding member 42 and the insulator are changed.
  • the deposit (depot) can be prevented from adhering to an inner wall member 26.
  • electrons and ions can be accelerated toward the electrode, so that the plasma can be confined.
  • variable DC power supply 138 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 63 is a schematic cross-sectional view schematically showing a main part of the plasma etching apparatus according to the ninth embodiment of the present invention. Also in FIG. 63, the same components as those in FIG.
  • the deposition shield 11 that is a floating wall has a structure having a deposition shield 1 la and a deposition shield 1 lb that are insulated from each other, and the variable DC power supply 142 is provided between the upper electrode 34 and the deposition shield 11 a.
  • the variable DC power supply 146 is connected to the lower electrode susceptor 16 and the deposition shield l ib. That is, one pole of the variable DC power supply 142 is connected to the upper electrode 34, the other pole is connected to the deposition shield 11a, one pole of the variable DC power supply 146 is connected to the susceptor 16, and the other pole The pole is connected to the depot shield l ib.
  • variable DC power sources 142 and 146 can be turned on and off by on and off switches 144 and 148, respectively. Note that these variable DC power supplies 142 and 146 and on / off switches 144 and 148 are controlled by a controller (not shown). Similarly to the fourth embodiment, the DC power source 148 is built in the matching unit 88 and connected to the susceptor 16 through a filter.
  • a predetermined DC voltage is applied from the variable DC power source 142 to the upper electrode 34 and the deposition shield 1 la. Then, a predetermined DC voltage is applied from the variable DC power source 146 to the susceptor 16 and the deposition shield l ib.
  • the DC voltage is applied to the upper electrode 34, the effect of applying the DC voltage in the first embodiment can be obtained.
  • the deposition shield 11, the upper electrode 34, and the susceptor 16 that is the lower electrode float from the lands, the potential difference between the deposition shield 11 and the upper electrode 34 and the deposition shield 11 and the susceptor 16 is applied. Naturally determined by the voltage value.
  • the arc prevention effect can be obtained without exposing the ground to the plasma, and the ion is accelerated by the potential difference between the two, and adhesion of deposits (depots) to the deposition shield 11 can be suppressed.
  • plasma confinement can be achieved by creating a potential difference in the exhaust space by optimizing the potential direction and voltage.
  • variable DC power supplies 142 and 146 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 64 is a schematic cross-sectional view schematically showing a main part of the plasma etching apparatus according to the tenth embodiment of the present invention.
  • the same components as those in FIGS. 1 and 63 are denoted by the same reference numerals, and description thereof is omitted.
  • the deposit shield 11 which is a floating wall is structured to have a deposit shield 1 la and a deposit shield 1 lb which are insulated from each other, and the deposit shield 11a and the channel 10
  • the variable DC power supply 150 is connected to the wall of the wall, and the variable DC power supply 154 is connected to the wall of the deposit shield l ib and the chamber 10. That is, one pole of variable DC power supply 150 is connected to depot shield 11a, and the other pole is connected to the wall of Channo 10. One pole of the variable DC power supply 154 is connected to the deposition shield l ib, and the other pole is connected to the wall of the chamber 10.
  • These variable DC power supplies 150 and 154 can be turned on and off by on and off switches 152 and 156, respectively.
  • These variable DC power sources 150 and 154 and on / off switches 152 and 156 are controlled by a controller (not shown).
  • the wall of the chamber 10 that is the floating wall and the depot shield 11a that is the floating wall from the variable DC power source 150.
  • a predetermined DC voltage is applied to the variable DC power supply 154 force, and the DC constant voltage of the depot shield l ib which is a floating wall and the chamber 10 which is a ground wall is applied.
  • adhesion of deposits (depots) to the deposition shield 11 can be suppressed by optimally applying the potential of the deposition shield to obtain an ion acceleration voltage.
  • Figure 64 shows an example in which a horizontal electric field is applied to prevent the plasma from spreading downward.
  • variable DC power supplies 150 and 154 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 65 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 11 of the present invention.
  • the same components as those in FIGS. 1 and 63 are denoted by the same reference numerals, and description thereof is omitted.
  • the deposition shield 11 which is a floating wall is structured to have a deposition shield 1 la and a deposition shield 1 lb which are insulated from each other, and the deposition shield 11a and the deposition shield l ib Is connected to a variable DC power supply 158. That is, one pole of the variable DC power supply 158 is connected to the deposition shield 11a, and the other pole is connected to the deposition shield l ib.
  • the variable DC power source 158 can be turned on and off by an on / off switch 160.
  • the variable DC power supply 158 and the on / off switch 160 are not shown. It is controlled by a controller.
  • a predetermined DC voltage is applied from the variable DC power supply 158 to the deposition shield 1 la and the deposition shield l ib. Applied.
  • the ions are accelerated to suppress deposits (depots) from adhering to the insulating shielding member 42 and the inner wall member 26 that is an insulator. can do.
  • an electric field perpendicular to the exhaust direction ions and electrons are annihilated by hitting the deposition shield, that is, a plasma confinement effect can be obtained.
  • variable DC power supply 158 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 66 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 12 of the present invention.
  • the same components as those in FIG. 66 are identical to FIG. 66.
  • a variable DC power supply 162 is connected to the upper electrode 34 and the conductive focus ring (correction ring) 24. That is, one pole of the variable DC power supply 162 is connected to the upper electrode 34, and the other pole is connected to the focus ring 24 placed above the susceptor 16 that is the lower electrode.
  • the variable DC power supply 162 can be turned on / off by an on / off switch 164.
  • the variable DC power supply 162 and the on / off switch 164 are controlled by a controller (not shown).
  • the conductive focus ring 24 is electrically grounded.
  • a predetermined DC voltage is applied from the variable DC power supply 162 to the upper electrode 34 and the focus ring 24.
  • the predetermined voltage can be applied to uniformly distribute the wafer surface. Perform good etching Is possible.
  • variable DC power supply 162 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 67 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 13 of the present invention.
  • the same components as those in FIG. 67 the same components as those in FIG. 67.
  • a cooling ring 166 that can be cooled is provided at a position adjacent to the UE and W between the focus ring 24 that is a correction ring and the electrostatic chuck 18, and the focus ring 24 and the chamber 10 are
  • a variable DC power supply 167 is connected to the wall. That is, one pole of the variable DC power supply 167 is connected to the focus ring 24 and the other pole is connected to the wall of the chamber 10.
  • a low-pass filter (LP F) 169 is interposed in the power supply line from the power source 167 to the focus ring 24.
  • a switch 168 is provided in parallel with the variable DC power supply 167.
  • the cooling ring 166 can be cooled by the cooling mechanism 170.
  • the temperature of the cooling ring 16 6 and the focus ring 24 is measured by a temperature measurement system 171.
  • the temperature control unit 172 controls the temperature of the cooling ring 16 6 and the focus ring 24 by inputting the signal from the temperature measurement system 171 and outputting the control signal to the cooling mechanism 170, the variable DC power supply 167, and the switch 168.
  • the cooling mechanism include a mechanism that supplies a heat transfer gas such as He gas between the cooling ring 166 and the susceptor. In this case, by changing the supply pressure of the heat transfer gas, it is possible to control the cooling ring temperature by changing the degree of cold transfer of the refrigerant circulating in the susceptor 16.
  • the edge portion of the wafer W is cooled by the cooling ring 166 so that the edge portion of the wafer W is cooled. It is possible to prevent deposits from adhering, and by applying a DC voltage to the focus ring 24, the etching characteristics deteriorate due to temperature drop. Can be prevented.
  • a focus ring 24 is usually provided adjacent to the outer periphery of the wafer W as shown in FIG. 68, but the temperature of the focus ring 24 rises during the plasma processing. Therefore, the deposit 173 adheres to the edge portion and the back surface of the wafer W.
  • the focus ring 24 is cooled in order to prevent deposition of deposits, such deposit deposition is reduced.
  • Etching characteristics at the edge of the wafer W (especially the etching rate of the resist, etc.) deteriorates, and deposits and etching occur. The characteristic has a trade-off relationship.
  • the depot is attached to the cooling ring 166 instead of attaching to the edge portion of the wafer W. Therefore, deposition of deposits on the edge and back surface of the wafer W can be prevented.
  • the cooling ring 166 can be prevented from lowering the temperature in the vicinity of the wafer edge, and the etching characteristics are not lowered.
  • temperature control is not always necessary.
  • the temperature of the cooling ring 166 may be lower than the temperature of the edge portion of the wafer W.
  • only the focus ring 24 may be measured and controlled. Therefore, as shown in FIG. 69, a good heat conductor such as silicon rubber 174 may be interposed between the cooling ring 166 and the susceptor 16 so that the cooling member 166 can be more easily cooled.
  • a dielectric material for example, a member 174a having an A1N force, is sandwiched from above and below by silicon rubber 174 or the like so that high-frequency power is hardly transmitted and only heat is transmitted. This can prevent the cooling ring 166 from being heated by high-frequency power as much as possible, and can further increase the degree of cooling.
  • a direct current voltage is applied to the focus ring 24 through the susceptor 16. It can also be applied.
  • the focus ring 24 and the susceptor 16 are electrically connected by a contact pin 176 or the like, and a DC voltage is applied to the susceptor 16 via the power supply line of the high frequency power supply 90. If the temperature rise due to the high-frequency power supplied through the susceptor 16 cannot be ignored, a dielectric member 17 is interposed between the cooling ring 166 and the susceptor 16 as shown in the figure, so that the high-frequency power to the cooling ring 166 is increased. Power can be cut off to suppress temperature rise.
  • the polarity of the DC voltage applied to the focus ring 24 may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • AM modulation or FM modulation.
  • Fig. 74 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 14 of the present invention.
  • FIG. 74 the same components as those in FIG. 74.
  • the focus ring which is a correction ring, is separated into an inner first focus ring 24a adjacent to the electrostatic chuck 18 and an outer second focus ring 24b.
  • a variable DC power supply 178 is connected to the focus ring 24a and the second focus ring 24b. That is, one pole of the variable DC power supply 178 is connected to the first focus ring 24a, and the other pole is connected to the second focus ring 24b.
  • a low-pass filter (LPF) 180 is interposed in the power supply line from the power supply 178.
  • a switch 182 is provided in parallel with the variable DC power supply 178.
  • the variable DC power supply is applied to the inner first focus ring 24a and the outer second focus ring 24b.
  • DC voltage is applied.
  • the inner first four forces The voltage (voltage direction) applied to the sling 24a and the second outer focus ring 24b can be made different and the value can be changed, so that the plasma around the wafer W can be controlled, and the wafer can be controlled. It is possible to reduce the deterioration of process characteristics at the edge of W. For example, it is possible to reduce the etching rate at the edge of the wafer W, the bending of the etching shape at the edge of the wafer W, and the like.
  • the first focus ring 24a is allowed to function as a cooling ring by providing the same cooling mechanism as in the thirteenth embodiment to cool the first focus ring 24a, a wello It is also possible to prevent deposits from adhering to the edge and back of W. Further, the temperature of the first and second focus rings 24a and 24b is measured in the same manner as in the embodiment 13, and the temperature controller controls the voltage of the variable DC power supply so that these temperatures become predetermined values as in the embodiment 13. Or control the polarity. If a cooling mechanism is provided, control the cooling of the first focus ring 24a.
  • the first focus ring 24a and the second focus ring 24b may be arranged vertically near the edge of the W and W. In this way, the same effect can be obtained.
  • the polarity of the DC voltage applied to the first and second focus rings 24a, 24b may be reversed, or an AC voltage may be applied instead of the DC voltage.
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 76 is a schematic cross-sectional view schematically showing the main part of the plasma etching apparatus according to Embodiment 15 of the present invention.
  • the same components as those in FIGS. 1 and 74 are denoted by the same reference numerals, and description thereof is omitted.
  • the focus ring which is a correction ring, is separated into an inner first focus ring 24a adjacent to the electrostatic chuck 18 and an outer second focus ring 24b.
  • first variable DC power supply 184 and second variable DC power supply 186 are connected to first focus ring 24a and second focus ring 24b, respectively.
  • one pole of the first variable DC power supply 184 is connected to the first focus ring 24a
  • one pole of the second variable DC power supply 186 is connected to the second focus ring 24b.
  • a first low-pass filter (LPF) 188 and a second low-pass filter (LPF) 190 are interposed in the feed lines from the first and second variable DC power supplies 184 and 186, respectively.
  • Switches 185 and 187 are provided in parallel with the first variable DC power supply 184 and the second variable DC power supply 186, respectively.
  • the first variable is applied to the inner first focus ring 24a and the outer second focus ring 24b.
  • DC voltage is applied independently from DC power supply 184 and second variable DC power supply 186.
  • the voltages (voltage directions) applied to the two can be made different, and those values can be freely changed independently. Therefore, the outer periphery of the wafer W can be changed more than in the case of the fourteenth embodiment.
  • the plasma can be controlled with high accuracy, and at the edge of the wafer W, the above-mentioned process characteristics such as the decrease in the etching rate at the edge of the wafer W and the bending of the etching shape at the edge of the wafer W are affected. It can be reduced more effectively.
  • the first focus ring 24a can function as a cooling ring. It is also possible to prevent deposits from adhering to the edge and back of Ueno and W. Further, the temperature of the first and second focus rings 24a and 24b is measured in the same manner as in the embodiment 13, and the temperature controller controls the voltage of the variable DC power supply so that these temperatures become predetermined values as in the embodiment 13. Or control the polarity. If a cooling mechanism is provided, control the cooling of the first focus ring 24a.
  • the other poles of the first variable power supply 184 and the second variable power supply 186 may be connected to the upper electrode 34 via a low-pass filter (LPF) 192.
  • LPF low-pass filter
  • the first focus ring 24a and the second focus ring 24b may be arranged vertically in the vicinity of the edge of the wafer W. This also has the same effect. Obtainable.
  • the polarity of the DC voltage applied to the first and second focus rings 24a, 24b may be reversed, or an AC voltage may be applied instead of the DC voltage. .
  • the voltage may be pulsed or modulated such as AM modulation or FM modulation.
  • FIG. 79 is a schematic cross-sectional view schematically showing a plasma etching apparatus according to Embodiment 16 of the present invention.
  • a lower RF1 frequency application type plasma etching apparatus that applies a high frequency (RF) power of, for example, 13.56 MHz for plasma generation from the first high frequency power supply 200 to the susceptor 16 that is the lower electrode.
  • RF high frequency
  • the variable DC power supply 204 is connected to the upper electrode 234 ′ so that a predetermined direct current (DC) voltage is applied.
  • FIG. 79 is a diagram omitting details, but the plasma etching apparatus of this embodiment is a lower RF 2 frequency application type plasma etching apparatus of Embodiment 3 except that only one frequency of high frequency power is applied to the lower part. Is the same.
  • the same effect as that of the plasma etching apparatus of Embodiment 3 can be obtained by applying a DC voltage from the upper electrode 234 and the variable DC power supply 204. That is, (1) the absolute value of the self-bias voltage of the first electrode is increased to increase the sputtering effect on the surface of the first electrode, and (2) the plasma sheath at the first electrode is expanded, and the plasma formed is reduced. (3) Effect of irradiating the substrate to be processed with electrons generated in the vicinity of the first electrode, (4) Effect of controlling plasma potential, (5) Effect of increasing electron (plasma) density, (6 ) At least one of the effects of increasing the plasma density in the center can be achieved.
  • the apparatus configuration and method related to the application of the DC voltage to the upper electrode described in Embodiments 1 to 3 can be applied to the plasma etching apparatus of this embodiment.
  • the conductive member of the third embodiment, the switch described in FIGS. 47 to 49 of the third embodiment, and the combination of process gases can be naturally applied to the plasma etching apparatus of the present embodiment. It is.
  • the apparatus configuration and method relating to the application of the direct current voltage to the upper electrode and members other than the upper electrode described in the above embodiments 4 to 15 are applied to the plasma etching apparatus of this embodiment. Can do.
  • FIG. 80 is a schematic cross-sectional view schematically showing a plasma etching apparatus according to Embodiment 17 of the present invention.
  • a first high frequency (RF) power is applied from the first high frequency power supply 48 ′ to the lower electrode susceptor 16 and a second high frequency (RF) power is supplied from the second high frequency power supply 90.
  • RF high frequency
  • it is an upper RF 1 frequency lower RF 2 frequency type plasma etching apparatus that applies third high frequency power from the third high frequency power source 224 to the upper electrode 34, and a variable DC power source 50 is connected to the upper electrode 34 as shown in the figure.
  • a plasma etching device to which a predetermined direct current (DC) voltage is applied.
  • the high-frequency power source that outputs high-frequency power for plasma formation is the third high-frequency power source.
  • Preferred is a high frequency power supply and a second high frequency power supply.
  • the same effect as that of the plasma etching apparatus of Embodiment 3 can be obtained by applying a DC voltage from the variable DC power source 50 to the upper electrode 34. . That is, (1) the absolute value of the self-bias voltage of the first electrode is increased to increase the sputtering effect on the surface of the first electrode, and (2) the plasma sheath at the first electrode is expanded, and the plasma formed is reduced. (3) Effect of irradiating the substrate with electrons generated near the first electrode, (4) Effect of controlling plasma potential, (5) Effect of increasing electron (plasma) density, (6 ) At least one of the effects of increasing the plasma density in the center can be achieved.
  • the apparatus configuration and method related to the application of the DC voltage to the upper electrode described in Embodiments 1 to 3 can be applied to the plasma etching apparatus of this embodiment.
  • the apparatus configuration and method relating to the application of the direct current voltage to the upper electrode and members other than the upper electrode described in the above embodiments 4 to 15 are applied to the plasma etching apparatus of this embodiment. Can do.
  • the switching switch 226 can be used to connect the upper electrode 34 to the third high-frequency power source 224 and the variable DC power source 50, or to switch the grounding force.
  • Reference numeral 227 is a low-pass filter, and 228 is a high-pass filter.
  • the apparatus configuration and method related to application of a DC voltage to the upper electrode and members other than the upper electrode described in Embodiments 4 to 15 are applied to the plasma etching apparatus of Embodiments 2 and 3. You can also
  • a high frequency power of 60 MHz for plasma generation is applied to the lower electrode susceptor 16 from the first high frequency power supply 48 ′, and the second high frequency power supply 90 ′ is also applied.
  • a high-frequency power of 2 MHz for ion attraction may be applied, and a DC power source 198 may be applied to the susceptor 16 as the lower electrode.
  • a variable DC power source 202 connected to the lower electrode may be provided instead of the variable DC power source 204 connected to the upper electrode of FIG.
  • the DC voltage application method described in the first to fifteenth embodiments can be applied to the devices of the types shown in FIGS. 81 and 82.
  • the force described above using the plasma etching apparatus as an example is applicable to an apparatus for processing a semiconductor substrate using another plasma.
  • An example is a plasma film forming apparatus.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

 上部電極(34)および下部電極(16)との間に処理ガスのプラズマを生成してウエハ(W)にプラズマエッチングを施すプラズマエッチング装置であって、上部電極(34)に、その表面に対する適度なスパッタ効果が得られる程度にその表面の自己バイアス電圧Vdcの絶対値が大きくなり、かつ上部電極(34)におけるプラズマシースの厚さが、所望の縮小化プラズマが形成される程度に厚くなるような直流電圧を印加する可変直流電源(50)をさらに具備する。

Description

明 細 書
プラズマ処理装置及び方法
技術分野
[0001] 本発明は、半導体基板等の被処理基板にプラズマ処理を施すプラズマ処理装置、 プラズマ処理方法、及びコンピュータ読み取り可能な記憶媒体に関する。
背景技術
[0002] 例えば半導体デバイスの製造プロセスにおいては、被処理基板である半導体ゥェ ハに形成された所定の層に所定のパターンを形成するために、レジストをマスクとし てプラズマによりエッチングするプラズマエッチング処理が多用されている。
[0003] このようなプラズマエッチングを行うためのプラズマエッチング装置としては、種々の ものが用いられている力 その中でも容量結合型平行平板プラズマ処理装置が主流 である。
[0004] 容量結合型平行平板プラズマエッチング装置は、チャンバ内に一対の平行平板電 極 (上部および下部電極)を配置し、処理ガスをチャンバ内に導入するとともに、電極 の一方に高周波を印加して電極間に高周波電界を形成し、この高周波電界により処 理ガスのプラズマを形成して半導体ウェハの所定の層に対してプラズマエッチングを 施す。
[0005] 具体的には、上部電極にプラズマ形成用の高周波を印加してプラズマを形成し、 下部電極にイオン引き込み用の高周波を印加することにより、適切なプラズマ状態を 形成するプラズマエッチング装置が知られており、これにより、高選択比で再現性の 高いエッチング処理が可能である(例えば特開 2000— 173993号公報 (特許文献 1 ) )。
[0006] ところで、近年の微細加工の要求に対応して、マスクとして用いられるフォトレジスト の膜厚が薄くなり、使用されるフォトレジストも KrFフォトレジスト(すなわち、 KrFガス を発光源としたレーザー光で露光するフォトレジスト)から、約 0. 13 /z m以下のパタ ーン開口を形成することができる ArFフォトレジスト(すなわち、 ArFガスを発光源とし た、より短波長のレーザー光で露光するフォトレジスト)に移行されつつある。 [0007] しかしながら、 ArFフォトレジストは耐プラズマ性が低!、ため、 KrFレジストではほと んど発生しな力つたエッチング途中での表面の荒れが生じてしまうという問題がある。 このため、開口部の内壁面に縦筋 (ストライエーシヨン)が入ったり、開口部が広がる( CDの広がり)等の問題が生じ、フォトレジストの膜厚が薄いことと相俟って、良好なェ ツチング選択比でエッチングホールを形成することができな 、と 、う不都合が生じて いる。
[0008] 一方、この種のエッチング装置では、上部電極に供給したプラズマ生成用の高周 波電力のパワーが小さい場合には、エッチング終了後に上部電極に堆積物(デポ) が付着し、プロセス特性の変化やパーティクルの懸念がある。また、パワーが大きい 場合には、電極の削れが生じ、パワーが小さい場合とはプロセス特性が変化する。高 周波電源からのパワーはプロセスによって適正な範囲が決まるため、どのようなパヮ 一でもプロセスが変動しないことが望まれる。さらに、エッチングの際にはチャンバ壁 にデポが生じ、連続エッチングプロセスの場合等に、前の処理の影響が残存して次 の処理に悪影響を与えるメモリー効果が生じるため、チャンバ壁への堆積物の付着 の解消も求められる。
[0009] さらに、このような平行平板型容量結合型のエッチング装置では、チャンバ内の圧 力が高くかつ使用するエッチングガスが負性ガス (例えば、 CxFy、 Oなど)の場合に
2
、チャンバ中心部のプラズマ密度が低くなる力 このような場合にプラズマ密度をコン トロールすることは困難である。
[0010] 一方、半導体デバイスにおいて、配線の微細化や高速ィ匕の要請が高まるに伴い、 配線寄生容量の低減を図る目的で低誘電率の層間絶縁膜の利用が進められている
。このような低誘電率膜 (Low— k膜)の中でも、特に SiOC系膜が注目を集めている
[0011] SiOC系膜などの有機系の Low— k膜にプラズマエッチングを行なう場合、重要と なるのが窒化珪素などの下地膜やマスク層との選択比を十分に確保することである。 通常は、下地膜との選択性が比較的高 、処理ガスとしてフルォロカーボンガス系の 混合ガスが用いられるが、それだけで十分な選択比を得ることは難しい。そこで、 Si OC系膜のエッチングにお 、て、 Cu配線のノ リア層である窒化珪素膜を下地エッチ ストップ層として SiOC系層間絶縁膜をプラズマエッチングする際に、下地膜との選択 比を向上させるため、処理ガスとして C F /Ar/Nを Arの流量比が 80%以上とな
4 8 2
るように用い、窒化珪素膜との選択比を向上させるエッチング方法が提案されている (例えば、特開 2002— 270586号公報 (特許文献 2) )。
[0012] また、上記特許文献 2と同様に、窒化珪素膜を下地エッチストップ層として SiOC系 層間絶縁膜をプラズマエッチングする際に、処理ガスとして CHF /Ar/Nを用い
3 2 る第 1ステップのエッチングと、処理ガスとして C F /Ar/Nを用いる第 2ステップの
4 8 2
エッチングとを行な 、、マスクと窒化珪素膜との双方に対する選択比を向上させるェ ツチング方法も提案されている(例えば、特開 2004— 87875号公報 (特許文献 3) )
[0013] し力しながら、上述したように Cu配線のノ リア層として用いられている窒化珪素はバ リア性は良いものの比誘電率が 7. 0と高いため、 SiOC系膜などの Low— k膜の低誘 電率特性を十分に活用するためには、さらに比誘電率が低いバリア層が求められて おり、その一つとして比誘電率が 3. 5の炭化珪素(SiC)がある。
[0014] このような低誘電率バリア層であるである SiCを下地エッチストップ層として使用して 上層の被エッチング層である Low— k膜をエッチングする際にお 、ても、十分なエツ チング選択比を確保することが必要である。しかし、前記特許文献 2および特許文献 3に記載されたフルォロカーボン系の処理ガスを用いるプラズマエッチングでは、 Lo w—k膜と SiC層とのエッチング選択比を十分に確保することができない。
発明の開示
[0015] 本発明は力かる事情に鑑みてなされたものであって、レジスト層等の有機マスク層 の耐プラズマ性を高く維持して高選択比でエッチングすることができ、または電極へ の堆積物の付着を有効に解消することができ、または高速なエッチングができ、また は被処理基板に対して均一なエッチングを行うことができるプラズマ処理装置及びプ ラズマ処理方法を提供することを目的とする。
[0016] また、エッチストップ層としての下地 SiC層に対して高いエッチング選択比で Low— k膜のエッチングを行なうことができるプラズマ処理方法を提供することを目的とする。
[0017] 本発明の第 1の観点では、被処理基板が収容され、真空排気可能な処理容器と、 処理容器内に対向して配置される第 1電極および第 2電極と、前記第 1電極または第 2電極にプラズマ形成用の第 1の高周波電力を供給する第 1の高周波電力供給ュニ ットと、前記処理容器内に処理ガスを供給する処理ガス供給ユニットとを具備し、前記 第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処理基板の所定 の層をプラズマ処理するプラズマ処理装置であって、前記第 1電極または第 2電極に 直流電圧または交流電圧を印加する電源をさらに具備し、印加電極の表面に対する 所定のスパッタ効果が得られる程度にその表面の自己バイアス電圧 V の絶対値が
dc
大きくなるように、または、印加電極におけるプラズマシースの厚さを拡大させ、前記 印加電極の対向電極側に縮小されたプラズマが形成されるように、または、印加電極 近傍で生成した電子を前記被処理基板上に照射させるように、または、プラズマポテ ンシャルが所望の値に制御されるように、または、プラズマ密度を上昇させるように、 または、プラズマ密度の分布が所望のエッチングの均一性を得られる程度に均一に なるように、前記電源からの印加電圧、印加電流および印加電力のいずれかを制御 することを特徴とするプラズマ処理装置を提供する。
[0018] この場合に、前記直流電圧または交流電圧は、パルス状または変調されたものであ ることが好ましい。また、前記電源からの印加電圧、印加電流および印加電力のいず れカを制御する制御装置をさらに具備する構成とすることができる。また、生成された プラズマの状態を検出する検出器をさらに具備し、この検出器の情報に基づいて前 記制御装置が前記電源力 の印加電圧、印加電流および印加電力の 、ずれかを制 御してちょい。
[0019] 本発明の第 2の観点では、被処理基板が収容され、真空排気可能な処理容器と、 処理容器内に対向して配置される第 1電極および第 2電極と、前記第 1電極または第 2電極にプラズマ形成用の第 1の高周波電力を供給する第 1の高周波電力供給ュニ ットと、前記処理容器内に処理ガスを供給する処理ガス供給ユニットとを具備し、前記 第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処理基板の所定 の層をプラズマ処理するプラズマ処理装置であって、前記第 1電極または第 2電極に 直流電圧または交流電圧を印加する電源をさらに具備し、前記電源の一方の極が前 記第 1電極または第 2電極に接続され、他方の極が前記処理容器内の所定の部材 に接続され、前記電源からの印加電圧、印加電流および印加電力のいずれかを制 御することを特徴とするプラズマ処理装置を提供する。
[0020] この場合にお ヽて、前記所定の部材は、処理容器内に存在する絶縁部材に埋設さ れた導体、または処理容器の壁部を構成する部材、または前記第 2電極上の被処理 基板周縁に載置された補正リングであることが好ましい。また、他の直流電源をさらに 有し、前記他の直流電源の一方の極が前記第 1電極および第 2電極のうち前記直流 電源が接続されて ヽな ヽ電極に接続され、他方の極が前記所定の部材または前記 所定の部材力 絶縁された他の所定の部材に接続されて 、るように構成することが できる。また、前記他の直流電源が接続される前記他の所定の部材は、処理容器内 に存在する絶縁部材に埋設された導体、または処理容器の壁部を構成する部材、ま たは前記第 2電極上の被処理基板周縁に載置された補正リングであることが好まし い。
[0021] 本発明の第 3の観点では、被処理基板が収容され、真空排気可能な処理容器と、 処理容器内に対向して配置される第 1電極および第 2電極と、前記第 1電極または第 2電極にプラズマ形成用の第 1の高周波電力を供給する第 1の高周波電力供給ュニ ットと、前記処理容器内に処理ガスを供給する処理ガス供給ユニットとを具備し、前記 第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処理基板の所定 の層をプラズマ処理するプラズマ処理装置であって、前記処理容器内の所定の部材 に直流電圧または交流電圧を印加する電源をさらに具備することを特徴とするプラズ マ処理装置を提供する。
[0022] この場合にお 、て、前記直流電圧または交流電圧は、パルス状または変調された ものであってもよい。また、前記所定の部材は、処理容器内に存在する絶縁部材に 埋設された導体、または処理容器の壁部を構成する部材であることが好ましい。また 、前記電源の極を前記所定の部材に接続し、他方の極を前記処理容器内の前記所 定の部材力 絶縁された他の所定の部材に接続するように構成してもよい。また、前 記所定の部材および前記他の所定の部材は、処理容器内に存在する絶縁部材に埋 設された導体、または処理容器の壁部を構成する部材であることが好ま 、。
[0023] 本発明の第 3の観点において、他の電源をさらに有し、前記他の電源は、前記処理 容器内の前記所定の部材カゝら絶縁された他の所定の部材に接続して直流電圧また は交流電圧を印加することが好ましい。この場合において、前記他の所定の部材に 印加される直流電圧または交流電圧は、パルス状または変調されたものであってもよ い。
[0024] 本発明の第 3の観点において、前記電源が接続される前記所定の部材は前記第 1 電極近傍に配置され、前記他の直流電源が接続される前記他の所定の部材は前記 第 2電極近傍に配置されることが好ましい。この場合において、前記所定の部材およ び前記他の所定部材は、処理容器内に存在する絶縁部材に埋設された導体、また は処理容器の壁部を構成する部材であることが好ましい。
[0025] 本発明の第 3の観点において、前記第 1電極は上部電極であり、前記第 2電極は被 処理体を載置する下部電極であり、前記第 2電極上方の被処理基板の外周部の被 処理基板に隣接した位置に設置された冷却可能な冷却リングと、その外側または上 側に設置された補正リングとを有し、前記補正リングが直流電圧または交流電圧が印 カロされる前記所定の部材として機能するように構成してもよ 、。この場合にお 、て、 前記冷却リングは、前記冷却リングと前記第 2電極との間に放熱性が良好な部材を 配置するか、または前記冷却リングと前記第 2電極との間に熱伝達ガスを流すことに より冷却されることが好ましい。また、前記冷却リングの温度を計測する温度計測機構 と、前記冷却リングを冷却する冷却部と、冷却部による前記内側リングの冷却を制御 する冷却制御部とをさらに具備することが好ましい。また、前記第 2電極には高周波 電力が供給され、前記補正リングへの給電は、前記第 2電極を介して行われ、前記 冷却リングと前記第 2電極の間には誘電体部材が設けられているように構成してもよ い。
[0026] 本発明の第 3の観点において、前記第 1電極は上部電極であり、前記第 2電極は被 処理体を載置する下部電極であり、前記第 2電極上方の被処理基板の外周部の被 処理基板に隣接した位置に設置された第 1補正リングと、その外側または上側に設 置された第 2補正リングとを有し、前記第 1補正リングおよび第 2補正リングが直流電 圧または交流電圧が印加される前記所定の部材として機能するように構成してもよ 、 。この場合において、前記第 1補正リングと前記第 2補正リングに印加する電圧は、そ れぞれ独立に変化させることが可能である。また、前記第 1補正リングと前記第 2補正 リングには、それぞれ異なる電源カゝら電圧が印加されるように構成してもよい。また、 前記第 1補正リングと前記第 2補正リングには、それぞれ単一の電源の一方の極およ び他方の極が接続されるように構成してもよい。また、前記第 1補正リングは冷却され てもよい。
[0027] 本発明の第 4の観点では、被処理基板が収容され、真空排気可能な処理容器と、 処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極と 、前記第 2電極にプラズマ形成用の第 1の高周波電力を印加する第 1の高周波電力 印加ユニットと、前記第 1電極に直流電圧を印加する直流電源と、前記処理容器内 に処理ガスを供給する処理ガス供給ユニットとを具備することを特徴とするプラズマ処 理装置を提供する。
[0028] 本発明の第 5の観点では、被処理基板が収容され、真空排気可能な処理容器と、 処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極と 、前記第 1電極にプラズマ形成用の高周波電力を印加する第 1の高周波電力印加ュ ニットと、前記第 2電極に第 2の高周波電力を印加する第 2の高周波電力印加ュニッ トと、前記第 2電極に第 3の高周波電力を印加する第 3の高周波電力印加ユニットと、 前記第 1電極に直流電圧を印加する直流電源と、前記処理容器内に処理ガスを供 給する処理ガス供給ユニットとを具備することを特徴とするプラズマ処理装置を提供 する。
[0029] 本発明の第 6の観点では、被処理基板が収容され、真空排気可能な処理容器と、 処理容器内に対向して配置される第 1電極および第 2電極と、前記第 1電極または第 2電極にプラズマ形成用の第 1の高周波電力を供給する第 1の高周波電力供給ュニ ットと、前記処理容器内に処理ガスを供給する処理ガス供給ユニットとを具備し、前記 第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処理基板の所定 の層をプラズマ処理するプラズマ処理装置を用いたプラズマ処理方法であって、プラ ズマを形成する際に、前記第 1電極または第 2電極に直流電圧または交流電圧を印 加し、その際に、印加電極の表面に対する所定のスパッタ効果が得られる程度にそ の表面の自己バイアス電圧 V の絶対値が大きくなるように、または、印加電極にお けるプラズマシースの厚さを拡大させ、前記印加電極の対向電極側に縮小されたプ ラズマが形成されるように、または、印加電極近傍で生成した電子を前記被処理基板 上に照射させるように、または、プラズマポテンシャルが所望の値に制御されるように 、または、プラズマ密度を上昇させるように、または、プラズマ密度の分布が所望のェ ツチングの均一性を得られる程度に均一になるように、その印加電圧、印加電流およ び印加電力のいずれかを制御することを特徴とするプラズマ処理方法を提供する。
[0030] 本発明の第 7の観点では、被処理基板が収容され、真空排気可能な処理容器と、 処理容器内に対向して配置される第 1電極および第 2電極と、前記第 1電極または第 2電極にプラズマ形成用の高周波電力を供給する高周波電力供給ユニットと、前記 処理容器内に処理ガスを供給する処理ガス供給ユニットとを具備し、前記第 1電極お よび第 2電極との間に処理ガスのプラズマを生成して被処理基板の所定の層をブラ ズマ処理するプラズマ処理装置を用いたプラズマ処理方法であって、プラズマを形 成する際に、前記処理容器内の所定の部材に直流電圧または交流電圧を印加する ことを特徴とするプラズマエッチング方法を提供する。
[0031] 本発明の第 8の観点では、処理容器内に、第 1電極および被処理基板を支持する 第 2電極を対向して配置し、前記第 2電極にプラズマ形成用の第 1の高周波電力を 印加しながら、前記処理容器内に処理ガスを供給し、該処理ガスのプラズマを生成さ せて、前記第 2電極に支持された被処理基板にプラズマ処理を施すプラズマ処理方 法であって、前記第 1電極に直流電圧を印加する工程と、前記第 1電極に直流電圧 を印加しながら、前記被処理基板にプラズマ処理を施す工程とを有することを特徴と するプラズマ処理方法を提供する。
[0032] 本発明の第 9の観点では、処理容器内に、第 1電極および被処理基板を支持する 第 2電極を対向して配置し、前記第 1電極にプラズマ形成用の第 1の高周波電力を 印加し、前記第 2電極に第 2の高周波電力と第 3の高周波電力を印カロしながら、前記 処理容器内に処理ガスを供給し、該処理ガスのプラズマを生成させて、前記第 2電 極に支持された被処理基板にプラズマ処理を施すプラズマ処理方法であって、前記 第 1電極に直流電圧を印加する工程と、前記第 1電極に直流電圧を印加しながら、 前記被処理基板にプラズマ処理を施す工程とを有することを特徴とするプラズマ処 理方法を提供する。
[0033] 本発明の第 10の観点では、コンピュータ上で動作する制御プログラムが記憶され たコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、上記第 6の観 点のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特徴と するコンピュータ読み取り可能な記憶媒体を提供する。
[0034] 本発明の第 11の観点では、コンピュータ上で動作する制御プログラムが記憶され たコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、上記第 7の観 点のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特徴と するコンピュータ読み取り可能な記憶媒体を提供する。
[0035] 本発明の第 12の観点では、コンピュータ上で動作する制御プログラムが記憶され たコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、上記第 8の観 点のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特徴と するコンピュータ読み取り可能な記憶媒体を提供する。
[0036] 本発明の第 13の観点では、コンピュータ上で動作する制御プログラムが記憶され たコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、上記第 9の観 点のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特徴と するコンピュータ読み取り可能な記憶媒体を提供する。
[0037] 本発明の第 14の観点では、被処理基板が収容され、真空排気可能な処理容器と 、処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極 と、前記第 1電極に相対的に周波数の高い第 1の高周波電力を印加する第 1の高周 波電力印加ユニットと、前記第 2電極に相対的に周波数の低い第 2の高周波電力を 印加する第 2の高周波電力印加ユニットと、前記第 1電極に直流電圧を印加する直 流電源と、前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、前記直 流電源から前記第 1電極への印加電圧、印加電流および印加電力のいずれかを制 御する制御装置とを具備することを特徴とするプラズマ処理装置を提供する。
[0038] この場合に、前記直流電源は、印加電圧、印加電流および印加電力のいずれかが 可変であるように構成することができる。この場合に、前記制御装置は、前記直流電 源力 前記第 1電極への直流電圧の印加可否を制御するように構成することができる 。また、生成されたプラズマの状態を検出する検出器をさらに具備し、この検出器の 情報に基づいて前記制御装置が前記直流電源から前記第 1電極への印加電圧、印 加電流および印加電力の 、ずれかを制御するように構成することができる。
[0039] 上記第 14の観点のプラズマ処理装置においては、典型的には前記第 1電極は上 部電極であり、前記第 2電極は下部電極である。この場合に、前記第 1電極に印加さ れる第 1の高周波電力の周波数は 13. 56MHz以上であることが好ましぐ 40MHz 以上が一層好ましい。また、前記第 2の電極に印加される第 2の高周波電力の周波 数は 13. 56MHz以下であることが好ましい。
[0040] また、上記第 14の観点のプラズマ処理装置にぉ 、て、前記直流電源は、 - 2000 〜 + 1000Vの範囲の電圧を印加するものであることが好ましい。また、前記直流電 源から印加される直流電圧の絶対値が 100V以上好ましくは 500V以上であることが 好ましい。また、前記直流電圧は、前記第 1電極に印加される第 1の高周波電力によ つて該第 1電極の表面に発生する自己バイアス電圧より絶対値が大きい負の電圧で あることが好ましい。また、前記第 1電極の前記第 2電極との対向面は、シリコン含有 物質で形成することができる。
[0041] 上記第 14の観点のプラズマ処理装置においては、前記第 1電極に印加された前 記直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、常時接 地されている導電性部材を前記処理容器内に設けることができる。この場合に、前記 第 1電極が上部電極であり、前記第 2電極が下部電極であり、前記導電性部材は、 前記第 2電極の周囲に設置されるようにすることができる。また、前記第 1電極の近傍 に配置されるようにすることもできる。また、前記導電性部材は、前記第 1電極の外側 にリング状に配置することができる。また、前記接地された導電性部材は、プラズマ処 理の際の飛翔物の付着を防止するための凹所を有している構成とすることができる。
[0042] このような構成にぉ ヽて、前記導電性部材の一部を覆う保護板を有し、前記保護板 を前記導電性部材に対して相対移動させる駆動機構により、前記導電性部材のブラ ズマに露出される部分が変化するようにしてもよい。また、前記導電性部材はその一 部がプラズマに露出される円柱形状であり、前記導電性部材を円柱の軸を中心に回 転させる駆動機構により、前記導電性部材のプラズマに露出される部分が変化する ようにしてもよい。また、前記導電性部材の一部を覆いかつプラズマによりエッチング され得る材質を有する段差形状の保護膜を有し、前記保護膜がエッチングされること により、前記導電性部材のプラズマに露出される部分が変化するようにしてもよい。
[0043] 上記第 14の観点のプラズマ処理装置において、前記第 1電極に印加された前記 直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、全体制御 装置力 の指令に基づいて接地される導電性部材を前記処理容器内に設けることが できる。この場合に、前記第 1電極が上部電極であり、前記第 2電極が下部電極であ り、前記導電性部材は、前記第 2電極の周囲に設置されるようにすることができる。ま た、前記第 1電極の近傍に配置されるようにすることもできる。また、前記導電性部材 は、前記第 1電極の外側にリング状に配置することができる。また、前記接地された導 電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有して 、る 構成とすることができる。また、前記導電性部材は、プラズマエッチング時に接地され るよう〖こすることがでさる。
[0044] 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制 御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその 表面がスパッタまたはエッチングされるものとすることができる。この場合に、前記導 電性部材は、クリーニング時に直流電圧または交流電圧が印加されることが好ましい 。また、前記導電性部材の接続を、前記直流電源側と接地ラインとで切り替える切替 機構をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続 した際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加さ れることによりその表面がスパッタまたはエッチングされるようにすることができる。この ような構成において、前記導電性部材には負の直流電圧が印加可能となっているこ とが好ましい。そして、このように負の直流電圧が印加可能な構成において、前記処 理容器内に、前記導電性部材に負の直流電圧が印加された際に流入した直流電子 電流を排出するために、接地された導電性補助部材を設けることが好ましい。この場 合において、前記第 1電極が上部電極であり、前記第 2電極が下部電極であって、 前記導電性部材は、前記第 1の電極の近傍に配置され、前記導電性補助部材は、 前記第 2電極の周囲に設置される構成とすることができる。 [0045] 上記第 14の観点のプラズマ処理装置において、全体制御装置からの指令に基づ Vヽて、前記第 1電極に供給された前記直流電源からの直流電流をプラズマを介して 逃がすために接地される第 1の状態、および前記直流電源から直流電圧が印加され てその表面がスパッタまたはエッチングされる第 2の状態のいずれかをとる導電性部 材を前記処理容器内に設け、前記直流電源の負極が前記印加電極に接続され、か つ前記導電性部材が接地ラインに接続される第 1の接続と、前記直流電源の正極が 前記第 1電極に接続され、前記直流電源の負極が前記導電性部材に接続される第 2の接続との間で切り替え可能であり、その切り替えにより、それぞれ前記第 1の状態 および前記第 2の状態を形成可能な接続切替機構をさらに具備する構成とすること ができる。この場合に、前記第 1の状態はプラズマエッチング時に形成され、前記第 2 の状態は前記導電性部材のクリーニング時に形成されることが好ましい。
[0046] 本発明の第 15の観点では、被処理基板が収容され、真空排気可能な処理容器と 、処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極 と、前記第 1電極に相対的に周波数の高い第 1の高周波電力を印加する第 1の高周 波電力印加ユニットと、前記第 2電極に相対的に周波数の低い第 2の高周波電力を 印加する第 2の高周波電力印加ユニットと、前記第 1電極に直流電圧を印加する直 流電源と、前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、前記直 流電源から前記第 1電極への印加電圧、印加電流および印加電力のいずれかを制 御する制御装置とを具備し、前記第 1電極は、内側電極と外側電極とに分割されて おり、前記第 1の高周波電力は、前記内側電極と前記外側電極に分配されて印加さ れ、前記直流電源はこれらのうち少なくとも一方に接続されていることを特徴とするプ ラズマ処理装置を提供する。
[0047] 上記第 15の観点のプラズマ処理装置にぉ 、て、前記直流電源は、前記内側電極 と前記外側電極に印加する直流電圧をそれぞれ独立に変化させることが可能である ように構成することができる。この場合において、前記内側電極と前記外側電極には 、それぞれ異なる直流電源から直流電圧が印加されるように構成することができる。ま た、前記電源の一方の極を前記内側電極に接続し、他方の極を前記外側電極に接 続するように構成することができる。この場合に、前記直流電源は、印加電圧、印加 電流および印加電力のいずれかが可変であるように構成することができる。
[0048] この場合に、前記制御装置は、前記直流電源から前記第 1電極への直流電圧の印 加可否を制御するように構成することができる。また、生成されたプラズマの状態を検 出する検出器をさらに具備し、この検出器の情報に基づいて前記制御装置が前記直 流電源から前記第 1電極への印加電圧、印加電流および印加電力のいずれかを制 御するように構成することができる。
[0049] 上記第 15の観点のプラズマ処理装置においては、典型的には前記第 1電極は上 部電極であり、前記第 2電極は下部電極である。この場合に、前記第 1電極に印加さ れる第 1の高周波電力の周波数は 13. 56MHz以上であることが好ましぐ 40MHz 以上が一層好ましい。また、前記第 2の電極に印加される第 2の高周波電力の周波 数は 13. 56MHz以下であることが好ましい。
[0050] また、上記第 15の観点のプラズマ処理装置において、前記直流電源は、 2000 〜 + 1000Vの範囲の電圧を印加するものを適用することができ、また、前記直流電 源から印加される直流電圧の絶対値が 100V以上好ましくは 500V以上であることが 好ましい。また。前記直流電圧は、前記第 1電極に印加される第 1の高周波電力によ つて該第 1電極の表面に発生する自己バイアス電圧より絶対値が大きい負の電圧で あることが好ましい。また、前記第 1電極の前記第 2電極との対向面は、シリコン含有 物質で形成することができる。
[0051] 上記第 15の観点のプラズマ処理装置において、前記第 1電極に印加された前記 直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、常時接地 されて 、る導電性部材を前記処理容器内に設けることができる。
[0052] この場合に、前記第 1電極が上部電極であり、前記第 2電極が下部電極であり、前 記導電性部材は、前記第 2電極の周囲に設置されるようにすることができる。また、前 記第 1電極の近傍に配置されるようにすることもできる。また、前記導電性部材は、前 記第 1電極の外側にリング状に配置することができる。また、前記接地された導電性 部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有している構成 とすることができる。
[0053] このような構成にぉ ヽて、前記導電性部材の一部を覆う保護板を有し、前記保護板 を前記導電性部材に対して相対移動させる駆動機構により、前記導電性部材のブラ ズマに露出される部分が変化するようにしてもよい。また、前記導電性部材はその一 部がプラズマに露出される円柱形状であり、前記導電性部材を円柱の軸を中心に回 転させる駆動機構により、前記導電性部材のプラズマに露出される部分が変化する ようにしてもよい。また、前記導電性部材の一部を覆いかつプラズマによりエッチング され得る材質を有する段差形状の保護膜を有し、前記保護膜がエッチングされること により、前記導電性部材のプラズマに露出される部分が変化するようにしてもよい。
[0054] 上記第 15の観点のプラズマ処理装置において、前記第 1電極に印加された前記 直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、全体制御 装置力 の指令に基づいて接地される導電性部材を前記処理容器内に設けることが できる。この場合に、前記第 1電極が上部電極であり、前記第 2電極が下部電極であ り、前記導電性部材は、前記第 2電極の周囲に設置されるようにすることができる。ま た、前記第 1電極の近傍に配置されるようにすることもできる。また、前記導電性部材 は、前記第 1電極の外側にリング状に配置することができる。また、前記接地された導 電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有して 、る 構成とすることができる。また、前記導電性部材は、プラズマエッチング時に接地され るよう〖こすることがでさる。
[0055] 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制 御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその 表面がスパッタまたはエッチングされるものとすることができる。この場合に、前記導 電性部材は、クリーニング時に直流電圧または交流電圧が印加されることが好ましい 。また、前記導電性部材の接続を、前記直流電源側と接地ラインとで切り替える切替 機構をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続 した際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加さ れることによりその表面がスパッタまたはエッチングされるようにすることができる。この ような構成において、前記導電性部材には負の直流電圧が印加可能となっているこ とが好ましい。そして、このように負の直流電圧が印加可能な構成において、前記処 理容器内に、前記導電性部材に負の直流電圧が印加された際に流入した直流電子 電流を排出するために、接地された導電性補助部材を設けることが好ましい。この場 合において、前記第 1電極が上部電極であり、前記第 2電極が下部電極であって、 前記導電性部材は、前記第 1の電極の近傍に配置され、前記導電性補助部材は、 前記第 2電極の周囲に設置される構成とすることができる。
[0056] 上記第 15の観点のプラズマ処理装置において、全体制御装置からの指令に基づ Vヽて、前記第 1電極に供給された前記直流電源からの直流電流をプラズマを介して 逃がすために接地される第 1の状態、および前記直流電源から直流電圧が印加され てその表面がスパッタまたはエッチングされる第 2の状態のいずれかをとる導電性部 材を前記処理容器内に設け、前記直流電源の負極が前記印加電極に接続され、か つ前記導電性部材が接地ラインに接続される第 1の接続と、前記直流電源の正極が 前記第 1電極に接続され、前記直流電源の負極が前記導電性部材に接続される第 2の接続との間で切り替え可能であり、その切り替えにより、それぞれ前記第 1の状態 および前記第 2の状態を形成可能な接続切替機構をさらに具備する構成とすること ができる。この場合に、前記第 1の状態はプラズマエッチング時に形成され、前記第 2 の状態は前記導電性部材のクリーニング時に形成されることが好ましい。
[0057] 本発明の第 16の観点では、処理容器内に、第 1電極および被処理基板を支持す る第 2電極を対向して配置し、前記第 1電極に相対的に周波数の高い第 1の高周波 電力を印加し、前記第 2電極に相対的に周波数の低い第 2の高周波電力を印加しな がら、前記処理容器内に処理ガスを供給し、該処理ガスのプラズマを生成させて、前 記第 2電極に支持された被処理基板にプラズマ処理を施すプラズマ処理方法であつ て、前記第 1電極に直流電圧を印加する工程と、前記第 1電極に直流電圧を印加し ながら、前記被処理基板にプラズマ処理を施す工程とを有することを特徴とするブラ ズマ処理方法を提供する。
[0058] 上記第 16の観点のプラズマ処理方法においては、典型的には前記第 1電極は上 部電極であり、前記第 2電極は下部電極である。この場合に、前記直流電圧は、前記 第 1電極に印加される第 1の高周波電力によって該第 1電極の表面に発生する自己 ノィァス電圧より絶対値が大きい負の電圧であることが好ましい。また、前記上部電 極に印加される第 1の高周波電力の周波数が 13. 56〜60MHzであり、前記下部電 極に印加される第 2の高周波電力の周波数が 300kHz〜13. 56MHz以下であるこ とが好ましい。また、前記処理ガスが、フルォロカーボンを含むガスであることが好ま しい。この場合、前記フルォロカーボンを含むガス力 少なくとも C Fを含むことがよ
4 8
り好ましい。前記フルォロカーボンを含むガス中に、さらに不活性ガスを含むこともで きる。さらに、前記絶縁膜が、有機系絶縁膜であってもよい。ここで、前記有機系絶縁 膜が、 SiOC系膜であってもよぐこの場合、前記 SiOC系膜の下地膜が、炭化珪素( SiC)により形成されていることが好ましい。
[0059] 上記第 16の観点のプラズマ処理方法において、前記直流電圧の絶対値は、 1500 V以下であることが好ましい。また、処理圧力は、 1. 3〜26. 7Pa (10〜200mTorr) であることが好ましい。さらに、前記上部電極に印加される第 1の高周波電力が 3000 W以下であることが好ましい。また、前記下部電極に印加される第 2の高周波電力が 100〜5000Wであることが好ましい。また、前記処理ガス力 C Fと Nと Arの混合
4 8 2
ガスであり、その流量比が、 C F /N ZAr=4〜20Zl00〜500Z500〜1500m
4 8 2
LZmin(sccm)であることが好ましい。以上のプラズマ処理方法は、オーバーエッチ ングステップに適用されるものであってもよい。
[0060] また、上記第 16の観点のプラズマ処理方法において、前記第 2電極に支持された 被処理基板の絶縁膜をエッチングする際、前記絶縁膜の下地膜との選択比を大きく するために、前記処理ガスとして、 C F , Ar, N、の組み合わせを使用することがで
5 8 2
きる。また、前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前 記絶縁膜のマスクとの選択比を大きくするために、前記処理ガスとして、 CFまたは C
4
F, CF, Ar, N, Oのいずれかの組み合わせを使用することができる。また、前記
4 8 4 2 2
第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜のエツ チング速度を大きくするために、前記処理ガスとして、 C F , CF , Ar, O、または C
4 6 4 2 4
F, C F, Ar, O、または C F, CH F, Ar, Oのいずれかの組み合わせを使用
6 3 8 2 4 6 2 2 2
することができる。
[0061] 本発明の第 17の観点では、処理容器内に、第 1電極および被処理基板を支持す る第 2電極を対向して配置し、内側電極と外側電極とに分割された前記第 1電極に相 対的に周波数の高い第 1の高周波電力を印加し、前記第 2電極に相対的に周波数 の低い第 2の高周波電力を印力!]しながら、前記処理容器内に処理ガスを供給し、該 処理ガスのプラズマを生成させて、前記第 2電極に支持された被処理基板にプラズ マ処理を施すプラズマ処理方法であって、前記内側電極と前記外側電極の少なくと も一方に直流電圧を印加する工程と、前記第 1電極に直流電圧を印加しながら、前 記被処理基板にプラズマ処理を施す工程とを有することを特徴とするプラズマ処理 方法を提供する。
[0062] 上記第 17の観点のプラズマ処理方法において、前記第 2電極に支持された被処 理基板の絶縁膜をエッチングする際、前記絶縁膜の下地膜との選択比を大きくする ために、前記処理ガスとして、 C F , Ar, N、の組み合わせを使用することができる
5 8 2
。また、前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶 縁膜のマスクとの選択比を大きくするために、前記処理ガスとして、 CFまたは C F ,
4 4 8
CF , Ar, N , Oのいずれかの組み合わせを使用することができる。また、前記第 2
4 2 2
電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜のエツチン グ速度を大きくするために、前記処理ガスとして、 C F , CF , Ar, O
4 6 4 2、または C F ,
4 6
C F, Ar, O、または C F, CH F, Ar, Oのいずれかの組み合わせを使用する
3 8 2 4 6 2 2 2
ことができる。
[0063] また、本発明の第 18の観点によれば、コンピュータ上で動作する制御プログラムが 記憶されたコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、上記 第 16の観点のプラズマ処理方法が行われるように、プラズマ処理装置を制御するこ とを特徴とするコンピュータ記憶媒体が提供される。
[0064] また、本発明の第 19の観点によれば、コンピュータ上で動作する制御プログラムが 記憶されたコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、上記 第 17の観点のプラズマ処理方法が行われるように、プラズマ処理装置を制御するこ とを特徴とするコンピュータ記憶媒体が提供される。
[0065] 本発明の第 20の観点では、被処理基板が収容され、真空排気可能な処理容器と 、処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極 と、前記第 2電極に相対的に周波数の高い第 1の高周波電力を印加する第 1の高周 波電力印加ユニットと、前記第 2電極に相対的に周波数の低い第 2の高周波電力を 印加する第 2の高周波電力印加ユニットと、前記第 1電極に直流電圧を印加する直 流電源と、前記処理容器内に処理ガスを供給する処理ガス供給ユニットとを具備す ることを特徴とするプラズマ処理装置を提供する。
[0066] この場合に、前記直流電源は、前記第 1電極への印加電圧、印加電流および印加 電力のいずれかが可変であるように構成することができる。また、前記直流電源から 前記第 1電極への印加電圧、印加電流および印加電力の 、ずれかを制御する制御 装置をさらに具備するように構成することができる。この場合に、前記制御装置は、前 記直流電源力 前記第 1電極への直流電圧の印加可否を制御するように構成するこ とができる。また、生成されたプラズマの状態を検出する検出器をさらに具備し、この 検出器の情報に基づいて前記制御装置が前記直流電源から前記第 1電極への印 加電圧、印加電流および印加電力の!/ヽずれかを制御するように構成することができ る。
[0067] 上記第 20の観点のプラズマ処理装置においては、典型的には前記第 1電極は上 部電極であり、前記第 2電極は下部電極である。この場合に、前記第 2電極に印加さ れる第 1の高周波電力の周波数は 27MHz以上であることが好ましぐ 40MHz以上 がー層好ましい。また、前記第 2の電極に印加される第 2の高周波電力の周波数は 1 3. 56MHz以下であることが好ましい。
[0068] また、上記第 20の観点のプラズマ処理装置にぉ 、て、前記直流電源は、 - 2000 〜 + 1000Vの範囲の電圧を印加するものであることが好ましい。また、前記第 1電極 の前記第 2電極との対向面は、シリコン含有物質で形成することができる。
[0069] また、上記第 20の観点のプラズマ処理装置にぉ 、て、前記第 1電極は、接地電位 に対して直流的にフローティング状態であることが好ましい。この場合に、前記第 1電 極をフローティング状態あるいは接地状態に可変可能な可変装置を有し、全体制御 装置からの指令に基づいて、前記第 1電極に直流電圧が印加されているとき前記可 変装置は前記第 1電極を接地電位に対してフローティング状態とし、前記第 1電極に 直流電圧が印加されていないとき前記可変装置は前記第 1電極を接地電位に対し てフローティング状態ある 、は接地状態の 、ずれかとすることが好まし 、。
[0070] 上記第 20の観点のプラズマ処理装置において、前記第 1電極に印加された前記 直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、常時接地 されている導電性部材を前記処理容器内に設けることができる。この場合に、前記第
1電極が上部電極であり、前記第 2電極が下部電極であり、前記導電性部材は、前 記第 2電極の周囲に設置されるようにすることができる。また、前記第 1電極の近傍に 配置されるよう〖こすることもできる。また、前記導電性部材は、前記第 1電極の外側に リング状に配置することができる。また、前記接地された導電性部材は、プラズマ処理 の際の飛翔物の付着を防止するための凹所を有している構成とすることができる。
[0071] このような構成にぉ ヽて、前記導電性部材の一部を覆う保護板を有し、前記保護板 を前記導電性部材に対して相対移動させる駆動機構により、前記導電性部材のブラ ズマに露出される部分が変化するようにしてもよい。また、前記導電性部材はその一 部がプラズマに露出される円柱形状であり、前記導電性部材を円柱の軸を中心に回 転させる駆動機構により、前記導電性部材のプラズマに露出される部分が変化する ようにしてもよい。また、前記導電性部材の一部を覆いかつプラズマによりエッチング され得る材質を有する段差形状の保護膜を有し、前記保護膜がエッチングされること により、前記導電性部材のプラズマに露出される部分が変化するようにしてもよい。
[0072] 上記第 20の観点のプラズマ処理装置において、前記第 1電極に印加された前記 直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、全体制御 装置力 の指令に基づいて接地される導電性部材を前記処理容器内に設けることが できる。この場合に、前記第 1電極が上部電極であり、前記第 2電極が下部電極であ り、前記導電性部材は、前記第 2電極の周囲に設置されるようにすることができる。ま た、前記第 1電極の近傍に配置されるようにすることもできる。また、前記導電性部材 は、前記第 1電極の外側にリング状に配置することができる。また、前記接地された導 電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有して 、る 構成とすることができる。また、前記導電性部材は、プラズマエッチング時に接地され るよう〖こすることがでさる。
[0073] 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制 御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその 表面がスパッタまたはエッチングされるものとすることができる。この場合に、前記導 電性部材は、クリーニング時に直流電圧または交流電圧が印加されることが好ましい 。また、前記導電性部材の接続を、前記直流電源側と接地ラインとで切り替える切替 機構をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続 した際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加さ れることによりその表面がスパッタまたはエッチングされるようにすることができる。この ような構成において、前記導電性部材には負の直流電圧が印加可能となっているこ とが好ましい。そして、このように負の直流電圧が印加可能な構成において、前記処 理容器内に、前記導電性部材に負の直流電圧が印加された際に流入した直流電子 電流を排出するために、接地された導電性補助部材を設けることが好ましい。この場 合において、前記第 1電極が上部電極であり、前記第 2電極が下部電極であって、 前記導電性部材は、前記第 1の電極の近傍に配置され、前記導電性補助部材は、 前記第 2電極の周囲に設置される構成とすることができる。
[0074] 上記第 20の観点のプラズマ処理装置において、全体制御装置からの指令に基づ Vヽて、前記第 1電極に供給された前記直流電源からの直流電流をプラズマを介して 逃がすために接地される第 1の状態、および前記直流電源から直流電圧が印加され てその表面がスパッタまたはエッチングされる第 2の状態のいずれかをとる導電性部 材を前記処理容器内に設け、前記直流電源の負極が前記印加電極に接続され、か つ前記導電性部材が接地ラインに接続される第 1の接続と、前記直流電源の正極が 前記第 1電極に接続され、前記直流電源の負極が前記導電性部材に接続される第 2の接続との間で切り替え可能であり、その切り替えにより、それぞれ前記第 1の状態 および前記第 2の状態を形成可能な接続切替機構をさらに具備する構成とすること ができる。この場合に、前記第 1の状態はプラズマエッチング時に形成され、前記第 2 の状態は前記導電性部材のクリーニング時に形成されることが好ましい。
[0075] 本発明の第 21の観点では、処理容器内に、第 1電極および被処理基板を支持す る第 2電極を対向して配置し、前記第 2電極に相対的に周波数の高い第 1の高周波 電力と相対的に周波数の低い第 2の高周波電力を印加しながら、前記処理容器内 に処理ガスを供給し、該処理ガスのプラズマを生成させて、前記第 2電極に支持され た被処理基板にプラズマ処理を施すプラズマ処理方法であって、前記第 1電極に直 流電圧を印加する工程と、前記第 1電極に直流電圧を印加しながら、前記被処理基 板にプラズマ処理を施す工程とを有することを特徴とするプラズマ処理方法を提供す る。
[0076] この場合に、前記第 1電極への印加電圧、印加電流および印加電力のいずれかが 可変とすることができる。また、前記第 1電極への印加電圧、印加電流および印加電 力のいずれかを制御することができる。また、前記第 1電極への直流電圧の印加可 否を制御することができる。また、生成されたプラズマの状態を検出し、その検出情報 に基づいて前記第 1電極への印加電圧、印加電流および印加電力のいずれかを制 御することができる。また、上記第 21の観点のプラズマ処理方法において、前記第 1 電極は、接地電位に対して直流的にフローティング状態であることが好ましい。この 場合に、前記第 1電極はフローティング状態あるいは接地状態に可変可能であって、 全体制御装置からの指令に基づいて、前記第 1電極に直流電圧が印加されていると き前記第 1電極を接地電位に対してフローティング状態とし、前記第 1電極に直流電 圧が印加されていないとき前記第 1電極を接地電位に対してフローティング状態ある いは接地状態の 、ずれかとすることが好ま 、。
[0077] また、上記第 21の観点のプラズマ処理方法にぉ 、て、常時接地されて 、る導電性 部材を前記処理容器内に設け、前記第 1電極に印加された直流電圧に基づく電流 をプラズマを介して逃がすことが好ましい。あるいは、全体制御装置からの指令に基 づいて接地される導電性部材を前記処理容器内に設け、前記第 1電極に印加され た直流電圧に基づく電流をプラズマを介して逃がすことが好ましい。
[0078] これらの構成にぉ 、て、前記導電性部材は、プラズマエッチング時に接地されるよ うにしてもよい。また、前記導電性部材には、直流電圧または交流電圧が印加可能と なっており、全体制御装置力 の指令に基づいて直流電圧または交流電圧が印加さ れることによりその表面がスパッタまたはエッチングされるようにしてもよい。また、前記 導電性部材は、クリーニング時に直流電圧または交流電圧が印加されるようにしても よい。また、前記導電性部材の接続を、直流電圧を印加する直流電源側と接地ライ ンとで切り替える切替機構をさらに具備し、前記切替機構により前記導電性部材を前 記直流電源側に接続した際に、前記直流電源から前記導電性部材へ直流電圧また は交流電圧が印加されることによりその表面がスパッタまたはエッチングされるように してもよい。また、前記導電性部材には負の直流電圧が印加可能としてもよい。また 、前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に前記処理 容器内に流入した直流電子電流を排出するために、接地された導電性補助部材を 設けるようにしてもよい。
[0079] また、上記第 21の観点のプラズマ処理方法において、前記第 2電極に支持された 被処理基板の絶縁膜をエッチングする際、前記絶縁膜の下地膜との選択比を大きく するために、前記処理ガスとして、 C F , Ar, N、または C F , Ar, N、または C F
5 8 2 4 8 2 4 8
, Ar, N , O、または C F , Ar, N , COのいずれかの組み合わせを使用することが
2 2 4 8 2
できる。また、前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、 前記絶縁膜のマスクとの選択比を大きくするために、前記処理ガスとして、 CFまたは
4
CF , Arまたは N , Hのいずれかの組み合わせを使用することができる。また、前記
4 2 2
第 2電極に支持された被処理基板の絶縁膜上の有機反射防止膜をエッチングする 際、前記処理ガスとして、 CFまたは CF , C Fまたは CF , C Fまたは CF , C F
4 4 3 8 4 4 8 4 4 6 のいずれかの組み合わせを使用することができる。また、前記第 2電極に支持された 被処理基板の絶縁膜をエッチングする際、前記絶縁膜のエッチング速度を大きくす るために、前記処理ガスとして、 C F , CF , Ar, O、または C F , C F , Ar, O、
4 6 4 2 4 6 3 8 2 または C F , C F , Ar, O、または C F , C F , Ar, Oまたは C F , Ar, O、また
4 6 4 8 2 4 6 2 6 2 4 8 2 はじ F , Ar, Oのいずれかの組み合わせを使用することができる。
4 8 2
[0080] 本発明の第 22の観点では、コンピュータ上で動作する制御プログラムが記憶され たコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、上記第 21の観 点のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特徴と するコンピュータ読み取り可能な記憶媒体が提供される。
[0081] 本発明の第 1、第 2、第 4〜第 6、第 8〜第 10、第 12、第 13の観点によれば、(1)第 1電極の自己バイアス電圧の絶対値を大きくして第 1電極表面へのスパッタ効果、(2 )第 1の電極におけるプラズマシースを拡大させ、形成されるプラズマが縮小化される 効果、(3)第 1電極近傍に生じた電子を被処理基板上に照射させる効果、(4)プラズ マポテンシャルを制御する効果、(5)電子 (プラズマ)密度を上昇させる効果、(7)中 心部のプラズマ密度を上昇させる効果の少なくとも一つを奏することができる。
[0082] 上記(1)の効果により、第 1電極の表面にプロセスガスに起因するポリマーとフォト レジストからのポリマーが付着した場合でも、ポリマーをスパッタして電極表面を清浄 化することができる。それとともに、基板上に最適なポリマーを供給してフォトレジスト 膜の荒れを解消することができる。また、電極自体がスパッタされることにより電極材 料を基板上に供給してフォトレジスト膜等の有機マスクを強化することができる。
[0083] また、上記(2)の効果により、被処理基板上の実効レジデンスタイムが減少し、かつ プラズマが被処理基板上に集中して拡散が抑えられ排気空間が減少するので、フロ 口カーボン系の処理ガスの解離が抑えられ、フォトレジスト膜等の有機マスクがエッチ ングされ難くなる。
[0084] さらに、上記(3)の効果により、被処理基板上のマスク組成が改質され、フォトレジ スト膜の荒れを解消することができる。また、高速の電子が被処理基板に照射される ことから、シェーディング効果が抑制され、被処理基板の微細加工性が向上する。
[0085] さらにまた、上記 (4)の効果により、プラズマポテンシャルを適切に制御して、電極 や、チャンバ壁 (デポシールド等)、処理容器内の絶縁材等の処理容器内部材への エッチング副生物の付着を抑制することができる。
[0086] さらにまた、上記(5)の効果により、被処理基板に対するエッチングレート(エツチン グ速度)を上昇させることができる。
[0087] さらにまた、上記(6)の効果により、処理容器内の圧力が高くかつ使用するエツチン グガスが負性ガスであっても、処理容器内の中心部のプラズマ密度が周辺に比べて 低くなることを抑制でき (負イオンの生成を抑制でき)、プラズマ密度が均一化するよう にプラズマ密度をコントロールすることができる。
[0088] これにより、レジスト層等の有機マスク層の耐プラズマ性を高く維持して高選択比で エッチングすることができる。または、電極への堆積物の付着を有効に解消すること ができる。または高速なエッチングができ、または被処理基板に対して均一なエッチ ングを行うことができる。
[0089] 本発明の第 3、第 7、第 11の観点によれば、プラズマポテンシャルを制御する効果 を奏することができる。これにより、プラズマポテンシャルを適切に制御して、電極や、 チャンバ壁 (デポシールド等)、処理容器内の絶縁材等の処理容器内部材へのエツ チング副生物の付着を抑制することができる。
[0090] 本発明の第 14の観点によれば、第 1電極に相対的に周波数の高い第 1の高周波 電力を印加する第 1の高周波電力印加ユニットを接続し、被処理基板を支持する第 2 の電極に相対的に周波数の低い第 2の高周波電力を印加する第 2の高周波電力印 加ユニットを接続するとともに、前記第 1電極に直流電圧を印加する直流電源を接続 するので、第 1の高周波電力により処理ガスのプラズマを形成し、かつ第 2の高周波 電力により被処理基板にイオンを引き込みながらプラズマ処理する際に、第 1電極に 直流電圧を印加することにより、(1)第 1電極の自己バイアス電圧の絶対値を大きくし て第 1電極表面へのスパッタ効果、(2)第 1の電極におけるプラズマシースを拡大さ せ、形成されるプラズマが縮小化される効果、(3)第 1電極近傍に生じた電子を被処 理基板上に照射させる効果、(4)プラズマポテンシャルを制御する効果、(5)電子( プラズマ)密度を上昇させる効果、 (7)中心部のプラズマ密度を上昇させる効果の少 なくとも一つを奏することができる。
[0091] 上記(1)の効果により、第 1電極の表面にプロセスガスに起因するポリマーとフォト レジストからのポリマーが付着した場合でも、ポリマーをスパッタして電極表面を清浄 化することができる。それとともに、基板上に最適なポリマーを供給してフォトレジスト 膜の荒れを解消することができる。また、電極自体がスパッタされることにより電極材 料を基板上に供給してフォトレジスト膜等の有機マスクを強化することができる。
[0092] また、上記(2)の効果により、被処理基板上の実効レジデンスタイムが減少し、かつ プラズマが被処理基板上に集中して拡散が抑えられ排気空間が減少するので、フロ 口カーボン系の処理ガスの解離が抑えられ、フォトレジスト膜等の有機マスクがエッチ ングされ難くなる。
[0093] さらに、上記(3)の効果により、被処理基板上のマスク組成が改質され、フォトレジ スト膜の荒れを解消することができる。また、高速の電子が被処理基板に照射される ことから、シェーディング効果が抑制され、被処理基板の微細加工性が向上する。
[0094] さらにまた、上記 (4)の効果により、プラズマポテンシャルを適切に制御して、電極 や、チャンバ壁 (デポシールド等)、処理容器内の絶縁材等の処理容器内部材への エッチング副生物の付着を抑制することができる。
[0095] さらにまた、上記(5)の効果により、被処理基板に対するエッチングレート(エツチン グ速度)を上昇させることができる。
[0096] さらにまた、上記(6)の効果により、処理容器内の圧力が高くかつ使用するエツチン グガスが負性ガスであっても、処理容器内の中心部のプラズマ密度が周辺に比べて 低くなることを抑制でき (負イオンの生成を抑制でき)、プラズマ密度が均一化するよう にプラズマ密度をコントロールすることができる。
[0097] これにより、レジスト層等の有機マスク層の耐プラズマ性を高く維持して高選択比で エッチングすることができる。または、電極への堆積物の付着を有効に解消すること ができる。または高速なエッチングができ、または被処理基板に対して均一なエッチ ングを行うことができる。
[0098] 本発明の第 15の観点によれば、第 1電極が内側電極と外側電極とに分割されてお り、前記第 1の高周波電力は、前記内側電極と前記外側電極に分配されて印加され 、前記直流電源はこれらのうち少なくとも一方に接続されているので、上記効果にカロ え、前記内側電極と前記外側電極の電界強度を変化させることができ径方向のブラ ズマ密度の均一性をより高めることができる。
[0099] 本発明の第 16〜第 19の観点によれば、第 1の高周波電力が印加される第 1電極 に直流電圧を印加しながらエッチングを行なうことにより、被エッチング層である絶縁 膜と下地膜との選択比を十分に得ることができる。例えば、絶縁膜が有機系絶縁膜 の SiOC系膜であり、その下地膜が炭化珪素により形成される場合や、絶縁膜が無 機系絶縁膜の SiOであり、その下地膜が、窒化珪素により形成される場合において
2
、下地膜のエッチングを可能な限り抑制しながらエッチングを行なうことができる。
[0100] また、第 1電極に直流電圧を印加しながら高周波電力、圧力、ガス種などのエッチ ング条件を制御することにより、上述のように高い選択比を維持しつつ、 SiOC系膜な どに対するエッチングレートを向上させることができるほか、対レジスト選択比、特に A rFレジストに対する SiOC系膜等のエッチング選択比を改善することも可能である。ま た、エッチングレートの向上とエッチングパターンの CD (Critical Dimension)制御とを 両立させることも可能になるので、高速で精度の高いエッチングが実現し、半導体装 置の信頼性を向上させることができる。さらに、エッチングによって半導体ウェハなど の被処理体表面にライン &スペースのパターンを刻設する場合には、ラインエツチン グラフネス (LER)を低減することができる。
[0101] 本発明の第 20〜第 22の観点によれば、被処理基板を支持する第 2電極に対し、 相対的に周波数の高い第 1の高周波電力を印加する第 1の高周波電力印加ユニット と、相対的に周波数の低い第 2の高周波電力を印加する第 2の高周波電力印加ュニ ットとを接続し、第 1電極に直流電圧を印加する直流電源を接続するので、第 2電極 に、第 1および第 2の高周波電力印加ユニットから周波数の異なる高周波電力を印 カロして処理ガスのプラズマを形成しかつ被処理基板にイオンを引き込みながらプラズ マエッチングする際に、第 1電極に直流電圧を印加することにより、(1)第 1電極の自 己バイアス電圧の絶対値を大きくして第丄電極表面へのスパッタ効果、(2)第 1電極 におけるプラズマシースを拡大させ、形成されるプラズマが縮小化される効果、(3) 第 1電極近傍に生じた電子を被処理基板上に照射させる効果、(4)プラズマポテン シャルを制御する効果、(5)電子 (プラズマ)密度を上昇させる効果、(6)中心部のプ ラズマ密度を上昇させる効果の少なくとも一つを奏することができる。
[0102] 上記(1)の効果により、第 1電極の表面にプロセスガスに起因するポリマーとフォト レジストからのポリマーが付着した場合でも、ポリマーをスパッタして電極表面を清浄 化することができる。それとともに、基板上に最適なポリマーを供給してフォトレジスト 膜の荒れを解消することができる。また、電極自体がスパッタされることにより電極材 料を基板上に供給してフォトレジスト膜等の有機マスクを強化することができる。
[0103] また、上記(2)の効果により、被処理基板上の実効レジデンスタイムが減少し、かつ プラズマが被処理基板上に集中して拡散が抑えられ排気空間が減少するので、フロ 口カーボン系の処理ガスの解離が抑えられ、フォトレジスト膜等の有機マスクがエッチ ングされ難くなる。
[0104] さらに、上記(3)の効果により、被処理基板上のマスク組成が改質され、フォトレジ スト膜の荒れを解消することができる。また、高速の電子が被処理基板に照射される ことから、シェーディング効果が抑制され、被処理基板の微細加工性が向上する。
[0105] さらにまた、上記 (4)の効果により、プラズマポテンシャルを適切に制御して、電極 や、チャンバ壁 (デポシールド等)、処理容器内の絶縁材等の処理容器内部材への エッチング副生物の付着を抑制することができる。
[0106] さらにまた、上記(5)の効果により、被処理基板に対するエッチングレート(エツチン グ速度)を上昇させることができる。
[0107] さらにまた、上記(6)の効果により、処理容器内の圧力が高くかつ使用するエツチン グガスが負性ガスであっても、処理容器内の中心部のプラズマ密度が周辺に比べて 低くなることを抑制でき (負イオンの生成を抑制でき)、プラズマ密度が均一化するよう にプラズマ密度をコントロールすることができる。
[0108] これにより、レジスト層等の有機マスク層の耐プラズマ性を高く維持して高選択比で エッチングすることができる。または、電極への堆積物の付着を有効に解消すること ができる。または高速なエッチングができ、または被処理基板に対して均一なエッチ ングを行うことができる。
図面の簡単な説明
[0109] [図 1]図 1は、本発明の第 1の実施形態に係るプラズマエッチング装置を示す概略断 面図。
[図 2]図 2は、図 1のプラズマエッチング装置において第 1の高周波電源に接続された 整合器の構造を示す図。
[図 3]図 3は、図 1のプラズマエッチング装置において、上部電極に直流電圧を印加 した際の V およびプラズマシース厚の変化を示す図。
dc
[図 4A]図 4Aは、図 1のプラズマエッチング装置において、上部電極に直流電圧を印 カロした場合と印カロしない場合とのプラズマ状態を比較して示す図。
[図 4B]図 4Bは、図 1のプラズマエッチング装置において、上部電極に直流電圧を印 カロした場合と印カロしない場合とのプラズマ状態を比較して示す図。
[図 5]図 5は、図 1のプラズマエッチング装置により上部電極に印加する直流電圧を変 化させて SiO膜をエッチングした際におけるフォトレジスト膜のエッチレート、 SiO膜
2 2 のエッチレート、およびフォトレジスト膜に対する SiO膜の選択比を示すグラフ。
2
[図 6]図 6は、連続エッチングプロセスが適用される多層膜の一例を示す図。
[図 7]図 7は、図 1のプラズマエッチング装置において、上部電極に直流電圧を印加 した際のプラズマポテンシャル波形の変化を示す図。
[図 8]図 8は、図 1のプラズマエッチング装置における、上部電極へ印加する直流電 圧と最大プラズマポテンシャルとの関係を示す図。
[図 9]図 9は、図 1のプラズマエッチング装置において、印加する直流電圧を変化させ た場合の電子密度およびその分布の変化を示す図。
[図 10A]図 10Aは、図 9のエッチングにおいて、各直流電圧におけるセンターとエッジ のエッチング状態を模式的に示す図。
[図 10B]図 10Bは、図 9のエッチングにおいて、各直流電圧におけるセンターとエッジ のエッチング状態を模式的に示す図。
[図 10C]図 10Cは、図 9のエッチングにおいて、各直流電圧におけるセンターとエッジ のエッチング状態を模式的に示す図。
[図 11]図 11は、上部電極表面における自己バイアス電圧と、印加する直流電圧との 関係を示す図。
[図 12]図 12は、図 1のプラズマエッチング装置において、プラズマを検出する検出器 を設けた状態を示す断面図。
[図 13]図 13は、図 1のプラズマエッチング装置において、上部電極へ直流電圧を印 加する際に異常放電を抑制するための波形を示す図。
[図 14]図 14は、 GNDブロックの他の配置例を示す概略図。
[図 15]図 15は、 GNDブロックのさらに他の配置例を示す概略図。
[図 16A]図 16 Aは、 GNDブロックの付着物防止例を説明するための図。
[図 16B]図 16Bは、 GNDブロックの付着物防止例を説明するための図。
[図 17]図 17は、 GNDブロックの付着物を除去可能な装置構成の一例を示す概略図
[図 18A]図 18Aは、図 17の装置におけるプラズマエッチング時における状態とタリー ユング時における状態を説明するための概略図。
[図 18B]図 18Bは、図 17の装置におけるプラズマエッチング時における状態とタリー ユング時における状態を説明するための概略図。
[図 19]図 19は、図 17の装置におけるプラズマエツチン時における他の状態を示す概 略図。
[図 20]図 20は、 GNDブロックの付着物を除去可能な装置構成の他の例を示す概略 図。
[図 21A]図 21Aは、図 20の装置におけるプラズマエッチング時における状態とタリー ユング時における状態を説明するための概略図。
[図 21B]図 21Bは、図 20の装置におけるプラズマエッチング時における状態とタリー ユング時における状態を説明するための概略図。
[図 22]図 22は、 DC的に接地されなくなることを防止する機能を備えた GNDブロック の一例を示す模式図。
[図 23]図 23は、 DC的に接地されなくなることを防止する機能を備えた GNDブロック の他の例を示す模式図。
[図 24A]図 24Aは、 DC的に接地されなくなることを防止する機能を備えた GNDブロ ックのさらに他の例を示す模式図。
[図 24B]図 24Bは、 DC的に接地されなくなることを防止する機能を備えた GNDブロ ックのさらに他の例を示す模式図。
[図 25]図 25は、 DC的に接地されなくなることを防止する機能を備えた GNDブロック のさらに他の例を示す模式図。
[図 26]図 26は、 DC的に接地されなくなることを防止する機能を備えた GNDブロック のさらに他の例を示す模式図。
[図 27]図 27は、 DC的に接地されなくなることを防止する機能を備えた GNDブロック のさらに他の例を示す模式図。
[図 28]図 28は、 RFプラズマおよび DCプラズマにおける電子温度分布を示す図。
[図 29]図 29は、高周波電力のみでプラズマを形成した場合と直流電圧も印加した場 合における電子温度分布を示す図。
[図 30A]図 30Aは、バイアス高周波電力の周波数が 2MHzの場合と 13. 56MHzの 場合におけるイオンの追従性を説明するための図。
[図 30B]図 30Bは、バイアス高周波電力の周波数が 2MHzの場合と 13. 56MHzの 場合におけるイオンの追従性を説明するための図。 [図 31]図 31は、バイアス高周波電力の周波数が 2MHzの場合と 13. 56MHzの場 合におけるイオンエネルギー分布を示す図。
[図 32A]図 32Aは、図 1のプラズマエッチング装置によりエッチングを行う際における エッチング対象となり得るウェハの断面構造の一例を示す模式図。
[図 32B]図 32Bは、図 1のプラズマエッチング装置によりエッチングを行う際における エッチング対象となり得るウェハの断面構造の一例を示す模式図。
[図 33A]図 33 Aは、図 1のプラズマエッチング装置によりエッチングを行う際における エッチング対象となり得るウェハの断面構造の他の例を示す模式図。
[図 33B]図 33Bは、図 1のプラズマエッチング装置によりエッチングを行う際における エッチング対象となり得るウェハの断面構造の他の例を示す模式図。
[図 34]図 34は、本発明の第 2の実施形態に係るプラズマエッチング装置を示す概略 断面図。
[図 35]図 35は、図 34のプラズマエッチング装置の要部の構成を示す概略断面図。
[図 36]図 36は、図 34のプラズマエッチング装置におけるプラズマ生成手段の要部の 等価回路を示す回路図。
[図 37]図 37は、図 34のプラズマエッチング装置における可変コンデンサのキャパシ タンスの値と、電界強度比率との関係を示す図。
[図 38]図 38は、図 34のプラズマエッチング装置の上部電極への直流電圧印加の変 形例を示す図。
[図 39]図 39は、図 34のプラズマエッチング装置の上部電極への直流電圧印加の他 の変形例を示す図。
[図 40]図 40は、本発明の第 3実施形態に係るプラズマエッチング装置を示す概略断 面図。
[図 41]図 41は、本発明の第 3実施形態に係るプラズマエッチング装置を示す概略断 面図。
[図 42A]図 42A— Bは、図 41のプラズマエッチング装置において、上部電極に直流 電圧を印加した際の V およびプラズマシース厚の変化を示す図。
dc
[図 42B]図 42A— Bは、図 41のプラズマエッチング装置において、上部電極に直流 電圧を印加した際の V およびプラズマシース厚の変化を示す図。
dc
[図 43A]図 43Aは、図 41のプラズマエッチング装置において、 HARCエッチングの 条件を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。
[図 43B]図 43Bは、図 41のプラズマエッチング装置において、 HARCエッチングの条 件を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。
[図 43C]図 43Cは、図 41のプラズマエッチング装置において、 HARCエッチングの 条件を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。
[図 43D]図 43Dは、図 41のプラズマエッチング装置において、 HARCエッチングの 条件を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。
[図 44A]図 44Aは、図 41のプラズマエッチング装置において、 Viaエッチングの条件 を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。
[図 44B]図 44Bは、図 41のプラズマエッチング装置において、 Viaエッチングの条件 を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。
[図 44C]図 44Cは、図 41のプラズマエッチング装置において、 Viaエッチングの条件 を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。
[図 44D]図 44Dは、図 41のプラズマエッチング装置において、 Viaエッチングの条件 を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。
[図 45]図 45は、上記 HARCエッチングで、第 1の高周波電力を 3000W、第 2の高周 波電力を 4000Wにした場合のウェハ径方向の電子密度分布を示す図。
[図 46]図 46は、トレンチエッチングの条件を用い、直流電圧を印加した場合と印加し ない場合とでウェハ径方向の電子密度分布を測定した結果を示す図。
[図 47]図 47は、図 41のプラズマエッチング装置における、上部電極の電気的状態を 表す図。
[図 48]図 48は、図 41のプラズマエッチング装置における、上部電極の電気的状態を 表す図。
[図 49]図 49は、図 41のプラズマエッチング装置における、上部電極の電気的状態を 表す図。
[図 50]図 50は、図 41のプラズマエッチング装置において、プラズマを検出する検出 器を設けた状態を示す断面図。
[図 51]図 51は、 GNDブロックの他の配置例を示す概略図。
[図 52]図 52は、 GNDブロックのさらに他の配置例を示す概略図。
[図 53]図 53は、 GNDブロックの付着物を除去可能な装置構成の一例を示す概略図
[図 54A]図 54Aは、図 53の装置におけるプラズマエッチング時における状態とタリー ユング時における状態を説明するための概略図。
[図 54B]図 54Bは、図 53の装置におけるプラズマエッチング時における状態とタリー ユング時における状態を説明するための概略図。
[図 55]図 55は、図 53の装置におけるプラズマエツチン時における他の状態を示す概 略図。
[図 56]図 56は、 GNDブロックの付着物を除去可能な装置構成の他の例を示す概略 図。
[図 57A]図 57Aは、図 56の装置におけるプラズマエッチング時における状態とタリー ユング時における状態を説明するための概略図。
[図 57B]図 57Bは、図 56の装置におけるプラズマエッチング時における状態とタリー ユング時における状態を説明するための概略図。
[図 58]図 58は、本発明の実施形態 4に係るプラズマエッチング装置の要部を簡略ィ匕 して示す概略断面図。
[図 59]図 59は、本発明の実施形態 5に係るプラズマエッチング装置の要部を簡略ィ匕 して示す概略断面図。
[図 60]図 60は、本発明の実施形態 6に係るプラズマエッチング装置の要部を簡略ィ匕 して示す概略断面図。
[図 61]図 61は、本発明の実施形態 7に係るプラズマエッチング装置の要部を簡略ィ匕 して示す概略断面図。
[図 62]図 62は、本発明の実施形態 8に係るプラズマエッチング装置の要部を簡略ィ匕 して示す概略断面図。
[図 63]図 63は、本発明の実施形態 9に係るプラズマエッチング装置の要部を簡略ィ匕 して示す概略断面図。
[図 64]図 64は、本発明の実施形態 10に係るプラズマエッチング装置の要部を簡略 化して示す概略断面図。
[図 65]図 65は、本発明の実施形態 11に係るプラズマエッチング装置の要部を簡略 化して示す概略断面図。
[図 66]図 66は、本発明の実施形態 12に係るプラズマエッチング装置の要部を簡略 化して示す概略断面図。
[図 67]図 67は、本発明の実施形態 13に係るプラズマエッチング装置の要部を簡略 化して示す概略断面図。
[図 68]図 68は、本発明の実施形態 13に係るプラズマエッチング装置と対比すべき従 来のプラズマエッチング装置の要部を簡略化して示す概略断面図。
[図 69]図 69は、本発明の実施形態 13に係るプラズマエッチング装置の変形例の要 部を簡略化して示す概略断面図。
[図 70]図 70は、本発明の実施形態 13に係るプラズマエッチング装置の他の変形例 の要部を簡略化して示す概略断面図。
[図 71]図 71は、本発明の実施形態 13に係るプラズマエッチング装置の他の変形例 の要部を簡略化して示す概略断面図。
[図 72]図 72は、本発明の実施形態 13に係るプラズマエッチング装置のさらに他の変 形例の要部を示す概略断面図。
[図 73]図 73は、本発明の実施形態 13に係るプラズマエッチング装置のさらにまた他 の変形例の要部を示す概略断面図。
[図 74]図 74は、本発明の実施形態 14に係るプラズマエッチング装置の要部を簡略 化して示す概略断面図。
[図 75]図 75は、本発明の実施形態 14に係るプラズマエッチング装置の変形例の要 部を簡略化して示す概略断面図。
[図 76]図 76は、本発明の実施形態 15に係るプラズマエッチング装置の要部を簡略 化して示す概略断面図。
[図 77]図 77は、本発明の実施形態 15に係るプラズマエッチング装置の変形例の要 部を簡略化して示す概略断面図。
[図 78]図 78は、本発明の実施形態 15に係るプラズマエッチング装置の他の変形例 の要部を簡略化して示す概略断面図。
[図 79]図 79は、本発明の実施形態 16に係るプラズマエッチング装置の例を示す断 面図。
[図 80]図 80は、本発明の実施形態 17に係るプラズマエッチング装置の例を示す断 面図。
[図 81]図 81は、本発明の適用が可能な他のタイプのプラズマエッチング装置の例を 示す断面図。
[図 82]図 82は、本発明の適用が可能なさらに他のタイプのプラズマエッチング装置 の例を示す概略図。
発明を実施するための最良の形態
[0110] 以下、添付図面を参照して本発明の実施の形態について具体的に説明する。
[0111] <実施形態 1 >
まず、第 1の実施形態について説明する。図 1は、本発明の第 1の実施形態に係る プラズマエッチング装置を示す概略断面図である。
[0112] このプラズマエッチング装置は、容量結合型平行平板プラズマエッチング装置とし て構成されており、例えば表面が陽極酸ィ匕処理されたアルミニウム力 なる略円筒状 のチャンバ(処理容器) 10を有している。このチャンバ 10は保安接地されている。
[0113] チャンバ 10の底部には、セラミックス等力もなる絶縁板 12を介して円柱状のサセプ タ支持台 14が配置され、このサセプタ支持台 14の上に例えばアルミニウム力もなる サセプタ 16が設けられている。サセプタ 16は下部電極を構成し、その上に被処理基 板である半導体ウェハ Wが載置される。
[0114] サセプタ 16の上面には、半導体ウェハ Wを静電力で吸着保持する静電チャック 18 が設けられている。この静電チャック 18は、導電膜からなる電極 20を一対の絶縁層 または絶縁シートで挟んだ構造を有するものであり、電極 20には直流電源 22が電気 的に接続されている。そして、直流電源 22からの直流電圧により生じたクーロン力等 の静電力により半導体ウェハ Wが静電チャック 18に吸着保持される。 [0115] 静電チャック 18 (半導体ウェハ W)の周囲でサセプタ 16の上面には、エッチングの 均一性を向上させるための、例えばシリコン力 なる導電性のフォーカスリング (補正 リング) 24が配置されている。サセプタ 16およびサセプタ支持台 14の側面には、例 えば石英力もなる円筒状の内壁部材 26が設けられて 、る。
[0116] サセプタ支持台 14の内部には、例えば円周上に冷媒室 28が設けられている。この 冷媒室には、外部に設けられた図示しないチラ一ユニットより配管 30a, 30bを介して 所定温度の冷媒、例えば冷却水が循環供給され、冷媒の温度によってサセプタ上の 半導体ウエノ、 Wの処理温度を制御することができる。
[0117] さらに、図示しない伝熱ガス供給機構からの伝熱ガス、例えば Heガスがガス供給ラ イン 32を介して静電チャック 18の上面と半導体ウェハ Wの裏面との間に供給される
[0118] 下部電極であるサセプタ 16の上方には、サセプタ 16と対向するように平行に上部 電極 34が設けられている。そして、上部および下部電極 34, 16間の空間がプラズマ 生成空間となる。上部電極 34は、下部電極であるサセプタ 16上の半導体ウエノ、 Wと 対向してプラズマ生成空間と接する面、つまり対向面を形成する。
[0119] この上部電極 34は、絶縁性遮蔽部材 42を介して、チャンバ 10の上部に支持され ており、サセプタ 16との対向面を構成しかつ多数の吐出孔 37を有する電極板 36と、 この電極板 36を着脱自在に支持し、導電性材料、例えば表面が陽極酸化処理され たアルミニウムカゝらなる水冷構造の電極支持体 38とによって構成されている。電極板 36は、ジュール熱の少ない低抵抗の導電体または半導体が好ましぐまた、後述す るようにレジストを強化する観点からはシリコン含有物質が好ましい。このような観点か ら、電極板 36はシリコンや SiCで構成されるのが好ましい。電極支持体 38の内部に は、ガス拡散室 40が設けられ、このガス拡散室 40からはガス吐出孔 37に連通する 多数のガス通流孔 41が下方に延びて 、る。
[0120] 電極支持体 38にはガス拡散室 40へ処理ガスを導くガス導入口 62が形成されてお り、このガス導入口 62にはガス供給管 64が接続され、ガス供給管 64には処理ガス供 給源 66が接続されている。ガス供給管 64には、上流側力も順にマスフローコントロー ラ(MFC) 68および開閉バルブ 70が設けられている(MFCの代わりに FCNでもよい ) oそして、処理ガス供給源 66から、エッチングのための処理ガスとして、例えば C F
4 8 ガスのようなフロロカーボンガス (CxFy)がガス供給管 64力もガス拡散室 40に至り、 ガス通流孔 41およびガス吐出孔 37を介してシャワー状にプラズマ生成空間に吐出 される。すなわち、上部電極 34は処理ガスを供給するためのシャワーヘッドとして機 能する。
[0121] 上部電極 34には、整合器 46および給電棒 44を介して、第 1の高周波電源 48が電 気的に接続されている。第 1の高周波電源 48は、 13. 56MHz以上の周波数、例え ば 60MHzの高周波電力を出力する。整合器 46は、第 1の高周波電源 48の内部(ま たは出力)インピーダンスに負荷インピーダンスを整合させるもので、チャンバ 10内 にプラズマが生成されている時に第 1の高周波電源 48の出力インピーダンスと負荷 インピーダンスが見かけ上一致するように機能する。整合器 46の出力端子は給電棒 44の上端に接続されて 、る。
[0122] 一方、上記上部電極 34には、第 1の高周波電源 48の他、可変直流電源 50が電気 的に接続されている。可変直流電源 50はバイポーラ電源であってもよい。具体的に は、この可変直流電源 50は、上記整合器 46および給電棒 44を介して上部電極 34 に接続されており、オン'オフスィッチ 52により給電のオン'オフが可能となっている。 可変直流電源 50の極性および電流 ·電圧ならびにオン ·オフスィッチ 52のオン ·オフ はコントローラ(制御装置) 51により制御されるようになって 、る。
[0123] 整合器 46は、図 2に示すように、第 1の高周波電源 48の給電ライン 49から分岐して 設けられた第 1の可変コンデンサ 54と、給電ライン 49のその分岐点の下流側に設け られた第 2の可変コンデンサ 56を有しており、これらにより上記機能を発揮する。また 、整合器 46には、直流電圧電流(以下、単に直流電圧という)が上部電極 34に有効 に供給可能なように、第 1の高周波電源 48からの高周波(例えば 60MHz)および後 述する第 2の高周波電源からの高周波(例えば 2MHz)をトラップするフィルタ 58が 設けられている。すなわち、可変直流電源 50からの直流電流がフィルタ 58を介して 給電ライン 49に接続される。このフィルタ 58はコイル 59とコンデンサ 60とで構成され ており、これらにより第 1の高周波電源 48からの高周波および後述する第 2の高周波 電源からの高周波がトラップされる。 [0124] チャンバ 10の側壁力 上部電極 34の高さ位置よりも上方に延びるように円筒状の 接地導体 10aが設けられており、この円筒状接地導体 10aの天壁部分は筒状の絶縁 部材 44aにより上部給電棒 44から電気的に絶縁されている。
[0125] 下部電極であるサセプタ 16には、整合器 88を介して第 2の高周波電源 90が電気 的に接続されている。この第 2の高周波電源 90から下部電極サセプタ 16に高周波 電力が供給されることにより、半導体ウェハ W側にイオンが引き込まれる。第 2の高周 波電源 90は、 300kHz〜13. 56MHzの範囲内の周波数、例えば 2MHzの高周波 電力を出力する。整合器 88は第 2の高周波電源 90の内部(または出力)インピーダ ンスに負荷インピーダンスを整合させるためのもので、チャンバ 10内にプラズマが生 成されている時に第 2の高周波電源 90の内部インピーダンスと負荷インピーダンスが 見かけ上一致するように機能する。
[0126] 上部電極 34には、第 1の高周波電源 48からの高周波(60MHz)は通さずに第 2の 高周波電源 90からの高周波(2MHz)をグランドへ通すためのローパスフィルタ(LP F) 92が電気的に接続されている。このローパスフィルタ(LPF) 92は、好適には LR フィルタまたは LCフィルタで構成される。一方、下部電極であるサセプタ 16には、第 1の高周波電源 48からの高周波(60MHz)をグランドに通すためのハイパスフィルタ (HPF) 94が電気的に接続されている。
[0127] チャンバ 10の底部には排気口 80が設けられ、この排気口 80に排気管 82を介して 排気装置 84が接続されている。排気装置 84は、ターボ分子ポンプなどの真空ボン プを有しており、チャンバ 10内を所望の真空度まで減圧可能となっている。また、チ ヤンバ 10の側壁には半導体ウェハ Wの搬入出口 85が設けられており、この搬入出 口 85はゲートバルブ 86により開閉可能となっている。また、チャンバ 10の内壁に沿 つてチャンバ 10にエッチング副生物(デポ)が付着することを防止するためのデポシ 一ルド 11が着脱自在に設けられている。すなわち、デポシールド 11がチャンバ壁を 構成している。また、デポシールド 11は、内壁部材 26の外周にも設けられている。チ ヤンバ 10の底部のチャンバ壁側のデポシールド 11と内壁部材 26側のデポシールド 11との間には排気プレート 83が設けられている。デポシールド 11および排気プレー ト 83としては、アルミニウム材に Y O等のセラミックスを被覆したものを好適に用いる ことができる。
[0128] デポシールド 11のチャンバ内壁を構成する部分のウェハ Wとほぼ同じ高さ部分に は、グランドに DC的に接続された導電性部材 (GNDブロック) 91が設けられており、 これにより後述するような異常放電防止効果を発揮する。
[0129] プラズマエッチング装置の各構成部は、制御部(全体制御装置) 95に接続されて 制御される構成となっている。また、制御部 95には、工程管理者がプラズマエツチン グ装置を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装 置の稼働状況を可視化して表示するディスプレイ等力 なるユーザーインターフエ一 ス 96が接続されている。
[0130] さらに、制御部 95には、プラズマエッチング装置で実行される各種処理を制御部 9 5の制御にて実現するための制御プログラムや、処理条件に応じてプラズマエツチン グ装置の各構成部に処理を実行させるためのプログラムすなわちレシピが格納され た記憶部 97が接続されて 、る。レシピはハードディスクや半導体メモリーに記憶され ていてもよいし、 CDROM、 DVD等の可搬性のコンピュータにより読み取り可能な記 憶媒体に収容された状態で記憶部 97の所定位置にセットするようになって 、てもよ い。
[0131] そして、必要に応じて、ユーザーインターフェース 96からの指示等にて任意のレシ ピを記憶部 97から呼び出して制御部 95に実行させることで、制御部 95の制御下で、 プラズマエッチング装置での所望の処理が行われる。なお、本発明の実施の形態で 述べるプラズマ処理装置(プラズマエッチング装置)は、この制御部 95を含むものと する。
[0132] このように構成されるプラズマエッチング装置においてエッチング処理を行う際には 、まず、ゲートバルブ 86を開状態とし、搬入出口 85を介してエッチング対象である半 導体ウエノ、 Wをチャンバ 10内に搬入し、サセプタ 16上に載置する。そして、処理ガ ス供給源 66からエッチングのための処理ガスを所定の流量でガス拡散室 40へ供給 し、ガス通流孔 41およびガス吐出孔 37を介してチャンバ 10内へ供給しつつ、排気 装置 84によりチャンバ 10内を排気し、その中の圧力を例えば 0. l〜150Paの範囲 内の設定値とする。ここで、処理ガスとしては、従来用いられている種々のものを採用 することができ、例えば C Fガスのようなフロロカーボンガス(CxFy)に代表されるハ
4 8
ロゲン元素を含有するガスを好適に用いることができる。さらに、 Arガスや Oガス等
2 の他のガスが含まれて 、てもよ 、。
[0133] このようにチャンバ 10内にエッチングガスを導入した状態で、第 1の高周波電源 48 力もプラズマ生成用の高周波電力を所定のパワーで上部電極 34に印加するとともに 、第 2の高周波電源 90よりイオン引き込み用の高周波を所定のパワーで下部電極で あるサセプタ 16に印加する。そして、可変直流電源 50から所定の直流電圧を上部電 極 34に印加する。さらに、静電チャック 18のための直流電源 22から直流電圧を静電 チャック 18の電極 20に印加して、半導体ウェハ Wをサセプタ 16に固定する。
[0134] 上部電極 34の電極板 36に形成されたガス吐出孔 37から吐出された処理ガスは、 高周波電力により生じた上部電極 34と下部電極であるサセプタ 16間のグロ一放電 中でプラズマ化し、このプラズマで生成されるラジカルやイオンによって半導体ウェハ Wの被処理面がエッチングされる。また、このように上部電極 34にプラズマ形成用の 第 1の高周波電力を供給してプラズマ密度を調節し、下部電極であるサセプタ 16に イオン引き込み用の第 2の高周波電力を供給して電圧調節するので、プラズマの制 御マージンを広くすることができる。
[0135] 本実施形態では、このようにしてプラズマが形成される際に、上部電極 34に高い周 波数領域 (例えば、 10MHz以上)の高周波電力を供給しているので、プラズマを好 まし 、状態で高密度化することができ、より低圧の条件下でも高密度プラズマを形成 することができる。
[0136] また、このようにプラズマが形成される際に、上部電極 34に可変直流電源 50から所 定の極性および大きさの直流電圧が印加される。このとき、印加電極である上部電極 34の表面つまり電極板 36の表面に対する所定の (適度な)スパッタ効果が得られる 程度にその表面の自己バイアス電圧 V が深くなるように、つまり上部電極 34表面で dc
の V の絶対値が大きくなるように、可変直流電源 50からの印加電圧をコントローラ 5 dc
1により制御することが好ましい。第 1の高周波電源 48から印加される高周波のパヮ 一が低い場合に、上部電極 34にポリマーが付着する力 可変直流電源 50から適切 な直流電圧を印加することにより、上部電極 34に付着したポリマーをスパッタして上 部電極 34の表面を清浄ィ匕することができる。それとともに、半導体ウエノ、 W上に最適 な量のポリマーを供給してフォトレジスト膜の表面荒れを解消することができる。また、 可変直流電源 50からの電圧を調整して上部電極 34自体をスパッタして電極材料自 体を半導体ウェハ W表面に供給するようにすることにより、フォトレジスト膜表面で力 ーバイドを形成してフォトレジスト膜が強化され、かつスパッタされた電極材料がフロ 口カーボン系の処理ガス中の Fと反応して排気されることによりプラズマ中の F比率が 減少してフォトレジスト膜がエッチングされ難くなる。電極板 36がシリコンや SiC等の シリコン含有物質の場合には、電極板 36表面でスパッタされたシリコンがポリマーと 反応してフォトレジスト膜表面に SiCが形成され、フォトレジスト膜が極めて強固なもの となり、し力も、 Siは Fと反応しやすいため、上記効果が特に大きい。したがって、電 極板 36の材料としてはシリコン含有物質が好ましい。なお、この場合に、可変直流電 源 50からの印加電圧を制御する代わりに、印加電流または印加電力を制御するよう にしてもよい。
このように上部電極 34に直流電圧を印加して自己バイアス電圧 V が深くなつた場 dc
合には、図 3に示すように、上部電極 34側に形成されるプラズマシースの厚さが大き くなる。そして、プラズマシースが厚くなると、その分だけプラズマが縮小化される。例 えば、上部電極 34に直流電圧を印加しない場合には上部電極側の V が例えば dc
300Vであり、図 4Aに示すようにプラズマは薄いシース厚 dを有する状態である。し
0
かし、上部電極 34に 900Vの直流電圧を印加すると上部電極側の V がー 900V dc となり、プラズマシースの厚さは、 V の絶対値の 3Z4に比例するから、図 4Bに示す dc
ように、より厚いプラズマシース dが形成され、その分プラズマが縮小化する。このよう に厚いプラズマシースを形成して、プラズマを適切に縮小化することにより、半導体ゥ ェハ W上の実効レジデンスタイムが減少し、かつプラズマがウェハ W上に集中して拡 散が抑えられ解離空間が減少する。これらにより、フロロカーボン系の処理ガスの解 離が抑えられ、フォトレジスト膜がエッチングされ難くなる。したがって、可変直流電源
50からの印加電圧は、上部電極 34におけるプラズマシースの厚さが所望の縮小化 されたプラズマが形成される程度に厚くなるようにコントローラ 51により制御することが 好ましい。この場合にも、可変直流電源 50からの印加電圧を制御する代わりに、印 加電流または印加電力を制御するようにしてもょ 、。
[0138] また、プラズマが形成される際には、上部電極 34近傍に電子が生成される。上部 電極 34に可変直流電源 50から直流電圧を印加すると、印加した直流電圧値とブラ ズマ電位との電位差により、電子は処理空間の鉛直方向へ加速される。可変直流電 源 50の極性、電圧値、電流値を所望のものにすることにより、電子は半導体ウェハ W に照射される。照射された電子は、マスクとしてのフォトレジスト膜の組成を改質させ、 フォトレジスト膜は強化される。したがって、可変直流電源 50の印加電圧値および印 加電流値により上部電極 34近傍で生成する電子の量と、このような電子のウェハ W への加速電圧を制御することで、フォトレジスト膜に対する所定の強化を図ることがで きる。
[0139] 特に、半導体ウェハ W上のフォトレジスト膜が ArFエキシマレーザー(波長 193nm) 用のフォトレジスト膜 (以下、 ArFレジスト膜と記す)である場合、 ArFレジスト膜のポリ マー構造は、以下の化学式(1)、(2)に示すような反応を経て、電子が照射されて化 学式 (3)の右辺のような構造となる。すなわち、電子が照射されると化学式 (3)の d部 に示すように、 ArFレジスト膜の組成の改質が起こる(レジストの架橋反応)。この d部 は、エッチング耐性 (プラズマ耐性)を非常に強くする働きを有するので、 ArFレジスト 膜のエッチング耐性は飛躍的に増大する。このため、 ArFレジスト膜の表面荒れを抑 制することができ、 ArFレジスト膜に対するエッチング対象層のエッチング選択比を高 めることができる。
[化 1]
Figure imgf000044_0001
Figure imgf000044_0002
Figure imgf000044_0003
[0140] したがって、可変直流電源 50からの印加電圧値 ·電流値は、電子の照射によって フォトレジスト膜 (特に ArFレジスト膜)のエッチング耐性が強くなるように、コントローラ 51により制御することが好ましい。
[0141] また、上述したように、上部電極 34に直流電圧を印加すると、プラズマが形成され る際に上部電極 34近傍に生成された電子が処理空間の鉛直方向へ加速されるが、 可変直流電源 50の極性、電圧値、電流値を所望のものにすることにより、電子を半 導体ウェハ wのホール内に到達させることができ、シェーディング効果を抑制してボ 一イングのない良好な加工形状を得ることができ、加工形状の均一性を良好にする ことができる。
[0142] 加速電圧を制御された電子がウェハ Wに入射する電子量として、直流電圧による 電子電流量 I を用いた場合に、プラズマカゝらウェハに入射するイオン電流量 I とす
DC ion ると、 I > (1/2) I を満たすことが好ましい。 I =Z p v e (ただし、 Z :荷数、 p:
DC ion ion ion
流速密度、 v :イオン速度、 e :電子の電荷量 1. 6 X 10_19C)であり、 は電子密度
ion
Neに比例するから I は Neに比例する。
ion
[0143] このように、上部電極 34に印加する直流電圧を制御して、上記上部電極 34のスパ ッタ機能またはプラズマの縮小化機能、さらには上記上部電極 34で生成される多量 の電子の半導体ウェハ Wへの供給機能が発揮されることにより、フォトレジスト膜の強 化や最適ポリマーの供給、処理ガスの解離抑制等が図られ、フォトレジストの表面荒 れ等を抑制することができ、フォトレジスト膜に対するエッチング対象層のエッチング 選択比を高めることができる。それとともに、フォトレジストの開口部における CDの広 力 Sりを抑制することができ、より高精度のパターン形成を実現することができる。特に、 これらスパッタ機能およびプラズマの縮小化機能および電子の供給機能の 3つが適 切に発揮されるように直流電圧を制御することにより、このような効果をより高めること ができる。
[0144] なお、上記各機能のうちいずれが優勢に生じるかは処理条件等により異なり、これ ら機能の一つ以上が発揮され、上記効果を有効に奏するように、可変直流電源 50か ら印加される電圧をコントローラ 51により制御することが好ま 、。
[0145] このような機能を利用してフォトレジスト膜に対するエッチング対象膜である SiO膜
2 の選択比を改善した結果について説明する。ここでは、上部電極 34の電極板 36とし てシリコンを用い、第 1の高周波電源 48から上部電極 34へ周波数 60MHzで 100〜 3000Wの高周波電力を供給し、第 2の高周波電源 90から下部電極であるサセプタ 16へ周波数 2MHzで 4500Wの高周波電力を供給して、エッチングガスとして C F
4 6
/Ar/Oを用い、可変直流電源 50からの印加電圧を変化させた場合におけるフォ
2
トレジスト膜および SiO膜のエッチングレートの変化およびフォトレジスト膜に対する SiO膜の選択比の変化を把握した。その結果を図 5に示す。この図に示すように、上
2
部電極 34に負の直流電圧を印加し、その絶対値が上昇するに従ってフォトレジスト 膜に対する SiO膜の選択比が上昇し、—600Vを超えてその絶対値が大きくなると
2
その選択比が著しく上昇することがわかる。すなわち、上部電極 34に— 600Vよりも 絶対値の高い負の直流電圧を印加すれば、フォトレジスト膜に対する SiO膜の選択
2 比が大幅に改善することが確認された。
[0146] また、上部電極 34に印加する直流電圧を調整することにより、プラズマポテンシャ ルを制御することができる。これにより、上部電極 34やチャンバ壁を構成するデポシ 一ルド 11、内壁部材 26、絶縁性遮蔽部材 42へのエッチング副生物の付着を抑制す る機能を有する。
[0147] エッチング副生物が上部電極 34やチャンバ壁を構成するデポシールド 11等に付 着すると、プロセス特性の変化やパーティクルの懸念がある。特に、多層膜を連続し てエッチングする場合、例えば図 6に示すような S係有機膜 (SiOC) 101、 SiN膜 10 2、 SiO膜 103、フォトレジスト 104を半導体ウェハ W上に順次積層した多層膜を連
2
続してエッチングする場合には、各膜によってエッチング条件が異なるため、前の処 理の影響が残存して次の処理に悪影響を与えるメモリー効果が生じてしまう。
[0148] このようなエッチング副生物の付着はプラズマポテンシャルと上部電極 34やチャン バ壁等との間のポテンシャル差によって影響するため、プラズマポテンシャルを制御 することができれば、このようなエッチング生成物の付着を抑制することができる。
[0149] 図 7は上部電極 34に直流電圧を印加した際のプラズマポテンシャル波形の変化を 示す図であり、図 8は上部電極に供給する直流電圧の値とプラズマポテンシャルの最 大値との関係を示す図である。これらの図に示すように、上部電極 34に負の直流電 圧を印加するとその絶対値が大きくなるほどプラズマポテンシャルの最大値が低くな る。すなわち、上部電極 34に印加する直流電圧によってプラズマポテンシャルを制 御することができることがわかる。これは、上部電極 34に、上部電極 34に印加する高 周波電力のセルフバイアス (V )より絶対値の高い直流電圧を印加することにより、 V dc
の絶対値が大きくなり、プラズマポテンシャルが低下するからである。より詳細に説 dc
明すると、プラズマポテンシャルの値は、上部電極によるプラズマポテンシャルの押し 上げによって決まっていた。しかし、絶対値の高い負の電圧を上部電極に印加すると 、上部電極の電圧振幅が全て負の電位で行われるようになるので、プラズマポテンシ ャルは壁の電位で決まるようになる。このため、プラズマポテンシャルが低下するので ある。
[0150] このように、可変直流電源 50から上部電極 34に印加する電圧を制御することにより 、プラズマポテンシャルを低下させることができ、上部電極 34やチャンバ壁を構成す るデポシールド 11、さらにはチャンバ 10内の絶縁材(部材 26, 42)へのエッチング副 生物の付着を抑制することができる。プラズマポテンシャル Vpの値としては、 80V≤ Vp≤ 200Vの範囲が好まし!/、。
[0151] また、上部電極 34に印加する直流電圧を制御することにより、このようなプラズマポ テンシャル制御機能と、上述の上部電極 34のスパッタ機能およびプラズマの縮小化 機能および電子の供給機能を有効に発揮させることも可能である。
[0152] さらに、上部電極 34に直流電圧を印加することによる他の効果として、印加した直 流電圧によってプラズマが形成されることにより、プラズマ密度を高めてエッチングレ ートを上昇させることが挙げられる。
[0153] これは、上部電極に負の直流電圧を印加すると、電子が上部電極に入り難くなり電 子の消滅が抑制されることと、イオンが上部電極に加速されて入ると電子が電極から 出ることができ、その電子がプラズマ電位と印加電圧値の差で高速に加速され中性 ガスを電離 (プラズマ化)することで、電子密度 (プラズマ密度)が増加するからである
[0154] さらにまた、プラズマが形成された場合に、上部電極 34に可変直流電源 50から直 流電圧を印加すると、プラズマ拡散のために、比較的中心部のプラズマ密度を上昇 させることができる。チャンバ 10内の圧力が高くかつ使用するエッチングガスが負性 ガスの場合には、チャンバ 10内の中心部のプラズマ密度が低くなる傾向にある力 こ のように上部電極 34に直流電圧を印加して中心部のプラズマ密度を上昇させるよう にすることができ、均一なエッチングが行えるように、プラズマ密度をコントロールする ことができる。ただし、エッチング特性はプラズマ密度のみで規定されないから、ブラ ズマ密度が均一になるほどエッチングが均一になるとは限らない。 [0155] このことを実験によって説明する。
[0156] 図 1の装置において、半導体ウェハをチャンバ内に装入してサセプタ上に載置し、 BARC (有機反射防止膜)およびエッチング対象膜のエッチングを行った。 BARCの エッチングの際には、第 1の高周波電力を 2500W、第 2の高周波電力を 2000Wとし 、処理ガスとして CH F 、 CHF 、 Ar、 Oを用いた。また、エッチング対象膜のエッチ
2 2 3 2
ングの際には、第 1の高周波電力を 1500W、第 2の高周波電力を 4500Wとし、処理 ガスとして CH F 、 CF 、 Ar、 Oを用い、ホールのエッチングを行った。その際に、上
4 6 4 2
部電極に印加する直流電圧を 800V、 一 1000V、 一 1200Vと変化させた。その際 の電子密度 (プラズマ密度)の径方向の分布を図 9に示す。この図に示すように、 8 OOVから— 1200Vへと直流電圧の絶対値が増加するほどセンターの電子密度が上 昇し、プラズマ密度が均一になる傾向が見られる。この際の、センターとエッジにおけ るエッチング形状を模式的に図 10A—Cに示す。この図から、直流電圧が— 800V 力も一 1000Vとなることによりエッチングの均一性が増加することがわかる。一方、 1000Vから 1200Vになることにより、電子密度の均一性は増加する力 センター においてエッチング性が高くなりすぎ、力えってエッチング均一性は低下する。このこ とから一 1000Vがエッチングの均一性が最もよいことが確認された。いずれにしても 、直流電圧を調整することにより、均一なエッチングを行うことができることがわかる。
[0157] 以上のように、上部電極 34に印加する直流電圧を制御することにより、上述の上部 電極 34のスパッタ機能、プラズマの縮小化機能、電子の供給機能、プラズマポテン シャル制御機能、電子密度 (プラズマ密度)上昇機能、およびプラズマ密度コントロー ル機能の少なくとも一つを有効に発揮させることが可能である。
[0158] 可変直流電源 50としては、—2000〜 + 1000Vの範囲の電圧を印加可能なものを 適用することができる。そして、以上のような諸機能を有効に発揮させるためには、可 変直流電源 50からの直流電圧は、絶対値で 500V以上が好ま Uヽ。
[0159] また、印加する直流電圧は、上部電極 34に印加される第 1の高周波電力によって 上部電極の表面に発生する自己バイアス電圧より絶対値が大きい負の電圧であるこ とが好ましい。
[0160] このことを確認した実験について説明する。図 11は、第 1の高周波電源 48からブラ ズマ生成用の高周波電力(60MHz)のパワーを変えて上部電極 34に印加した場合 に、上部電極 34の表面に発生する自己バイアス電圧 V と、上部電極 34に印加する dc
直流電圧との関係を示すグラフである。ここでは、チャンバ内圧力 = 2. 7Pa、上部電 極 34〖こ 650W、 1100Wまたは 2200Wの高周波電力、下部電極としてのサセプタ 1 6に 2100Wの高周波電力を印加し、処理ガス流量 C F /Ar/O = 25/700/26
4 6 2
mL/min,上下部電極間距離 = 25mm、バックプレッシャー(センター部 Zエッジ 部) = 1333Z4666Pa、上部電極 34の温度 = 60°C、チャンバ 10側壁の温度 = 50 。C、サセプタ 16の温度 = 0°Cの条件でプラズマを生成させ、上部電極 34表面の自 己バイアス電圧 V を測定した。
dc
[0161] 図 11のグラフから、印加した直流電圧は、上部電極 34の自己バイアス電圧 V より dc 大きな場合にその効果が現れるとともに、上部電極 34に供給する高周波電力が大き くなるほど、発生する負の自己バイアス電圧 V も大きくなることがわかる。したがって dc
、直流電圧を印加する場合には、高周波電力による自己バイアス電圧 V よりも絶対 dc 値が大きい負の電圧を印加する必要がある。このことから、上部電極 34へ印加する 直流電圧の絶対値は、上部電極に発生する自己ノ ィァス電圧 V に比べ、少しでも dc
大きく設定することが好ま 、ことが確認された。
[0162] また、図 12に示すように、例えばプラズマ検出窓 10aからプラズマの状態を検出す る検出器 55を設け、その検出信号に基づいてコントローラ 51が可変直流電源 50を 制御するようにすることにより、上述した機能を有効に発揮するような直流電圧を自動 的に上部電極 34に印加することが可能である。また、シース厚を検出する検出器あ るいは電子密度を検出する検出器を設け、その検出信号に基づいてコントローラ 51 が可変直流電源 50を制御するようにしてもょ 、。
[0163] ここで、本実施形態のプラズマエッチング装置において、ウェハ W上に形成された 絶縁膜 (例えば Low— k膜)をエッチングする際に、処理ガスとして使用するのが特に 好まし!/、ガスの組み合わせを下記に例示する。
[0164] ビアエッチングの条件におけるオーバーエッチング時に、使用するのが好ましい処 理ガスの組み合わせとして、 C F、 Ar、 Nが挙げられる。これにより、絶縁膜の下地
5 8 2
膜 (SiC、 SiN等)に対する選択比を大きくとることができる。 [0165] また、トレンチエッチングの条件では、使用するのが好ましい処理ガスの組み合わ せとして、 CFまたは(C F、 CF、 Ar、 N、 O )が挙げられる。これにより、絶縁膜の
4 4 8 4 2 2
マスクに対する選択比を大きくとることができる。
[0166] また、 HARCエッチングの条件では、使用するのが好ま 、処理ガスの組み合わ せとして、(C F、 CF、 Ar、 O )または(C F、 C F、 Ar、 O )または(C F、 CH F
4 6 4 2 4 6 3 8 2 4 6 2 2
、 Ar、 O )が挙げられる。これにより、絶縁膜のエッチング速度を大きくすることができ
2
る。
[0167] なお上記に限られず、(CxHyFzのガス ZN , O等の添加ガス
2 2 Z希釈ガスの組み 合わせ)を使用することが可能である。
[0168] ところで、上部電極 34に直流電圧を印加すると、上部電極 34に電子がたまり、チヤ ンバ 10の内壁との間等に異常放電が生じるおそれがある。このような異常放電を抑 制するため、本実施形態では DC的に接地されたパーツである GNDブロック (導電 性部材) 91をチャンバ壁側のデポシールド 11に設けている。この GNDブロック 91は プラズマ面に露出しており、デポシールド 11の内部の導電部に電気的に接続されて おり、可変直流電源 50から上部電極 34に印加された直流電圧電流は、処理空間を 経て GNDブロック 91に到達し、デポシールド 11を介して接地される。 GNDブロック 9 1は導電体であり、 Si, SiC等のシリコン含有物質であることが望ましい。 Cも好適に用 いることができる。この GNDブロック 91により、上記上部電極 34にたまる電子を逃が すことができ、異常放電を防止することができる。 GNDブロック 91の突出長さは 10m m以上であることが好ま U、。
[0169] また、異常放電を防止するために、上部電極 34に直流電圧を印加する場合に、適 宜の手段により直流電圧に重畳して図 13に示すような極短 、逆極性のパルスを周 期的に与えて電子を中和する方法も有効である。
[0170] 上記 GNDブロック 91は、プラズマ形成領域に設けられていれば、その位置は図 1 の位置に限らず、例えば、図 14に示すように、サセプタ 16の周囲に設ける等、サセ プタ 16側に設けてもよぐまた図 15に示すように、上部電極 34の外側にリング状に 設ける等、上部電極 34近傍に設けてもよい。ただし、プラズマを形成した際に、デポ シールド 11等に被覆されている Y Oやポリマーが飛翔し、それが GNDブロック 91 に付着すると、 DC的に接地されなくなって、異常放電防止効果を発揮し難くなるた め、これらが付着し難いことが重要となる。そのためには、 GNDブロック 91が Y O等
2 3 で被覆された部材カゝら離れた位置であることが好ましぐ隣接パーツとしては Siや石 英(SiO )等の Si含有物質であることが好ましい。例えば、図 16Aに示すように、 GN
2
Dブロック 91の周囲に Si含有部材 93を設けることが好ましい。この場合に、 Si含有部 材 93の GNDブロック 91の下の部分の長さ Lは GNDブロック 91の突出長さ M以上で あることが好ましい。また、 Y Oやポリマーの付着による機能低下を抑制するために
2 3
、図 16Bに示すように、 GNDブロック 91として飛翔物が付着し難い凹所 91aを設ける ことが有効である。また、 GNDブロック 91の表面積を大きくして、 Y Oやポリマーに
2 3
覆われ難くすることも有効である。さらに、付着物を抑制するためには温度が高いこと が有効であるが、上部電極 34にはプラズマ形成用の高周波電力が供給され、その 近傍の温度が上昇するため、温度を上昇させて付着物を付着させない観点力 上記 図 15のように上部電極 34の近傍に設けることも好ましい。この場合、特に、上記図 1 5のように、上部電極 34の外側にリング状に設けることがより好ましい。
デポシールド 11等に被覆されている Y Oやポリマーの飛翔にともなう GNDブロッ
2 3
ク 91への付着物の影響をより効果的に排除するためには、図 17に示すように、 GN Dブロック 91に負の直流電圧を印加可能にするのが効果的である。すなわち、 GND ブロック 91に負の直流電圧を印加することにより、そこに付着した付着物カ^パッタま たはエッチングされ、 GNDブロック 91の表面をクリーニングすることができる。図 17の
Figure imgf000051_0001
、ては、 GNDブロック 91に可変直流電源 50から電圧印加が可能なように 、 GNDブロック 91の接続を、可変直流電源 50側と接地ラインとで切り替える切替機 構 53が設けられ、さらに GNDブロック 91に負の直流電圧が印加された際に発生す る直流電子電流を流入させる、接地された導電性補助部材 91bが設けられている。 切替機構 53は、可変直流電源 50の接続を整合器 46側と GNDブロック 91側との間 で切り替える第 1スィッチ 53aと、 GNDブロック 91の接地ラインへの接続をオン'オフ する第 2スィッチ 53bとを有している。なお、図 17の例では、 GNDブロック 91が上部 電極 34の外側にリング状に設けられ、導電性補助部材 91aがサセプタ 16の外周に 設けられており、この配置が好ましいが、必ずしもこのような配置でなくてもよい。 [0172] 図 17の構成の装置において、プラズマエッチング時には、通常、図 18Aに示すよう に、切替機構 53の第 1スィッチ 53aが上部電極 34側に接続され、可変直流電源 50 が上部電極 34側に接続された状態となり、かつ第 2スィッチ 53bがオンにされ、 GND ブロック 91が接地ライン側に接続される。この状態においては、第 1の高周波電源 48 および可変直流電源 50から上部電極 34に給電されてプラズマが形成され、直流電 子電流は、プラズマを介して上部電極 34力 接地されている GNDブロック 91および 導電性補助部材 91bに流入する(正イオン電流の流れの向きは逆となる)。このとき、 GNDブロック 91の表面は、上述したような Y Oやポリマー等の付着物で被覆される
2 3
ことがある。
[0173] このため、このような付着物をクリーニングする。このようなクリーニング時には、図 1 8Bに示すように、切替機構 53の第 1スィッチ 53aを GNDブロック 91側に切り替え、 第 2スィッチ 53bをオフにする。この状態においては、第 1の高周波電源 48から上部 電極 34に給電されてクリーニングプラズマが形成され、可変直流電源 50から負の直 流電圧が GNDブロック 91に印加される。これにより、直流電子電流は GNDブロック 91から導電性補助部材 9 lbに流入する。逆に正イオンは GNDブロック 91に流入す る。このため、直流電圧を調整して GNDブロック 91への正イオンの入射エネルギー を制御することにより、 GNDブロック 91表面をィオンスパッタすることができ、これによ り GNDブロック 91表面の付着物を除去することができる。
[0174] また、プラズマエッチング時の一部の期間において、図 19に示すように、第 2スイツ チ 53bをオフにし、 GNDブロック 91をフローティング状態としてもよい。このとき、直流 電子電流は、プラズマを介して上部電極 34から導電性補助部材 9 laに流入する(正 イオン電流の流れの向きは逆となる)。このとき GNDブロック 91にはセルフバイアス 電圧がかかり、その分のエネルギーをもって正イオンが入射され、プラズマエッチング 時に GNDブロック 91をクリーニングすることができる。
[0175] なお、上記クリーニング時においては、印加する直流電圧は小さくてよぐその際の 直流電子電流は小さい。このため、図 17の構成において、リーク電流により GNDブ ロック 91に電荷がたまらないようにできる場合には、必ずしも導電性補助部材 91bは 必要ない。 [0176] 上記図 17の例では、クリーニング時に、可変直流電源 50の接続を上部電極 34側 力も GND電極 91側に切り替え、直流電圧を印加した際の直流電子電流が GNDブ ロック 91から導電性補助部材 9 lbへ流れるようにした力 可変直流電源 50の正極を 上部電極 34に接続し、負極を GNDブロック 91に接続し、直流電圧を印加した際の 直流電子電流が GNDブロック 91から上部電極 34へ流れるようにしてもよい。この場 合は、導電性補助部材は不要である。このような構成を図 20に示す。図 20の構成に おいては、プラズマエッチング時には、可変直流電源 50の負極が上部電極 34に接 続され、かつ GNDブロック 91が接地ラインに接続され、クリーニング時には、可変直 流電源 50の正極が上部電極 34に接続され、負極が GNDブロック 91に接続されるよ うに、接続を切り替える接続切替機構 57が設けられている。この接続切替機構 57は 、上部電極 34に対する可変直流電源 50の接続を正極と負極との間で切り替える第 1 スィッチ 57aと、 GNDブロック 91に対する可変直流電源 50の接続を正極と負極との 間で切り替える第 2スィッチ 57bと、可変直流電源 50の正極または負極を接地するた めの第 3スィッチ 57cとを有している。第 1スィッチ 57aと第 2スィッチ 57bとは、第 1スィ ツチ 57aが可変直流電源 50の正極に接続されている際には第 2スィッチ 57bが直流 電源の負極に接続され、第 1スィッチ 57aが可変直流電源 50の負極に接続されて ヽ る際には第 2スィッチ 57bがオフになるように連動する連動スィッチを構成している。
[0177] 図 20の構成の装置において、プラズマエッチング時には、図 21Aに示すように、接 続切替機構 57の第 1スィッチ 57aが可変直流電源 50の負極側に接続され、可変直 流電源 50の負極が上部電極 34側に接続された状態となり、かつ第 2スィッチ 57bが 可変直流電源 50の正極側に接続され、第 3スィッチ 57cが可変直流電源 50の正極 側に接続され (可変直流電源 50の正極を接地)、 GNDブロック 91が接地ライン側に 接続される。この状態においては、第 1の高周波電源 48および可変直流電源 50から 上部電極 34に給電されてプラズマが形成され、直流電子電流は、プラズマを介して 上部電極 34から接地されて!ヽる GNDブロック 91に流入する(正イオン電流の流れの 向きは逆となる)。このとき、 GNDブロック 91の表面は、上述したような Y Oやポリマ
2 3 一等の付着物で被覆されることがある。
[0178] 一方、クリーニング時には、図 21Bに示すように、接続切替機構 57の第 1スィッチ 5 7aを可変直流電源 50の正極側に切り替え、第 2スィッチ 57bを可変直流電源 50の 負極側に切り替え、さらに第 3スィッチ 57cを未接続状態とする。この状態においては 、第 1の高周波電源 48から上部電極 34に給電されてクリーニングプラズマが形成さ れ、 GNDブロック 91には可変直流電源 50の負極から、上部電極 34には可変直流 電源 50の正極から、直流電圧が印加され、これらの間の電位差により直流電子電流 は GNDブロック 91から上部電極 34に流入し、逆に正イオンは GNDブロック 91に流 入する。このため、直流電圧を調整して GNDブロック 91への正イオンの入射エネル ギーを制御することにより、 GNDブロック 91表面をィオンスパッタすることができ、こ れにより GNDブロック 91表面の付着物を除去することができる。なお、この場合に可 変直流電源 50は見かけ上フローティング状態である力 一般的に電源にはフレーム 接地ラインが設けられて 、るので安全である。
[0179] また、上記例では第 3スィッチ 57cを未接続状態とした力 可変直流電源 50の正極 側に接続のまま (可変直流電源 50の正極を接地)としてもよい。この状態においては 、第 1の高周波電源 48から上部電極 34に給電されてクリーニングプラズマが形成さ れ、 GNDブロック 91には可変直流電源 50の負極から直流電圧が印加され、直流電 子電流はプラズマを介して GNDブロック 91から上部電極 34に流入し、逆に正イオン は GNDブロック 91に流入する。この場合においても、直流電圧を調整して GNDブロ ック 91への正イオンの入射エネルギーを制御することにより、 GNDブロック 91表面を ィオンスパッタすることができ、これにより GNDブロック 91表面の付着物を除去するこ とがでさる。
[0180] なお、図 17および図 20の例では、クリーニングの際に GNDブロック 91に直流電圧 を印加した力 交流電圧を印加してもよい。また、図 17の例において、上部電極に直 流電圧を印加するための可変直流電源 50を用いて GNDブロック 91に電圧を印加し た力 別の電源力 電圧を印加するようにしてもよい。また、図 17および図 20の例で は、プラズマエッチング時に GNDブロック 91を接地させ、クリーニング時に GNDブロ ック 91に負の直流電圧を印加する形態を説明したが、これに限られない。例えば、プ ラズマエッチング時に GNDブロック 91に負の直流電圧を印加してもよい。また、上記 のクリーニング時をアツシング時に置き換えてもよい。さらに、可変直流電源 50として ノィポーラ電源を用いた場合には、上記接続切替機構 57のような複雑なスィッチン グ動作は不要である。
[0181] 図 17の例における切替機構 53、図 20の例における接続切替機構 57の切り替え 動作は、制御部 95からの指令に基づいて行われる。
[0182] プラズマを形成した際において、 Y Oやポリマーが GNDブロック 91へ付着するこ
2 3
とによって DC的に接地されなくなることを簡易に防止する観点からは、 GNDブロック 91の一部を他の部材で覆い、これらに相対移動を生じさせることにより、 GNDブロッ ク 91の新たな面が露出するようにすることが有効である。具体的には、図 22に示すよ うに、 GNDブロック 91を比較的大面積として、 GNDブロック 91のプラズマが当たる 表面の一部を矢印方向に移動可能なマスク材 111で覆 、、この保護板 111を移動 することにより、 GNDブロック 91表面のプラズマに曝される部分を変えることを可能と することを挙げることができる。この場合に駆動機構をチャンバ 10内に設けるとパー ティクル発生を引き起こす懸念があるが、百時間に一度程度と少な 、頻度でょ 、の で大きな問題は生じない。また、図 23に示すように、例えば円柱状の GNDブロック 1 91を回転可能に設け、 GNDブロック 191の外周面の一部のみが露出可能なように マスク材 112で覆うよう〖こし、 GNDブロック 191を回転させることにより、プラズマに曝 されている部分を変えるようにすることも有効である。この場合には、駆動機構はチヤ ンバ 10外に設けることができる。マスク材 111, 112としては、耐プラズマ性の高いも の、例えば Y O等のセラミックスを溶射したアルミ板を用いることができる。
2 3
[0183] また、同様に GNDブロック 91が付着物によって DC的に接地されなくなることを簡 易に防止するための他の手法としては、 GNDブロック 91の一部を他の部材で覆い、 この他の部材としてプラズマにより徐々にエッチングされるものを用いて、 GNDブロッ ク 91が常に導電性を失って 、な 、面が露出するようにすることが有効である。例えば 、図 24Aに示すように、段付きの保護膜 113で GNDブロック 91表面の一部を覆い、 初期露出面 91cに接地機能を持たせる。この状態でプラズマ処理を例えば 200時間 行うと、図 24Bに示すように、 GNDブロック 91の初期露出面 91cが導電性を失うが、 その際に段付きの保護膜 113の薄い部分がエッチングされて GNDブロック 91の新 露出面 91dが現れるようにする。これにより新露出面 91dが接地機能を発揮するよう になる。このような保護膜 113は、 GNDブロック 91へ壁面材料が付着するのを防止 する効果と、 GNDブロック 91へのイオンの流入を減少させて汚染を防止する効果を 有する。
[0184] 実際の適用においては、図 25に示すように、薄い層 114を多数積層して各層を少 しずつずらした保護膜 113aを用いることが好ましい。この場合に、 1つの層 114がプ ラズマによるエッチングによって消失する時間を Teとし、 GNDブロック 91の露出した 表面が汚染されて導電性を消失するまでの時間を Tpとすると、カゝならず Te<Tpを 満たすように層 114の厚さを設定することにより、 GNDブロック 91にお 、て常に導電 性を保った表面を確保することができる。層 114の数としては、メンテナンスの周期よ りも GNDブロック 91の寿命のほうが長くなるように選ぶことが好ましい。また、メンテナ ンス性の向上のために、図示するように他とは異なる色を付けた層 114aを 1層設けて おき、例えばこの膜 114aが一定面積以上となった時点で交換するようして交換時期 を把握することができる。
[0185] 保護膜 113、 113aとしては、プラズマにより適度にエッチングされるものが好ましぐ 例えば、フォトレジスト膜を好適に用いることができる。
[0186] GNDブロック 91が付着物によって DC的に接地されなくなることを簡易に防止する ためのさらに他の方法としては、 GNDブロック 91を複数設け、その中で接地機能を 奏するものを順次切り替えていくことを挙げることができる。例えば、図 26に示すよう に、 3つの GNDブロック 91を設け、これらの一つのみを接地させるように切り替えスィ ツチ 115を設ける。また、共通の接地ライン 116には、電流センサー 117を設けてお き、そこに流れる直流電流をモニターする。接地されている GNDブロック 91の電流を 電流センサー 117でモニターし、その電流値が所定値より低くなつた時点で、接地機 能を奏しないとして別の GNDブロック 91に切り替える。なお、 GNDブロック 91の数 は 3〜10個程度の範囲で適当な数を選択すればよい。
[0187] 以上の例においては、接地されていない GNDブロックは電気的にフローティング 状態となっている力 使っていない GNDブロックを保護する観点から、切り替えスイツ チ 115を設ける代わりに、保護するためのポテンシャルを印加できるようにしてもよ!ヽ 。その例を図 27に示す。図 27では各 GNDブロック 91に個別に接続された接地ライ ン 118にそれぞれ可変直流電源 119を設ける。これにより、接地機能を発揮させるベ き GNDブロック 91の電圧力 になるようにそれに対応する可変直流電源 119の電 圧を制御し、他の GNDブロック 91については、電流が流れない電圧、例えば 100V になるように対応する可変直流電源 119の電圧を制御する。そして、接地機能を発 揮させるべき GNDブロック 91に接続されている接地ライン 118に設けられた電流セ ンサー 117の電流値が所定値より低くなつた時点で、接地機能を奏しなくなつたと判 断して、別の GNDブロック 91に対応する可変直流電源 119の電圧値をその GNDブ ロックが接地機能を奏する値に制御する。
[0188] なお、このように直流電源 119からの印加電圧を— lkV程度の負の値とすることに より、それに接続された GNDブロック 91をプラズマに直流電圧を与えるための電極と して機能させることができる。ただし、この値があまり大きくてもプラズマへ悪影響を与 えてしまう。また、 GNDブロック 91に印加する電圧を制御することにより、 GNDブロッ ク 119に対するタリ一ユング効果を奏することができる。
[0189] 次に、本実施形態のように、上部電極 34の高周波電力と直流電圧を重畳させた場 合のプラズマについてより詳しく説明する。
[0190] 図 28は、横軸に電子温度をとり、縦軸にその強度をとつて、プラズマの電子温度分 布を示す図である。高密度プラズマを得ようとする場合、上述のように 13. 56MHz以 上と 、うイオンが追従しな 、比較的高 ヽ高周波電力を用いることが有効であるが、高 周波電力を印加した場合のプラズマ (RFプラズマ)の電子温度分布は、図 28の曲線 A (caseA)に示すように、電子温度が低い励起領域に強度のピークがあり、より高い プラズマ密度を得ようとしてパワーを上げると電子温度が中間レベルである解離領域 の強度が高くなるため、エッチングのための処理ガスである C Fガスのようなフロロ力
4 8
一ボンガス (CxFy)の解離が進んでしま 、、エッチング特性が低下してしまう。
[0191] これに対して、図 28の曲線 B (caseB)は、直流電圧を印加することにより生成され るプラズマ(DCプラズマ)の場合であり、曲線 A(caseA)と同等のプラズマ密度であ るが、この場合には、電子温度が高いイオン化領域に強度のピークが存在し、励起 領域や解離領域はほとんど存在しない。このため、 13. 56MHz以上の高周波電力 に直流電圧を重畳させることにより、高周波電力のパワーを上げずに高プラズマ密度 を得ることができ、し力もこのようにして形成されたプラズマは、電子温度が励起領域 およびイオンィ匕領域で強度ピークを持つ 2極ィ匕したものとなり、同じプラズマ密度でも 処理ガスの解離が少ない理想的なプラズマを形成することが可能となる。
[0192] このことを図 29を参照してさらに具体的に説明する。図 29は、プラズマの電子温度 分布を高周波電力のみの場合と、直流電圧を重畳させた場合とで比較して示す図で ある。図 29の曲線 Cは、上部電極 34に周波数 60MHzの高周波電力を供給し、下部 電極であるサセプタ 16にイオン引き込み用の周波数 2MHzの高周波電力を供給し た場合であって、上部電極 34への高周波パワーを 2400W、下部電極であるサセプ タ 16への高周波パワーを 1000Wにした場合であり、曲線 Dは、同様に上部電極 34 およびサセプタ 16にそれぞれ 60MHzおよび 2MHzを印加するとともに、上部電極 3 4に直流電圧を印加した場合であって、曲線 Cの場合とプラズマ密度が同じになるよ うに、高周波パワーおよび直流電圧の値を設定したものであり、上部電極 34への高 周波パワーを 300Wに低下させ、直流電圧を 900Vにした場合である。図 29に示 すように、直流電圧を重畳させることにより、同じプラズマ密度において、電子温度が 解離領域のものがほとんど存在しない 2極ィ匕した高密度プラズマを形成することがで きる。この場合、上部電極 34に供給される高周波電力の周波数およびパワー、なら びに直流電圧の値を変化させることにより、電子温度分布を制御することが可能であ り、より適切なプラズマ状態を得ることが可能となる。
[0193] 上述したように、上部電極 34に印加する高周波電力の周波数が小さいほど高エネ ルギープラズマとなり、 V も高くなつて、処理ガスの解離がより促進され、直流電圧を
dc
印加することによる制御マージンが狭いものとなる力 上部電極 34に印加する高周 波電力の周波数が 40MHz以上、例えば 60MHzの場合には、プラズマのエネルギ 一が低いため、直流電圧を印加することによる制御マージンが広いものとなる。した がって、上部電極 34に印加する高周波電力の周波数は 40MHz以上が好まし 、。
[0194] 次に、下部電極であるサセプタ 16に供給されるイオン引き込み用のバイアス高周 波電力について説明する。サセプタ 16に供給される第 2の高周波電源 90からの高 周波電力はイオン引き込みのためのノィァス高周波電力である力 その周波数 (RF 印加周波数)がおよそ 10MHz未満であるか 10MHz以上であるかで、その作用が異 なる。つまり、 RF印加周波数の周波数が ΙΟΜΗζ未満、例えば 2MHzの場合には、 一般的にイオンがその RF印加周波数に追従できるため、図 30Aに示すように、ゥェ ハに入射するイオンエネルギーは、高周波電力電圧波形に応じて変化するウェハポ テンシャルに対応するものとなる。一方、バイアス高周波の周波数が 10MHz以上、 例えば 13. 56MHzの場合には、一般的にイオンがその RF印加周波数に追従でき ないため、図 30Bに示すように、ウェハに入射するイオンエネルギーはウェハポテン シャルによらず V に依存する。図 30Aのイオンが追従する周波数 (例えば 2MHz)
dc
の場合には、イオンの最大エネルギーは Vppに対応するものとなり、また、プラズマポ テンシャルとウェハポテンシャルの差が小さい部分ではイオンエネルギーが小さくな る力ら、図 31のイオンエネルギー分布図の曲線 Eに示すように、ウェハ上のイオンェ ネルギ一が 2極分化したブロードなものとなる。一方、図 30Bのイオンが追従しない周 波数(例えば 13. 56MHz)の場合には、ウェハポテンシャルに関係なくイオンェネル ギ一は V に対応するものとなり、図 31に示すように、ウェハ上のイオンエネルギーは
dc
V に対応する部分付近で最大値を示し、 V よりも高いエネルギーのイオンはほとん dc dc
ど存在しない。
[0195] このようなことから、 10MHz未満のイオンが追従できる周波数は、大きなイオンエネ ルギ一により生産性を高めたい場合に適しており、 10MHz以上のイオンが追従でき ない周波数は、マスクの表面あれがクリティカルな場合等、イオンエネルギーが低い ことが要求される場合に適している。したがって、バイアス用の高周波電力の周波数 は、用途に応じて適宜選択することが好ましい。
[0196] なお、以上は、上記上部電極 34のスパッタ機能、プラズマの縮小化機能、および 電子の供給機能等を発揮させるために、直流電圧を印加した例を示したが、交流電 圧であっても同様の効果を得ることができる。ただし、その周波数は、プラズマ生成の ための高周波電力の周波数よりも小さいものとする。また、直流電圧および交流電圧 いずれの場合でも、電圧をパルス状にしてもよいし、 AM変調や FM変調等の変調さ れたものであってもよい。
[0197] ところで、低誘電率バリア層であるである SiCを下地エッチストップ層として使用して 上層の被エッチング層である Low— k膜をエッチングする場合がある力 従来は、そ の際において十分なエッチング選択比を確保することが困難であった。これに対して
、本実施形態のプラズマエッチング装置を用いて、上部電極 34に第 1の高周波電力 に直流電圧を重畳して印加しながらエッチングを行なって、上記機能を有効に発揮 することにより、エッチングストップ層としての下地膜に対して高いエッチング選択比 で絶縁膜としての SiOC膜などの Low— k膜をエッチングすることができる。
[0198] 図 32A— Bは、このようなエッチングを行う際における典型的なエッチング対象とし てのウェハ Wの断面構造を示している。このウェハ Wは、図 32Aに示すように、下地 膜としての SiC層 201、絶縁膜である SiOC系膜 202、 SiO膜 203、反射防止膜とし
2
ての BARC204が下力もその順で積層され、さらにその上層に所定の形状にパター ユングされたエッチングマスクとしての ArFレジスト 205が形成されて!、る。 SiOC系 膜 202は、構成成分に Si、 0、 Cおよび Hを含む Low— k膜であり、例えば SiLK (商 品名;ダウ'ケミカル社製)、 SOD— SiOCHの MSQ (メチルシルセスキシロキサン)、 CVD - SiOCHの CORAL [商品名;ノーべラス ·システムズ (No vellus Systems)社 製]や BlackDiamond [商品名;アプライド ·マテリアルズ (AppliedMaterials)社製] などが挙げられる。また、 SiOC系膜 202に変えて、他の Low— k膜、例えば、 PAE 系膜、 HSQ膜、 PCB系膜、 CF系膜などの有機 Low— k膜や、 SiOF系膜などの無 機 Low— k膜を対象とすることができる。
[0199] また、下地膜としての SiC層 101としては、例えば、 BLOk [商品名;ァプライド 'マテ リアルズ社製]等を挙げることができる。
[0200] このウェハ Wに対し、図 32Bに示すように、フルォロカーボン(CF系)ガスのプラズ マによりエッチングを行なうことによって、 ArFレジスト 105のマスクパターンに対応し た凹部 (溝またはホール) 211を形成する。このプラズマエッチングにおいて、上部電 極 34に直流電圧を重畳することによって、下地である SiC層 201と被エッチング層で ある SiOC系膜 202との選択比を十分に確保することができる。この場合、可変直流 電源 50から上部電極 34に印加する直流電圧は、 0〜― 1500Vとすることが好ましく 、エッチング条件としては、例えばチャンバ内圧力 = 1. 3〜26. 7Pa、高周波電力( 上部電極/下部電極) =0〜3000WZ100〜5000W、処理ガスとして、 C Fと N
4 8 2 と Arの混合ガスを用い、その流量比を C F /N ZAr=4〜20Zl00〜500Z500 〜 1500mLZminとすることが好まし!/、。
[0201] 次に、図 6と同様の積層構造のサンプルを調製し、実際に図 1の装置によりエツチン グを行った。ビア(ホール)パターンが形成された ArFレジスト 205をマスクとして、下 地膜の SiC層 201が露出するまで SiOC系膜 202に対するエッチングを実施しビアを 形成した。エッチングは、以下に示すエッチング条件 1、 2で実施し、上部電極 34〖こ 900Vの直流電圧を印加した場合 (実施例 1、 2)と、直流電圧を印加しない場合( 比較例 1、 2)についてエッチング特性の比較を行なった。その結果を表 1に示した。
[0202] <エッチング条件 1 >
チャンバ内圧力 =6. 7Pa;
高周波電力(上部電極 Z下部電極) =400W/1500W;
処理ガス流量 C F /Ar/N =6/1000/ 180mL/min;
4 8 2
上下部電極間距離 = 35mm;
処理時間 = 25〜35秒;
バックプレッシャー(ヘリウムガス:センター部 Zエッジ部) = 2000/5332Pa; 上部電極 34の温度 = 60°C;
チャンバ 10側壁の温度 = 60°C;
サセプタ 16の温度 = 0°C
<エッチング条件 2 >
高周波電力(上部電極 Z下部電極)を 800WZ2500Wに変更した以外はエツチン グ条件 1と同様とした。
[表 1]
Figure imgf000062_0001
[0203] 表 1より、エッチング条件 1およびエッチング条件 2のいずれにおいても、上部電極 に 900Vの直流電圧を印加した実施例 1、 2は、同条件で直流電圧を印加しない 比較例 1、 2に比べて対 SiC選択比、対レジスト選択比ともに大幅に向上した。
[0204] また、ビア頂部の CD (Critical Dimension)の拡大を抑制しながら、エッチングレート を大きく改善できることも確認された。エッチングレートの向上と CDの制御(CD拡大 の抑制)とは、従来のエッチング技術では両立させることが困難であった力 直流電 圧を印加することにより、両者を両立させ得ることが示された。
[0205] また、この表 1における条件 1と条件 2との比較から、上部電極 34に直流電圧を重 畳することによる対 SiC選択比の向上効果は、高周波電力(上部電極 Z下部電極) 力 S小さい方がより顕著に得られることが判明した。
[0206] 次に、上記エッチング条件 1またはエッチング条件 2を基準にして、その中のある条 件を変化させた場合のエッチング特性を比較した。
[0207] 表 2は、エッチング条件 1を基準に、上部電極 34への高周波電力を変化させた場 合のエッチング特性である。この表 2から、上部電極 34へ供給する高周波電力を大 きくするとエッチングレートは向上するが、対 SiC選択比は小さくなる傾向が示された 。一方、この条件では、上部電極 34へ供給する高周波電力の変化が CDに与える影 響は少なぐまた、対レジスト選択比は高周波パワー 400Wが突出して優れていた。 以上の結果から、上部電極 34への高周波パワーとしては、概ね 200〜800Wの範囲 が好ましいことが示された。
[表 2]
Figure imgf000063_0001
表 3は、エッチング条件 2を基準に、下部電極としてのサセプタ 16への高周波電力 を変化させた場合のエッチング特性である。この表 3から、下部電極 (サセプタ 16)へ 供給する高周波電力を大きくすることによりエッチングレートは大幅に向上するが、対 SiC選択比の改善効果は少なくなる傾向が示された。一方、この条件では、下部電 極へ供給する高周波電力の変化が CDに与える影響は少なぐまた、対レジスト選択 比は高周波パワーが大きくなるに従い向上することが示された。これらのこと力ら、下 部電極への高周波パワーとしては、概ね 1500〜3800Wの範囲が好ましいことが示 された。
[表 3] 下部 Λ'ヮ -( )
1500 2500 3800
エッチンク 'レ-ト (nm/mi'n) 436 589 676
対 SiC選択比 9.3 6.3 3.8
CD Cnm) 155 141 157
対レシ'スト選択比 7.1 Ϊ 1.9 41 [0209] 表 4は、エッチング条件 2を基準にして、処理圧力を変化させた場合のエッチング特 性である。この表 4から、高周波電力(上部電極 Z下部電極)が 800Z2500Wと比較 的大きなエッチング条件 2では、処理圧力を必要以上に高めに設定するとエッチング レートが低下し、エッチストップが生じることが判明した。よって、処理圧力としては、 4 Pa以上、 20Pa未満が好ましいことが示された。
[0210] また、表 4の結果と前記表 2および表 3の結果を考慮すると、直流電圧を重畳した場 合のエッチングレートや対 SiC選択比の制御は、高周波電力を変化させて制御する ことが好ましいと考えられる。
[表 4]
Figure imgf000064_0001
[0211] 表 5は、エッチング条件 2を基準にして、 Ar流量を変化させた場合のエッチング特 性である。この表 5から、高周波電力(上部電極 Z下部電極)が 800Z2500Wと比較 的大きなエッチング条件 2では、 Ar流量比の変化による影響は明確なものではな ヽ 1S 一定量の Arを添加した方が対 SiC選択比が向上することが示され、少なくとも 10 OOmLZmin以下の Arの添カ卩が好ましいことが示された。
[表 5] Ar流量(mL min)
O 0 300 600 1000
エツチシク- U~ト (nm/min) 574 646 574 589
対 SiG選択比 3.3 5.8 6.8 6.3
153 149 149 141
対レシ'スト選択比 7.8 11.6 13.2 11.9
[0212] 次に、図 6と同様の積層構造のサンプルを調製し、ライン &スペースの溝パターン が形成された ArFレジスト 205をマスクとして、下地 SiC層 201が露出するまで SiOC 系膜 202に対するエッチングを実施し、溝を形成した。エッチングは、メインエツチン グとオーバーエッチングの 2ステップエッチングとし、以下に示すエッチング条件で上 部電極 34に— 900Vの直流電圧を印加した場合 (実施例 3)と、直流電圧を印加しな い場合 (比較例 3)について実施し、エッチング特性を比較した。その結果を表 6に示 した。
[0213] <メインエッチング条件 >
チャンバ内圧力二 26. 7Pa;
高周波電力(上部電極 Z下部電極) = 300W/1000W;
処理ガス流量 CF /N /Ar/CHF = 180/100/l80/50mL/min ;
4 2 3
上下部電極間距離 = 35mm;
処理時間 = 10秒;
バックプレッシャー(センター部 Zエッジ部) = 2000/5332Pa;
上部電極 34の温度 = 60°C;
チャンバ 10側壁の温度 = 60°C;
サセプタ 16の温度 = 20°C
<オーバーエッチング条件 >
チャンバ内圧力二 4. OPa;
高周波電力(上部電極 Z下部電極) = 1000W/1000W;
処理ガス流量 C F /N /Ar= 6/260/ lOOOmL/min; オーバーエッチ量: 30%;
上下部電極間距離 = 35mm
※他の条件は、上記メインエッチング条件と同様とした。
[表 6]
Figure imgf000066_0002
Figure imgf000066_0001
[0214] 表 6から、上部電極 34に— 900Vの直流電圧を印加した実施例 3では、対 SiC選択 比は 15であり、電圧を印加しない比較例 3における対 SiC選択比 11. 7に比べて大 きく向上していることがわかる。
[0215] また、上記エッチング条件の下では、上部電極 34に一 900Vの直流電圧を印加す ることにより、対 SiC選択比だけでなぐ表 6に示すように、対レジスト選択比も改善さ れた。さらに、溝の幅に相当する CDを大きくせずに制御しながら、 SiOC系膜 102の エッチングレートを大幅に向上させることが可能であった。そして、エッチング後の溝 を構成するラインの粗さ(ラインエッチングラフネス; LER)についても、大幅に低減す ることがでさた。
[0216] なお、以上は下地を SiC層 101として、その上の SiOC系膜 102に対してエツチン グを行なう例を挙げて説明したが、これに限らず他のエッチング対象でも同様の効果 を得ることができる。例えば、図 33Aに示すように、シリコン基板 206に、シリコン窒化 膜 (SiN) 207、 TEOS (テトラェチルオルソシリケート)を原料として CVD法により成 膜された SiO膜 108、反射防止膜 (BARC) 209が形成され、パターユングされた Ar
2
F等のレジストマスク 210を有する断面構造において、図 33Bに示すように、シリコン 窒化膜 207を下地として SiO膜 108をエッチングする場合にも、上述のように上部電
2
極 34に直流電圧を印加することにより、同様な効果を得ることができる。
[0217] また、上記例では、 SiOC系膜 202のエッチング (メインエッチング、あるいはメイン エッチングとオーバーエッチング)を対象とした力 ここでは、直流電圧の印加による 下地との選択比向上効果を利用しているので、通常条件でのメインエッチングにより 凹部が下地付近に到達した段階でオーバーエッチングを行なう 2ステップ処理にお けるオーバーエッチングにのみ直流電圧を印加するようにすることもできる。
[0218] <実施形態 2 >
次に、本発明の第 2の実施形態について説明する。
[0219] 図 34は、本発明の第 2の実施形態に係るプラズマエッチング装置を示す概略断面 図である。なお、図 34において、図 1と同じものには同じ符号を付して説明を省略す る。
[0220] 本実施形態では、第 1の実施形態における上部電極 34の代わりに、以下の構造を 有する上部電極 34' が設けられている。上部電極 34' は、サセプタ 16と所定の間 隔をお 、て対向配置されて 、るリング状またはドーナツ状の外側上部電極 34aと、こ の外側上部電極 34aの半径方向内側に絶縁された状態で配置されて 、る円板状の 内側上部電極 34bとで構成される。これらは、プラズマ生成に関して外側上部電極 3 4aが主で、内側上部電極 34bが補助の関係を有して 、る。
[0221] 図 35に当該プラズマエッチング装置の要部を拡大して示すように、外側上部電極 3 4aと内側上部電極 34bとの間には、例えば 0. 25〜2. Ommの環状ギャップ(隙間) が形成され、このギャップに例えば石英力もなる誘電体 72が設けられる。このギヤッ プにはさらにセラミックス部材 73が設けられている。セラミックス部材 73は省略するこ ともできる。この誘電体 72を挟んで両電極 34aと 34bとの間にコンデンサが形成され る。このコンデンサのキャパシタンス C は、ギャップのサイズと誘電体 72の誘電率に
72
応じて所望の値に選定または調整される。外側上部電極 34aとチャンバ 10の側壁と の間には、例えばアルミナ (Al O )からなるリング形状の絶縁性遮蔽部材 42が気密
2 3
に取り付けられている。
[0222] 外側上部電極 34aは、電極板 36aと、この電極板 36aを着脱可能に支持する導電 材料、例えば表面が陽極酸ィ匕処理されたアルミニウム力もなる電極支持体 38aとを有 する。電極板 36aは、ジュール熱の少ない低抵抗の導電体または半導体、例えばシ リコンゃ SiCで構成されるのが好ましい。外側上部電極 34aには、実施形態 1と同様 の整合器 46、上部給電棒 74、コネクタ 98および給電筒 100を介して、実施形態 1と 同様の第 1の高周波電源 48が電気的に接続されている。整合器 46の出力端子は上 部給電棒 74の上端に接続されて 、る。
[0223] 給電筒 100は、円筒状または円錐状あるいはそれらに近い形状の導電板たとえば アルミニウム板または銅板力 なり、下端が周回方向で連続的に外側上部電極 34a に接続され、上端がコネクタ 98によって上部給電棒 74の下端部に電気的に接続さ れている。給電筒 100の外側では、チャンバ 10の側壁が上部電極 34' の高さ位置 よりも上方に延びて円筒状の接地導体 10aを構成している。この円筒状接地導体 10 aの上端部は筒状の絶縁部材 74aにより上部給電棒 74から電気的に絶縁されている 。力かる構成においては、コネクタ 98からみた負荷回路において、給電筒 100およ び外側上部電極 34aと円筒状接地導体 10aとで、給電筒 100および外側上部電極 3 4aを導波路とする同軸線路が形成される。
[0224] 図 34に示すように、内側上部電極 34bは、多数のガスのガス吐出孔 37bを有する 電極板 36bと、この電極板 36bを着脱可能に支持する導電材料、例えば表面が陽極 酸ィ匕処理されたアルミニウム力もなる電極支持体 38bとを有する。電極支持体 38bの 内部には、例えば Oリング力もなる環状隔壁部材 43で分割された中心ガス拡散室 40 aと周辺ガス拡散室 40bとが設けられて 、る。中心ガス拡散室 40aと周辺ガス拡散室 40bからはガス吐出孔 37bに連通する多数のガス通流孔 41bが下方に延びている。 そして、中心ガス拡散室 40aとその下面に設けられている多数のガス通流孔 41bおよ びそれらに連通する多数のガス吐出孔 37bとで中心シャワーヘッドが構成され、周辺 ガス拡散室 40bとその下面に設けられている多数のガス通流孔 41bおよびそれらに 連通する多数のガス吐出孔 37bとで周辺シャワーヘッドが構成されている。
[0225] 2つのガス拡散室 40a, 40b〖こは、共通の処理ガス供給源 66から処理ガスが所望 の流量比で供給されるようになっている。すなわち、処理ガス供給源 66からのガス供 給管 64が途中で 2つの分岐管 64a, 64bに分岐し、電極支持体 38bに形成されたガ ス導入口 62a, 62bに接続され、ガス導入口 62a, 62bからの処理ガスがガス導入室 40a、 40bに至る。それぞれの分岐管 64a, 64bに流量制御弁 71a, 71bが設けられ ており、処理ガス供給源 66からガス拡散室 40a、 40bまでの流路のコンダクタンスは 等しいので、流量制御弁 71a, 71bにより中心ガス導入室 40aと周辺ガス導入室 40b とに供給する処理ガスの流量比を任意に調整することができる。ガス供給管 64には 実施形態 1と同様マスフローコントローラ(MFC) 68および開閉バルブ 70が設けられ ている。このように、中心ガス拡散室 40aと周辺ガス拡散室 40bとに導入する処理ガ スの流量比を調整することで、中心シャワーヘッドから吐出されるガスの流量 Fと周
C
辺シャワーヘッドから吐出されるガスの流量 Fとの比率 (F /F )を任意に調整する
E C E
ことができるようになつている。なお、中心シャワーヘッドおよび周辺シャワーヘッドよ りそれぞれ吐出させる処理ガスの単位面積当たりの流量を異ならせることも可能であ る。さらに中心シャワーヘッドおよび周辺シャワーヘッドよりそれぞれ吐出させる処理 ガスのガス種またはガス混合比を独立または別個に選定することも可能である。
[0226] 内側上部電極 34bの電極支持体 38bには、整合器 46、上部給電棒 74、コネクタ 9 8および下部給電棒 76を介して実施形態 1と同様の第 1の高周波電源 90が電気的 に接続されている。下部給電棒 76の途中には、キャパシタンスを可変調整することが できる可変コンデンサ 78が設けられている。この可変コンデンサ 78は、後述するよう に、外側電界強度と内側電界強度とのバランスを調整する機能を有する。
[0227] 一方、上記上部電極 34' には、実施形態 1と同様、可変直流電源 50が接続され ている。具体的には、可変直流電源 50がフィルタ 58を介して外側上部電極 34aおよ び内側上部電極 34bに接続されている。可変直流電源 50の極性、電圧、電流なら びにオン'オフスィッチ 52のオン'オフは実施形態 1と同様、コントローラ 51により制 御されるようになっている。なお、実施形態 1ではフィルタ 78は整合器 46に内蔵され て!、たが本実施形態では整合器 46とは別個に設けられて 、る。
[0228] このように構成されるプラズマエッチング装置においてエッチング処理を行う際には 、実施例 1と同様に、まず、エッチング対象である半導体ウェハ Wをチャンバ 10内に 搬入し、サセプタ 16上に載置する。そして、エッチングのための処理ガスを処理ガス 供給源 66から所定の流量および流量比で中心ガス拡散室 40aおよび周辺ガス拡散 室 40bに供給して、ガス吐出孔 37bを介してチャンバ 10内に吐出させるとともに、実 施形態 1と同様、排気装置 84によりチャンバ 10内を排気して設定圧力に維持する。
[0229] このようにチャンバ 10内にエッチングガスを導入した状態で、第 1の高周波電源 48 からプラズマ生成用の高周波電力(60MHz)を所定のパワーで上部電極 34に印加 するとともに、第 2の高周波電源 90よりイオン引き込み用の高周波(2MHz)を所定の パワーで下部電極であるサセプタ 16に印加する。そして、可変直流電源 50から所定 の電圧を外側上部電極 34aおよび内側上部電極 34bに印加する。さら〖こ、直流電源 22から直流電圧を静電チャック 18の電極 20に印加して、半導体ウェハ Wをサセプタ 16に固定する。
[0230] 内側上部電極 34bのガス吐出孔 37bから吐出されたエッチングガスは、高周波電 力により生じた上部電極 34と下部電極であるサセプタ 16間のグロ一放電中でプラズ マ化し、このプラズマで生成されるラジカルやイオンによって半導体ウェハ Wの被処 理面がエッチングされる。
[0231] このプラズマエッチング装置では、上部電極 34' に高い周波数領域 (イオンが動け ない 5〜: LOMHz以上)の高周波電力を供給しているので、実施形態 1と同様、プラズ マを好ましい解離状態で高密度化することができ、より低圧の条件下でも高密度ブラ ズマを形成することができる。
[0232] また、上部電極 34' において、半導体ウェハ Wと直に対向する内側上部電極 34b をシャワーヘッド兼用型とし、中心シャワーヘッドと周辺シャワーヘッドとでガス吐出流 量の比率を任意に調整することができるので、ガス分子またはラジカルの密度の空間 分布を径方向で制御し、ラジカルベースによるエッチング特性の空間的な分布特性 を任意に制御することもできる。
[0233] 一方、上部電極 34' においては、後述するように、プラズマ生成のための高周波 電極として、外側上部電極 34aを主、内側上部電極 34bを副とし、これら電極 34a, 3 4bよりこれらの直下の電子に与える電界強度の比率を調整可能にしているので、プ ラズマ密度の空間分布を径方向で制御することができ、反応性イオンエッチングの空 間的な特性を任意かつ精細に制御することができる。
[0234] ここで、外側上部電極 34aと内側上部電極 34bとの間で電界強度または投入電力 の比率を可変にすることによって行われるプラズマ密度空間分布の制御は、中心シ ャヮーヘッドと周辺シャワーヘッドとの間で処理ガスの流量やガス密度またはガス混 合比の比率を可変することによって行われるラジカル密度空間分布の制御に実質的 に影響を及ぼさない。つまり、中心シャワーヘッドと周辺シャワーヘッド力 噴出され る処理ガスの解離は内側上部電極 34b直下のエリア内で行われるため、内側上部電 極 34bと外側上部電極 34aとの間で電界強度のバランスを変えても、中心シャワーへ ッドと周辺シャワーヘッドとは内側上部電極 34b内にあり同一エリア内であるから、こ れらの間のラジカル生成量ないし密度のバランスにはさほど影響しない。したがって 、プラズマ密度の空間分布とラジカル密度の空間分布とを実質的に独立に制御する ことができる。
[0235] また、本実施形態のプラズマエッチング装置は、外側上部電極 34aが主であり、そ の直下でプラズマの大部分ないし過半を生成して内側上部電極 34bの直下に拡散 させる。このため、シャワーヘッドを兼ねる内側上部電極 34bにおいては、プラズマの イオン力も受けるアタックが少ないため、交換部品である電極板 36bのガス吐出口 37 bのスパッタ進行を効果的に抑制し、電極板 36bの寿命を大幅に延ばすことができる 。一方、プラズマの大部分ないし過半を生成する外側上部電極 34aは、電界の集中 するガス吐出口を有してはいないため、イオンのアタックは少なぐ寿命が短くなるよう なことはない。
[0236] 次に、図 35および図 36を参照して、外側上部電極 34aと内側上部電極 34bとの間 電界強度または投入電力を可変とすることによって行われるプラズマ密度空間分布 の制御についてさらに詳細に説明する。図 35は、上述したように、本実施形態のブラ ズマエッチング装置の要部、特にプラズマ生成手段を構成する要部の構成を示して おり、図 36はプラズマ生成手段の要部の等価回路を示す。なお、図 35ではシャワー ヘッド部の構造を省略し、図 36では各部の抵抗を省略して ヽる。
[0237] 上述したように、コネクタ 98からみた負荷回路において、外側上部電極 34aおよび 給電筒 100と円筒状接地導体 10aとで、外側上部電極 34aおよび給電筒 100を導波 路 Joとする同軸線路が形成される。ここで給電筒 100の半径 (外径)を ao、円筒状接 地導体 10aの半径を bとすると、この同軸線路の特性インピーダンスまたはインダクタ ンス Loは以下の(1)式で近似することができる。
[0238] Lo =K-ln (b/ao) (1)
ただし、 Kは導波路の移動度および誘電率で決まる定数である。
[0239] 一方、コネクタ 98からみた負荷回路において、下部給電棒 76と円筒状接地導体 1 Oaとの間でも下部給電棒 76を導波路 Jiとする同軸線路が形成される。内側上部電極 34bも下部給電棒 76の延長上にある力 直径が極端に違うため、下部給電棒 76の インピーダンスが支配的になる。ここで、下部給電棒 76の半径 (外径)を aiとすると、こ の同軸線路の特性インピーダンスまたはインダクタンス Liは以下の(2)式で近似する ことができる。
[0240] Li=K-ln (b/ai) (2)
上記(1)、 (2)式力も理解されるように、内側上部電極 34bに高周波を伝える内側 導波路 Jiは従来の一般的な高周波システムと同様のインダクタンス Liを与えるのに対 して、外側上部電極 34aに高周波を伝える外側導波路 Joは径が大きい分だけ著しく 小さなインダクタンス Loを与えることができる。これにより、整合器 46からみてコネクタ 98より先の負荷回路では、低インピーダンスの外側導波路 Joで高周波が伝播しやす く(電圧降下が小さく)、外側上部電極 34aに相対的に大きい高周波電力 Poを供給し て、外側上部電極 36の下面 (プラズマ接触面)で強 、電界強度 Eoを得ることができ る。一方、高インピーダンスの内側導波路 Jiでは高周波が伝播しにくく(電圧降下が 大きく)、内側上部電極 34bに外側上部電極 34aに供給される高周波電力 Poよりも 小さ 、高周波電力 Piが供給され、内側上部電極 34bの下面 (プラズマ接触面)で得 られる電界強度 Eiを外側上部電極 34a側の電界強度 Eoよりも小さくすることができる
[0241] このように、上部電極 34' では、外側上部電極 34aの直下で相対的に強い電界 E oで電子を加速させると同時に、内側上部電極 34bの直下では相対的に弱い電界 Ei で電子を加速させることとなり、これによつて外側上部電極 34aの直下でプラズマ Pの 大部分な!/、し過半が生成され、内側上部電極 34bの直下では補助的にプラズマ Pの 一部が生成される。そして、外側上部電極 34aの直下で生成された高密度のプラズ マが径方向の内側と外側に拡散することにより、上部電極 34^ とサセプタ 16との間 のプラズマ処理空間においてプラズマ密度が径方向で均される。
[0242] 外側上部電極 34aおよび給電筒 100と円筒状接地導体 10aとで形成される同軸線 路における最大伝送電力 P は、給電筒 100の半径 aoと円筒状接地導体 10aの半 max
径 bとに依存し、以下の(3)式で与えられる。
[0243] P /Eo 2=ao2[ln (b/ao) ] 2/2Ζο · · - - (3)
max max
ただし、 Zoは整合器 46側力もみた当該同軸線路の入力インピーダンスであり、 Eo は RF伝送系の最大電界強度である。
max
[0244] 上記(3)式において、最大伝送電力 P は bZao 1. 65で極大値となる。このこと max
から、外側導波路 Joの電力伝送効率を向上させるには、給電筒 50の径サイズに対 する円筒状接地導体 10aの径サイズの比 (bZao)が約 1. 65となるように構成するの が最も好ましぐ少なくとも 1. 2〜2. 0の範囲内に入るように構成するのが好ましい。 さら〖こは 1. 5〜1. 7の範囲である。
[0245] プラズマ密度の空間分布を任意かつ精細に制御するためには、外側上部電極 34a 直下の外側電界強度 Eo (または外側上部電極 34a側への投入電力 Po)と内側上部 電極 34b直下の内側電界強度 Ei (または内側上部電極 34b側への投入電力 Pi)との 比率つまりバランスを調整することが好ましぐその手段として下部給電棒 76の途中 に可変コンデンサ 78が挿入されて!、る。この可変コンデンサ 78のキャパシタンス C
78 と全体の投入電力に対する内側上部電極 34bへの投入電力 Piの比率との関係は図 37示すようになっている。この図から明らかなように、可変コンデンサ 78のキャパシタ ンス C を変えることにより、内佃 j導波路 Jiのインピーダンスまたはリアクタンスを増減さ
78
せ、外側導波路 Joの電圧降下と内側導波路 Jiの電圧降下との相対比率を変えること ができ、ひいては外側電界強度 Eo (外側投入電力 Po)と内側電界強度 Ei (内側投入 電力 Pi)との比率を調整することができる。
[0246] なお、プラズマの電位降下を与えるイオンシースのインピーダンスは一般に容量性 である。図 36の等価回路では、外側上部電極 34a直下におけるシースインピーダン スのキャパシタンスを C 、内側上部電極 34b直下におけるシースインピーダンスのキ
Po
ャパシタンスを C と擬制している。また、外側上部電極 34aと内側上部電極 34bとの
Pi
間に形成されるコンデンサのキャパシタンス C は、可変コンデンサ 78のキャパシタン ス C と組み合わさって上記のような外側電界強度 Eo (外側投入電力 Po)と内側電
78
界強度 Ei (内側投入電力 Pi)とのバランスを左右するものであり、可変コンデンサ 78 による電界強度 (投入電力)バランス調整機能を最適化することができるような値に選 定または調整されることが好ま 、。
[0247] 一方、第 1の実施形態と同様の可変直流電圧 50からの直流電圧は、フィルタ 58を 経た後、外側上部電極 34aおよび内側上部電極 34bにも印加される。これにより、以 上のようなプラズマ密度空間分布制御が行われると同時に、第 1の実施形態と同様 の、 V が深くなることによるスパッタ機能、プラズマシース厚が厚くなることによるブラ dc
ズマ縮小化機能、ウェハ wへの電子の供給機能、プラズマポテンシャル調整機能、 プラズマ密度上昇機能が発揮され、第 1の実施形態と同様の効果を得ることができる
[0248] そして、このように、外側上部電極 34aと内側上部電極 34bとに分割した上部電極 3 4' を用いる効果と、上部電極 34' に所定の直流電圧を印加する効果とが合わさる ことにより、より好ましいプラズマ制御を実現することができる。
[0249] 図 34の例では、直流電圧を外側上部電極 34aおよび内側上部電極 34bの両方に 印加するようになって!/、るが、 、ずれか一方に印加するようにしてもよ!、。
[0250] また、図 34の例では、一つの可変直流電源 50から外側上部電極 34aおよび内側 上部電極 34bに直流電圧を印加した力 図 38に示すように、 2つの可変直流電源 50 a, 50bを設けて、これら力らそれぞれスィッチ 52a, 52bおよびフイノレタ 58a, 58bを 介して外側上部電極 34aおよび内側上部電極 34bに直流電圧を印加するようにして もよい。この場合には、外側上部電極 34aと内側上部電極 34bとで印加する直流電 圧を個別的に制御することができるので、一層良好なプラズマ制御を行うことができる
[0251] さらに、図 39に示すように、外側上部電極 34aと内側上部電極 34bとの間に可変直 流電源 50' を介在させ、その一方の極を外側上部電極 34aに接続し、他方の極を 内側上部電極 34bに接続することにより、上述のような効果の他、内側上部電極 34b と外側上部電極 34aとで生成されるプラズマ密度比をさらに詳細に設定することがで き、ウェハ面内のエッチング特性の制御を向上させることができるといった効果が付 加される。なお、符号 52' はオン 'ォフスイッチであり、 58a' , 58b' はフィルタであ る。
[0252] ここで、第 2実施形態のプラズマエッチング装置において、ウェハ W上に形成され た絶縁膜 (例えば Low— k膜)をエッチングする際に、処理ガスとして使用するのが特 に好まし!/、ガスの組み合わせを下記に例示する。
[0253] ビアエッチングの条件におけるオーバーエッチング時に、使用するのが好ましい処 理ガスの組み合わせとして、 C F、 Ar、 Nが挙げられる。これにより、絶縁膜の下地
5 8 2
膜 (SiC、 SiN等)に対する選択比を大きくとることができる。
[0254] また、トレンチエッチングの条件では、使用するのが好ましい処理ガスの組み合わ せとして、 CFまたは(C F、 CF、 Ar、 N、 O )が挙げられる。これにより、絶縁膜の
4 4 8 4 2 2
マスクに対する選択比を大きくとることができる。
[0255] また、 HARCエッチングの条件では、使用するのが好ま 、処理ガスの組み合わ せとして、(C F、 CF、 Ar、 O )または(C F、 C F、 Ar、 O )または(C F、 CH F
4 6 4 2 4 6 3 8 2 4 6 2 2
、 Ar、 O )が挙げられる。これにより、絶縁膜のエッチング速度を大きくすることができ
2
る。
[0256] なお上記に限られず、(CxHyFzのガス ZN , O
2 2等の添加ガス Z希釈ガスの組み 合わせ)を使用することが可能である。
[0257] また、上記第 1の実施形態および第 2の実施形態において、上記第 1の高周波電 力および第 2の高周波電力の採り得る周波数を例示すると、第 1の高周波電力として は、 13. 56MHz, 27MHz, 40MHz、 60MHz、 80MHz、 100MHz、 160MHzを 挙げることができ、第 2の高周波電力としては、 380kHz, 800kHz, 1ΜΗζ、 2MHz 、 3. 2MHz、 13. 56MHzを挙げることができ、プロセスに応じて適宜の組み合わせ で用いることができる。
[0258] また、以上では、プラズマエッチング装置を例に説明した力 他のプラズマを用いて 半導体基板を処理する装置にも適用可能である。例えばプラズマ成膜装置が挙げら れる。
[0259] <実施形態 3 >
次に、本発明の第 3の実施形態について説明する。 [0260] なお、第 3の実施形態において、第 1、第 2の実施形態と共通するものには同じ符 号を付すことにする。
[0261] 図 40は、本発明の第 3実施形態に係るプラズマエッチング装置を示す概略断面図 である。
[0262] すなわち、下部電極であるサセプタ 16に第 1の高周波電源 88からプラズマ生成用 の例えば 40MHzの高周波(RF)電力を印加するとともに、第 2の高周波電源 90から イオン引き込み用の例えば 2MHzの高周波 (RF)電力を印加する下部 RF2周波印 加タイプのプラズマエッチング装置であって、図示のように上部電極 34に可変直流 電源 50を接続して所定の直流 (DC)電圧が印加されるプラズマエッチング装置であ る。このプラズマエッチング装置について、図 41を使ってさらに詳述する。
[0263] このプラズマエッチング装置は、容量結合型平行平板プラズマエッチング装置とし て構成されており、例えば表面が陽極酸ィ匕処理されたアルミニウム力 なる略円筒状 のチャンバ(処理容器) 10を有している。このチャンバ 10は保安接地されている。
[0264] チャンバ 10の底部には、セラミックス等力もなる絶縁板 12を介して円柱状のサセプ タ支持台 14が配置され、このサセプタ支持台 14の上に例えばアルミニウム力もなる サセプタ 16が設けられている。サセプタ 16は下部電極を構成し、その上に被処理基 板である半導体ウェハ Wが載置される。
[0265] サセプタ 16の上面には、半導体ウェハ Wを静電力で吸着保持する静電チャック 18 が設けられている。この静電チャック 18は、導電膜からなる電極 20を一対の絶縁層 または絶縁シートで挟んだ構造を有するものであり、電極 20には直流電源 22が電気 的に接続されている。そして、直流電源 22からの直流電圧により生じたクーロン力等 の静電力により半導体ウェハ Wが静電チャック 18に吸着保持される。
[0266] 静電チャック 18 (半導体ウェハ W)の周囲でサセプタ 16の上面には、エッチングの 均一性を向上させるための、例えばシリコン力 なる導電性のフォーカスリング (補正 リング) 24が配置されている。サセプタ 16およびサセプタ支持台 14の側面には、例 えば石英力もなる円筒状の内壁部材 26が設けられて 、る。
[0267] サセプタ支持台 14の内部には、例えば円周上に冷媒室 28が設けられている。この 冷媒室には、外部に設けられた図示しないチラ一ユニットより配管 30a, 30bを介して 所定温度の冷媒、例えば冷却水が循環供給され、冷媒の温度によってサセプタ上の 半導体ウエノ、 wの処理温度を制御することができる。
[0268] さらに、図示しない伝熱ガス供給機構からの伝熱ガス、例えば Heガスがガス供給ラ イン 32を介して静電チャック 18の上面と半導体ウェハ Wの裏面との間に供給される
[0269] 下部電極であるサセプタ 16の上方には、サセプタ 16と対向するように平行に上部 電極 34が設けられている。そして、上部および下部電極 34, 16間の空間がプラズマ 生成空間となる。上部電極 34は、下部電極であるサセプタ 16上の半導体ウエノ、 Wと 対向してプラズマ生成空間と接する面、つまり対向面を形成する。
[0270] この上部電極 34は、絶縁性遮蔽部材 42を介して、チャンバ 10の上部に支持され ており、サセプタ 16との対向面を構成しかつ多数の吐出孔 37を有する電極板 36と、 この電極板 36を着脱自在に支持し、導電性材料、例えば表面が陽極酸化処理され たアルミニウムカゝらなる水冷構造の電極支持体 38とによって構成されている。電極板 36は、ジュール熱の少ない低抵抗の導電体または半導体が好ましぐまた、後述す るようにレジストを強化する観点からはシリコン含有物質が好ましい。このような観点か ら、電極板 36はシリコンや SiCで構成されるのが好ましい。電極支持体 38の内部に は、ガス拡散室 40が設けられ、このガス拡散室 40からはガス吐出孔 37に連通する 多数のガス通流孔 41が下方に延びて 、る。
[0271] 電極支持体 38にはガス拡散室 40へ処理ガスを導くガス導入口 62が形成されてお り、このガス導入口 62にはガス供給管 64が接続され、ガス供給管 64には処理ガス供 給源 66が接続されている。ガス供給管 64には、上流側力も順にマスフローコントロー ラ(MFC) 68および開閉バルブ 70が設けられている。そして、処理ガス供給源 66か ら、エッチングのための処理ガスとして、例えば C Fガスのようなフロロカーボンガス(
4 8
CxFy)がガス供給管 64からガス拡散室 40に至り、ガス通流孔 41およびガス吐出孔 37を介してシャワー状にプラズマ生成空間に吐出される。すなわち、上部電極 34は 処理ガスを供給するためのシャワーヘッドとして機能する。
[0272] 上記上部電極 34には、ローパスフィルタ(LPF) 48を介して可変直流電源 50が電 気的に接続されている。可変直流電源 50はバイポーラ電源であってもよい。この可 変直流電源 50は、オン'オフスィッチ 52により給電のオン'オフが可能となっている。 可変直流電源 50の極性および電流 ·電圧ならびにオン ·オフスィッチ 52のオン ·オフ はコントローラ(制御装置) 51により制御されるようになって 、る。
[0273] ローパスフィルタ (LPF) 48は、後述する第 1および第 2の高周波電源からの高周波 をトラップするためのものであり、好適には LRフィルタまたは LCフィルタで構成される
[0274] チャンバ 10の側壁力 上部電極 34の高さ位置よりも上方に延びるように円筒状の 接地導体 10aが設けられている。この円筒状接地導体 10aは、その上部に天壁を有 している。
[0275] 下部電極であるサセプタ 16には、整合器 87を介して第 1の高周波電源 88が電気 的に接続され、また、整合器 89を介して第 2の高周波電源 90が接続されている。第 1 の高周波電源 88は、 27MHz以上の周波数、例えば 40MHzの高周波電力を出力 する。第 2の高周波電源 90は、 13. 56MHz以下の周波数、例えば 2MHzの高周波 電力を出力する。
[0276] 整合器 87, 89は、それぞれ第 1および第 2の高周波電源 88, 90の内部(または出 力)インピーダンスに負荷インピーダンスを整合させるためのもので、チャンバ 10内に プラズマが生成されている時に第 1および第 2の高周波電源 88, 90の内部インピー ダンスと負荷インピーダンスが見かけ上一致するように機能する。
[0277] チャンバ 10の底部には排気口 80が設けられ、この排気口 80に排気管 82を介して 排気装置 84が接続されている。排気装置 84は、ターボ分子ポンプなどの真空ボン プを有しており、チャンバ 10内を所望の真空度まで減圧可能となっている。また、チ ヤンバ 10の側壁には半導体ウェハ Wの搬入出口 85が設けられており、この搬入出 口 85はゲートバルブ 86により開閉可能となっている。また、チャンバ 10の内壁に沿 つてチャンバ 10にエッチング副生物(デポ)が付着することを防止するためのデポシ 一ルド 11が着脱自在に設けられている。すなわち、デポシールド 11がチャンバ壁を 構成している。また、デポシールド 11は、内壁部材 26の外周にも設けられている。チ ヤンバ 10の底部のチャンバ壁側のデポシールド 11と内壁部材 26側のデポシールド 11との間には排気プレート 83が設けられている。デポシールド 11および排気プレー ト 83としては、アルミニウム材に Y O等のセラミックスを被覆したものを好適に用いる
2 3
ことができる。
[0278] デポシールド 11のチャンバ内壁を構成する部分のウェハ Wとほぼ同じ高さ部分に は、グランドに DC的に接続された導電性部材 (GNDブロック) 91が設けられており、 これにより後述するような異常放電防止効果を発揮する。
[0279] プラズマエッチング装置の各構成部は、制御部(全体制御装置) 95に接続されて 制御される構成となっている。また、制御部 95には、工程管理者がプラズマエツチン グ装置を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装 置の稼働状況を可視化して表示するディスプレイ等力 なるユーザーインターフエ一 ス 96が接続されている。
[0280] さらに、制御部 95には、プラズマエッチング装置で実行される各種処理を制御部 9 5の制御にて実現するための制御プログラムや、処理条件に応じてプラズマエツチン グ装置の各構成部に処理を実行させるためのプログラムすなわちレシピが格納され た記憶部 97が接続されて 、る。レシピはハードディスクや半導体メモリーに記憶され ていてもよいし、 CDROM、 DVD等の可搬性のコンピュータにより読み取り可能な記 憶媒体に収容された状態で記憶部 97の所定位置にセットするようになって 、てもよ い。
[0281] そして、必要に応じて、ユーザーインターフェース 96からの指示等にて任意のレシ ピを記憶部 97から呼び出して制御部 95に実行させることで、制御部 95の制御下で、 プラズマエッチング装置での所望の処理が行われる。なお、本発明の実施の形態で 述べるプラズマ処理装置(プラズマエッチング装置)は、この制御部 95を含むものと する。
[0282] このように構成されるプラズマエッチング装置においてエッチング処理を行う際には 、まず、ゲートバルブ 86を開状態とし、搬入出口 85を介してエッチング対象である半 導体ウエノ、 Wをチャンバ 10内に搬入し、サセプタ 16上に載置する。そして、処理ガ ス供給源 66からエッチングのための処理ガスを所定の流量でガス拡散室 40へ供給 し、ガス通流孔 41およびガス吐出孔 37を介してチャンバ 10内へ供給しつつ、排気 装置 84によりチャンバ 10内を排気し、その中の圧力を例えば 0. l〜150Paの範囲 内の設定値とする。ここで、処理ガスとしては、従来用いられている種々のものを採用 することができ、例えば C Fガスのようなフロロカーボンガス(CxFy)に代表されるハ
4 8
ロゲン元素を含有するガスを好適に用いることができる。さらに、 Arガスや Oガス等
2 の他のガスが含まれて 、てもよ 、。
[0283] このようにチャンバ 10内にエッチングガスを導入した状態で、下部電極であるサセ プタ 16に、第 1の高周波電源 88からプラズマ生成用の高周波電力を所定のパワー で印加するとともに、第 2の高周波電源 90よりイオン引き込み用の高周波電力を所定 のパワーで印加する。そして、可変直流電源 50から所定の直流電圧を上部電極 34 に印加する。さらに、静電チャック 18のための直流電源 22から直流電圧を静電チヤ ック 18の電極 20に印加して、半導体ウェハ Wをサセプタ 16に固定する。
[0284] 上部電極 34の電極板 36に形成されたガス吐出孔 37から吐出された処理ガスは、 高周波電力により生じた上部電極 34と下部電極であるサセプタ 16間のグロ一放電 中でプラズマ化し、このプラズマで生成されるラジカルやイオンによって半導体ウェハ Wの被処理面がエッチングされる。
[0285] このプラズマエッチング装置では、下部電極であるサセプタ 16に第 1の高周波電源 力も高い周波数領域 (例えば、 10MHz以上)の高周波電力を供給しているので、プ ラズマを好まし 、状態で高密度化することができ、より低圧の条件下でも高密度ブラ ズマを形成することができる。
[0286] 本実施形態では、このようにしてプラズマが形成される際に、上部電極 34に可変直 流電源 50から所定の極性および大きさの直流電圧が印加される。このとき、印加電 極である上部電極 34の表面つまり電極板 36の表面に対する所定の(適度な)スパッ タ効果が得られる程度にその表面の自己バイアス電圧 V が深くなるように、つまり上 dc
部電極 34表面での V の絶対値が大きくなるように、可変直流電源 50からの印加電 dc
圧をコントローラ 51により制御することが好ましい。第 1の高周波電源 88から高周波 を印加してプラズマを生成した場合に、上部電極 34にポリマーが付着することがある 力 可変直流電源 50から適切な直流電圧を印加することにより、上部電極 34に付着 したポリマーをスパッタして上部電極 34の表面を清浄ィ匕することができる。それととも に、半導体ウェハ W上に最適な量のポリマーを供給してフォトレジスト膜の表面荒れ を解消することができる。また、可変直流電源 50からの電圧を調整して上部電極 34 自体をスパッタして電極材料自体を半導体ウェハ W表面に供給するようにすることに より、フォトレジスト膜表面でカーバイドを形成してフォトレジスト膜が強化され、かつス ノッタされた電極材料がフロロカーボン系の処理ガス中の Fと反応して排気されること によりプラズマ中の F比率が減少してフォトレジスト膜がエッチングされ難くなる。電極 板 36がシリコンや SiC等のシリコン含有物質の場合には、電極板 36表面でスパッタ されたシリコンがポリマーと反応してフォトレジスト膜表面に SiCが形成され、フオトレ ジスト膜が極めて強固なものとなり、し力も、 Siは Fと反応しやすいため、上記効果が 特に大きい。したがって、電極板 36の材料としてはシリコン含有物質が好ましい。な お、この場合に、可変直流電源 50からの印加電圧を制御する代わりに、印加電流ま たは印加電力を制御するようにしてもょ 、。
[0287] このように上部電極 34に直流電圧を印加して自己バイアス電圧 V が深くなつた場 dc
合には、図 42A— Bに示すように、上部電極 34側に形成されるプラズマシースの厚 さが大きくなる。そして、プラズマシースが厚くなると、その分だけプラズマが縮小化さ れる。例えば、上部電極 34に直流電圧を印加しない場合には上部電極側の V が例 dc えば 100Vであり、図 42Aに示すようにプラズマは薄いシース厚 dを有する状態で
0
ある。しかし、上部電極 34に— 900Vの直流電圧を印加すると上部電極側の V が— dc
900Vとなり、プラズマシースの厚さは、 V の絶対値の 3Z4に比例するから、図 42B dc
に示すように、より厚いプラズマシース dが形成され、その分プラズマが縮小化する。 このように厚いプラズマシースを形成して、プラズマを適切に縮小化することにより、 半導体ウェハ W上の実効レジデンスタイムが減少し、かつプラズマがウェハ W上に集 中して拡散が抑えられ解離空間が減少する。これらにより、フロロカーボン系の処理 ガスの解離が抑えられ、フォトレジスト膜がエッチングされ難くなる。したがって、可変 直流電源 50からの印加電圧は、上部電極 34におけるプラズマシースの厚さが所望 の縮小化されたプラズマが形成される程度に厚くなるようにコントローラ 51により制御 することが好ましい。この場合にも、可変直流電源 50からの印加電圧を制御する代 わりに、印加電流または印加電力を制御するようにしてもょ 、。
[0288] また、プラズマが形成される際には、上部電極 34近傍に電子が生成される。上部 電極 34に可変直流電源 50から直流電圧を印加すると、印加した直流電圧値とブラ ズマ電位との電位差により、電子は処理空間の鉛直方向へ加速される。可変直流電 源 50の極性、電圧値、電流値を所望のものにすることにより、電子は半導体ウェハ W に照射される。照射された電子は、マスクとしてのフォトレジスト膜の組成を改質させ、 フォトレジスト膜は強化される。したがって、可変直流電源 50の印加電圧値および印 加電流値により上部電極 34近傍で生成する電子の量と、このような電子のウェハ W への加速電圧を制御することで、フォトレジスト膜に対する所定の強化を図ることがで きる。
[0289] 特に、半導体ウェハ W上のフォトレジスト膜が ArFエキシマレーザー(波長 193nm) 用のフォトレジスト膜 (以下、 ArFレジスト膜と記す)である場合、 ArFレジスト膜のポリ マー構造は、第 1の実施形態で説明した化学式(1)、(2)に示すような反応を経て、 電子が照射されて化学式 (3)の右辺のような構造となる。すなわち、電子が照射され ると化学式(3)の d部に示すように、 ArFレジスト膜の組成の改質が起こる(レジストの 架橋反応)。この d部は、エッチング耐性 (プラズマ耐性)を非常に強くする働きを有す るので、 ArFレジスト膜のエッチング耐性は飛躍的に増大する。このため、 ArFレジス ト膜の表面荒れを抑制することができ、 ArFレジスト膜に対するエッチング対象層の エッチング選択比を高めることができる。
[0290] したがって、可変直流電源 50からの印加電圧値 ·電流値は、電子の照射によって フォトレジスト膜 (特に ArFレジスト膜)のエッチング耐性が強くなるように、コントローラ 51により制御することが好ましい。
[0291] また、上述したように、上部電極 34に直流電圧を印加すると、プラズマが形成され る際に上部電極 34近傍に生成された電子が処理空間の鉛直方向へ加速されるが、 可変直流電源 50の極性、電圧値、電流値を所望のものにすることにより、電子を半 導体ウェハ Wのホール内に到達させることができ、シェーディング効果を抑制してボ 一イングのない良好な加工形状を得ることができ、加工形状の均一性を良好にする ことができる。
[0292] 加速電圧を制御された電子がウェハ Wに入射する電子量として、直流電圧による 電子電流量 I を用いた場合に、プラズマカゝらウェハに入射するイオン電流量 I とす ると、 I > (1/2) I を満たすことが好ましい。 I =Z p v e (ただし、 Z :荷数、 p:
DC ion ion ion
流速密度、 v :イオン速度、 e :電子の電荷量 1. 6 X 10_19C)であり、 は電子密度
ion
Neに比例するから I は Neに比例する。
ion
[0293] このように、上部電極 34に印加する直流電圧を制御して、上記上部電極 34のスパ ッタ機能またはプラズマの縮小化機能、さらには上記上部電極 34で生成される多量 の電子の半導体ウェハ Wへの供給機能が発揮されることにより、フォトレジスト膜の強 化や最適ポリマーの供給、処理ガスの解離抑制等が図られ、フォトレジストの表面荒 れ等を抑制することができ、フォトレジスト膜に対するエッチング対象層のエッチング 選択比を高めることができる。それとともに、フォトレジストの開口部における CDの広 力 Sりを抑制することができ、より高精度のパターン形成を実現することができる。特に、 これらスパッタ機能およびプラズマの縮小化機能および電子の供給機能の 3つが適 切に発揮されるように直流電圧を制御することにより、このような効果をより高めること ができる。
[0294] なお、上記各機能のうちいずれが優勢に生じるかは処理条件等により異なり、これ ら機能の一つ以上が発揮され、上記効果を有効に奏するように、可変直流電源 50か ら印加される電圧をコントローラ 51により制御することが好ま 、。
[0295] また、上部電極 34に印加する直流電圧を調整することにより、プラズマポテンシャ ルを制御することができる。これにより、上部電極 34やチャンバ壁を構成するデポシ 一ルド 11、内壁部材 26、絶縁性遮蔽部材 42へのエッチング副生物の付着を抑制す る機能を有する。
[0296] エッチング副生物が上部電極 34やチャンバ壁を構成するデポシールド 11等に付 着すると、プロセス特性の変化やパーティクルの懸念がある。特に、多層膜を連続し てエッチングする場合、 Si系有機膜 (SiOC)、 SiN膜、 SiO膜、フォトレジストを半導
2
体ウェハ w上に順次積層した多層膜を連続してエッチングする場合には、各膜によ つてエッチング条件が異なるため、前の処理の影響が残存して次の処理に悪影響を 与えるメモリー効果が生じてしまう。
[0297] このようなエッチング副生物の付着はプラズマポテンシャルと上部電極 34やチャン バ壁等との間のポテンシャル差によって影響するため、プラズマポテンシャルを制御 することができれば、このようなエッチング生成物の付着を抑制することができる。
[0298] 以上、可変直流電源 50から上部電極 34に印加する電圧を制御することにより、プ ラズマポテンシャルを低下させることができ、上部電極 34やチャンバ壁を構成するデ ポシールド 11、さらにはチャンバ 10内の絶縁材(部材 26, 42)へのエッチング副生 物の付着を抑制することができる。プラズマポテンシャル Vpの値としては、 80V≤Vp ≤ 200Vの範囲が好まし!/、。
[0299] さらに、上部電極 34に直流電圧を印加することによる他の効果として、印加した直 流電圧によってプラズマが形成されることにより、プラズマ密度を高めてエッチングレ ートを上昇させることが挙げられる。
[0300] これは、上部電極に負の直流電圧を印加すると、電子が上部電極に入り難くなり電 子の消滅が抑制されることと、イオンが上部電極に加速されて入ると電子が電極から 出ることができ、その電子がプラズマ電位と印加電圧値の差で高速に加速され中性 ガスを電離 (プラズマ化)することで、電子密度 (プラズマ密度)が増加するからである
[0301] このことを実験結果に基づいて説明する。
[0302] 図 43A— Dは、下部電極であるサセプタ 16に印加する第 1の高周波電力の周波数 を 40MHz、第 2の高周波電力の周波数を 3. 2MHzとし、圧力: 4Paとした HARCェ ツチングの条件で、上部電極に印加する負の直流電圧の絶対値を OV、 300V、 600 V、 900Vと変化させた際における、各高周波電力の出力と電子密度分布との関係を 示す図である。また、図 44A—Dは、同様の周波数の 2つの高周波電力を印加し、圧 力を 6. 7Paの Viaエッチングの条件で、同様に上部電極に印加する直流電圧の絶 対値を OV、 300V、 600V, 900Vと変化させた際における、各高周波電力の出力と 電子密度分布との関係を示す図である。これらの図に示すように、印加する直流電 圧の絶対値が大きくなるに従って、電子密度 (プラズマ密度)が上昇しているのがわ 力る。図 45は、上記 HARCエッチングで、第 1の高周波電力を 3000W、第 2の高周 波電力を 4000Wにした場合のウェハ径方向の電子密度分布を示す図である。この 図に示すように、印加する直流電圧の絶対値が大きくなるほど電子密度が高くなるこ とがわかる。 [0303] さらにまた、プラズマが形成された場合に、上部電極 34に可変直流電源 50から直 流電圧を印加することにより、トレンチエッチング時に特に中心部のプラズマ密度を 上昇させることができる。トレンチエッチング時の条件のような、チャンバ 10内の圧力 が高くかつ使用するエッチングガスが負性ガスの場合には、チャンバ 10内の中心部 のプラズマ密度が低くなる傾向にある力 このように上部電極 34に直流電圧を印加し て中心部のプラズマ密度を上昇させることにより、プラズマ密度が均一化するようにプ ラズマ密度をコントロールすることができる。
[0304] このことを実験結果によって説明する。
[0305] 図 41の装置において、半導体ウェハをチャンバ内に装入してサセプタ上に載置し 、処理ガスとして CFガス、 CHFガス、 Arガス、 Nガスをチャンバ内に導入し、チヤ
4 3 2
ンバ内の圧力を 26. 6Paとし、第 1の高周波電力を 40MHzで 300W、第 2の高周波 電力を 3. 2MHzで 1 OOOWとして下部電極であるサセプタに印加すると!/、うトレンチ エッチングの条件で、上部電極への直流電圧を印加しな 、場合と 600W印加した 場合とでウェハ径方向の電子密度 (プラズマ密度)分布を測定した。その結果を図 4 6に示す。この図に示すように、直流電圧を印加しない場合には、ウェハ中心部の電 子密度が他の部分よりも低いのに対し、直流電圧を印加することにより、ウェハ中心 部の電子密度を上昇させて電子密度が均一化されていることが確認された。また、直 流電圧を印加することにより、電子密度が全体的に上昇した。
[0306] 以上のように、上部電極 34に印加する直流電圧を制御することにより、上述の上部 電極 34のスパッタ機能、プラズマの縮小化機能、電子の供給機能、プラズマポテン シャル制御機能、電子密度 (プラズマ密度)上昇機能、およびプラズマ密度コントロー ル機能の少なくとも一つを有効に発揮させることが可能である。
[0307] 以上、上部電極 34に直流 (DC)電圧を印加した場合の作用効果について説明し た。
[0308] 本実施形態では、上部電極に直流電圧を印加するプラズマエッチング装置として、 下部電極にプラズマ形成用の第 1の高周波 (RF)電力及びイオン引き込み用の第 2 の高周波 (RF)電力を印加する下部 RF二周波印加型のプラズマエッチング装置を 用いて説明したが、下部 RF二周波印加型のプラズマエッチング装置の、他の容量 結合型プラズマエッチング装置に対する優位点としては、以下が上げられる。
[0309] まず、本実施形態のように下部電極にプラズマ形成用の高周波電力を印加するこ とで、ウェハにより近いところでプラズマを形成することができるので、またプラズマが 広 、領域に拡散せず処理ガスの解離を抑えることができるので、処理容器内の圧力 が高くプラズマ密度が低いような条件であっても、ウェハに対するエッチングレートを 上昇させることができる。また、プラズマ形成用の高周波電力の周波数が高い場合で も、比較的大きなイオンエネルギーを確保することができるので高効率である。これに 対して、上部電極にプラズマ形成用の高周波電力を印加するタイプの装置では、上 部電極近傍にプラズマが生成されるので、処理容器内の圧力が高くプラズマ密度が 低いような条件では、ウェハに対するエッチングレートを上昇させることが困難である
[0310] また、本実施形態のように下部電極にプラズマ形成用の高周波電力とイオン引き込 み用の高周波電力を別々に印加することで、プラズマエッチングに必要なプラズマ形 成の機能とイオン引き込みの機能とを独立に制御することが可能となる。これに対し て、下部電極に一周波の高周波電力を印加するタイプの装置では、プラズマ形成の 機能とイオン引き込みの機能とを独立に制御することが不可能であり、高い微細加工 性が要求されるエッチングの条件を満たすのが困難である。
[0311] 以上のように、ウェハに近いところでプラズマを形成することが可能でプラズマが広 い領域に拡散せず、かつプラズマ形成の機能とイオン引き込みの機能とを独立に制 御することが可能な、下部 RF二周波印加型のプラズマエッチング装置に、上部電極 へ直流電圧を印加することによって、さらに上部電極のスパッタ機能、プラズマの縮 小化機能、ウェハへの電子の供給機能、プラズマポテンシャルの制御機能、プラズ マ密度の上昇機能、プラズマ密度コントロール機能の少なくとも一つを併せ持つこと が可能になるので、近年のエッチング微細加工に適合したより高いパフォーマンスを 有するプラズマエッチング装置を提供することができる。
[0312] なお、上部電極 34への直流電圧印加は選択的であってよい。上部電極 34への直 流電圧印加が必要なエッチング条件においては、可変直流電源 50及び図 41に示し たリレースィッチ 52をオンにし、上部電極 34への直流電圧印加が特に必要のな ヽェ ツチング条件においては、可変直流電源 50及びリレースィッチ 52をオフにすればよ い。
[0313] また、上部電極 34へ直流電圧を印加する際、上部電極 34が接地されていると直流 電圧印加の機能がなくなるので、上部電極 34は DC的にフローティングである必要が ある。模式図として図 47に示す。図 47において電気的にキャパシター 501、 502、 5 03を形成している箇所は、実際には誘電体が入ることになり、上部電極 34は誘電体 を介して処理容器 10及び接地導体 10aに対して DC的なフローティングとなっている 。なお、高周波電源 88、 89から下部電極 16に印加された高周波電力は、処理空間 を介して上部電極 34に到達し、キャパシター 501、 502、 503を介して、接地された 処理容器 10及び接地導体 10aに到達する。
[0314] そして、可変直流電源 50及びリレースィッチ 52をオフにして、上部電極 34へ直流 電圧を印加しな 、場合は、上部電極 34を接地状態または DC的にフローティング状 態のいずれに可変可能としてもよい。図 48の例では、上部電極 34へ直流電圧を印 加しない場合は、接地導体 10aと上部電極 34をスィッチ(可変装置) 504により短絡 させて上部電極 34を接地状態としている力 スィッチ(可変装置) 504をオフにして上 部電極 34を DC的にフローティング状態としてもよい。
[0315] また、図 49のように、電気的にキャパシター 501を形成している箇所を、電気的に キャパシタンスが可変できるように構成しても良い。これにより、上部電極のポテンシ ャルを可変することができる。
[0316] また、図 50に示すように、例えばプラズマ検出窓 10aからプラズマの状態を検出す る検出器 55を設け、その検出信号に基づいてコントローラ 51が可変直流電源 50を 制御するようにすることにより、上述した機能を有効に発揮するような直流電圧を自動 的に上部電極 34に印加することが可能である。また、シース厚を検出する検出器あ るいは電子密度を検出する検出器を設け、その検出信号に基づいてコントローラ 51 が可変直流電源 50を制御するようにしてもょ 、。
[0317] ここで、下部 RF二周波印加型で上部電極に直流電圧を印加するプラズマエツチン グ装置において、ウェハ W上に形成された絶縁膜 (例えば Low— k膜)をエッチング する際に、処理ガスとして使用するのが特に好ま 、ガスの組み合わせを下記に例 示する。
[0318] ビアエッチングの条件におけるオーバーエッチング時に、使用するのが好ましい処 理ガスの組み合わせとして、(C F、 Ar、 N )または(C F、 Ar、 N )または(C F、
5 8 2 4 8 2 4 8
Ar、 N、 O )または(C F、 Ar、 N、 CO)が挙げられる。これにより、絶縁膜の下地
2 2 4 8 2
膜 (SiC、 SiN等)に対する選択比を大きくとることができる。
[0319] また、トレンチエッチングの条件では、使用するのが好ましい処理ガスの組み合わ せとして、 CFまたは(CF、 Ar)または(N、 H )が挙げられる。これにより、絶縁膜の
4 4 2 2
マスクに対する選択比を大きくとることができる。
[0320] また、絶縁膜上の有機反射防止膜をエッチングする条件では、使用するのが好まし い処理ガスの組み合わせとして、 CFまたは(CF、 C F )または(CF、 C F )または
4 4 3 8 4 4 8
(CF , C F )が挙げられる。
4 4 6
[0321] また、 HARCエッチングの条件では、使用するのが好ま 、処理ガスの組み合わ せとして、(C F、 CF、 Ar、 O )または(C F、 C F、 Ar、 O )または(C F、 C F、
4 6 4 2 4 6 3 8 2 4 6 4 8
Ar、 O )または(C F、 C F、 Ar、 O )または(C F、 Ar、 O )または(C F、 Ar、 O
2 4 6 2 6 2 4 8 2 4 8 2
)が挙げられる。これにより、絶縁膜のエッチング速度を大きくすることができる。
[0322] なお上記に限られず、(CxHyFzのガス ZN , O
2 2等の添加ガス Z希釈ガスの組み 合わせ)を使用することが可能である。
[0323] ところで、上部電極 34に直流電圧を印加すると、上部電極 34に電子がたまり、チヤ ンバ 10の内壁との間等に異常放電が生じるおそれがある。このような異常放電を抑 制するため、本実施形態では DC的に接地されたパーツである GNDブロック (導電 性部材) 91をチャンバ壁側のデポシールド 11に設けている。この GNDブロック 91は プラズマ面に露出しており、デポシールド 11の内部の導電部に電気的に接続されて おり、可変直流電源 50から上部電極 34に印加された直流電圧電流は、処理空間を 経て GNDブロック 91に到達し、デポシールド 11を介して接地される。 GNDブロック 9 1は導電体であり、 Si, SiC等のシリコン含有物質であることが望ましい。 Cも好適に用 いることができる。この GNDブロック 91により、上記上部電極 34にたまる電子を逃が すことができ、異常放電を防止することができる。 GNDブロック 91の突出長さは 10m m以上であることが好ま U、。 [0324] また、異常放電を防止するために、上部電極 34に直流電圧を印加する場合に、適 宜の手段により直流電圧に重畳して第 1の実施形態における図 13に示すような極短 い逆極性のパルスを周期的に与えて電子を中和する方法も有効である。
[0325] 上記 GNDブロック 91は、プラズマ形成領域に設けられていれば、その位置は図 1 の位置に限らず、例えば、図 51に示すように、サセプタ 16の周囲に設ける等、サセ プタ 16側に設けてもよぐまた図 52に示すように、上部電極 34の外側にリング状に 設ける等、上部電極 34近傍に設けてもよい。ただし、プラズマを形成した際に、デポ シールド 11等に被覆されている Y Oやポリマーが飛翔し、それが GNDブロック 91
2 3
に付着すると、 DC的に接地されなくなって、異常放電防止効果を発揮し難くなるた め、これらが付着し難いことが重要となる。そのためには、 GNDブロック 91が Y O等
2 3 で被覆された部材カゝら離れた位置であることが好ましぐ隣接パーツとしては Siや石 英(SiO )等の Si含有物質であることが好ましい。例えば、第 1の実施形態の図 15A
2
に示すように、 GNDブロック 91の周囲に Si含有部材 93を設けることが好ましい。この 場合に、 Si含有部材 93の GNDブロック 91の下の部分の長さ Lは GNDブロック 91の 突出長さ M以上であることが好ましい。また、 Y Oやポリマーの付着による機能低下
2 3
を抑制するために、図 15Bに示すように、 GNDブロック 91として飛翔物が付着し難 い凹所 91aを設けることが有効である。また、 GNDブロック 91の表面積を大きくして、 Y Oやポリマーに覆われ難くすることも有効である。さらに、付着物を抑制するため
2 3
には温度が高いことが有効であるが、上部電極 34にはプラズマ形成用の高周波電 力が供給され、その近傍の温度が上昇するため、温度を上昇させて付着物を付着さ せない観点から上記図 52のように上部電極 34の近傍に設けることも好ましい。この 場合、特に、上記図 52のように、上部電極 34の外側にリング状に設けることがより好 ましい。
[0326] デポシールド 11等に被覆されている Y Oやポリマーの飛翔にともなう GNDブロッ
2 3
ク 91への付着物の影響をより効果的に排除するためには、図 53に示すように、 GN Dブロック 91に負の直流電圧を印加可能にするのが効果的である。すなわち、 GND ブロック 91に負の直流電圧を印加することにより、そこに付着した付着物カ^パッタま たはエッチングされ、 GNDブロック 91の表面をクリーニングすることができる。図 53の
Figure imgf000090_0001
、ては、 GNDブロック 91に可変直流電源 50から電圧印加が可能なように 、 GNDブロック 91の接続を、可変直流電源 50側と接地ラインとで切り替える切替機 構 53が設けられ、さらに GNDブロック 91に負の直流電圧が印加された際に発生す る直流電子電流を流入させる、接地された導電性補助部材 91bが設けられている。 切替機構 53は、可変直流電源 50の接続を整合器 46側と GNDブロック 91側との間 で切り替える第 1スィッチ 53aと、 GNDブロック 91の接地ラインへの接続をオン'オフ する第 2スィッチ 53bとを有している。なお、図 53の例では、 GNDブロック 91が上部 電極 34の外側にリング状に設けられ、導電性補助部材 91bがサセプタ 16の外周に 設けられており、この配置が好ましいが、必ずしもこのような配置でなくてもよい。
[0327] 図 53の構成の装置において、プラズマエッチング時には、通常、図 54Aに示すよう に、切替機構 53の第 1スィッチ 53aが上部電極 34側に接続され、可変直流電源 50 が上部電極 34側に接続された状態となり、かつ第 2スィッチ 53bがオンにされ、 GND ブロック 91が接地ライン側に接続される。この状態においては、第 1の高周波電源 48 および可変直流電源 50から上部電極 34に給電されてプラズマが形成され、直流電 子電流は、プラズマを介して上部電極 34力 接地されている GNDブロック 91および 導電性補助部材 91bに流入する(正イオン電流の流れの向きは逆となる)。このとき、 GNDブロック 91の表面は、上述したような Y Oやポリマー等の付着物で被覆される
2 3
ことがある。
[0328] このため、このような付着物をクリーニングする。このようなクリーニング時には、図 5 4Bに示すように、切替機構 53の第 1スィッチ 53aを GNDブロック 91側に切り替え、 第 2スィッチ 53bをオフにする。この状態においては、第 1の高周波電源 48から上部 電極 34に給電されてクリーニングプラズマが形成され、可変直流電源 50から負の直 流電圧が GNDブロック 91に印加される。これにより、直流電子電流は GNDブロック 91から導電性補助部材 9 lbに流入する。逆に正イオンは GNDブロック 91に流入す る。このため、直流電圧を調整して GNDブロック 91への正イオンの入射エネルギー を制御することにより、 GNDブロック 91表面をィオンスパッタすることができ、これによ り GNDブロック 91表面の付着物を除去することができる。
[0329] また、プラズマエッチング時の一部の期間において、図 55に示すように、第 2スイツ チ 53bをオフにし、 GNDブロック 91をフローティング状態としてもよい。このとき、直流 電子電流は、プラズマを介して上部電極 34から導電性補助部材 9 lbに流入する(正 イオン電流の流れの向きは逆となる)。このとき GNDブロック 91にはセルフバイアス 電圧がかかり、その分のエネルギーをもって正イオンが入射され、プラズマエッチング 時に GNDブロック 91をクリーニングすることができる。
[0330] なお、上記クリーニング時においては、印加する直流電圧は小さくてよぐその際の 直流電子電流は小さい。このため、図 53の構成において、リーク電流により GNDブ ロック 91に電荷がたまらないようにできる場合には、必ずしも導電性補助部材 91bは 必要ない。
[0331] 上記図 53の例では、クリーニング時に、可変直流電源 50の接続を上部電極 34側 力も GND電極 91側に切り替え、直流電圧を印加した際の直流電子電流が GNDブ ロック 91から導電性補助部材 9 laへ流れるようにした力 可変直流電源 50の正極を 上部電極 34に接続し、負極を GNDブロック 91に接続し、直流電圧を印加した際の 直流電子電流が GNDブロック 91から上部電極 34へ流れるようにしてもよい。この場 合は、導電性補助部材は不要である。このような構成を図 56に示す。図 56の構成に おいては、プラズマエッチング時には、可変直流電源 50の負極が上部電極 34に接 続され、かつ GNDブロック 91が接地ラインに接続され、クリーニング時には、可変直 流電源 50の正極が上部電極 34に接続され、負極が GNDブロック 91に接続されるよ うに、接続を切り替える接続切替機構 57が設けられている。この接続切替機構 57は 、上部電極 34に対する可変直流電源 50の接続を正極と負極との間で切り替える第 1 スィッチ 57aと、 GNDブロック 91に対する可変直流電源 50の接続を正極と負極との 間で切り替える第 2スィッチ 57bと、可変直流電源 50の正極または負極を接地するた めの第 3スィッチ 57cとを有している。第 1スィッチ 57aと第 2スィッチ 57bとは、第 1スィ ツチ 57aが可変直流電源 50の正極に接続されている際には第 2スィッチ 57bが直流 電源の負極に接続され、第 1スィッチ 57aが可変直流電源 50の負極に接続されて ヽ る際には第 2スィッチ 57bがオフになるように連動する連動スィッチを構成している。
[0332] 図 56の構成の装置において、プラズマエッチング時には、図 57Aに示すように、接 続切替機構 57の第 1スィッチ 57aが可変直流電源 50の負極側に接続され、可変直 流電源 50の負極が上部電極 34側に接続された状態となり、かつ第 2スィッチ 57bが 可変直流電源 50の正極側に接続され、第 3スィッチ 57cが可変直流電源 50の正極 側に接続され (可変直流電源 50の正極を接地)、 GNDブロック 91が接地ライン側に 接続される。この状態においては、第 1の高周波電源 48および可変直流電源 50から 上部電極 34に給電されてプラズマが形成され、直流電子電流は、プラズマを介して 上部電極 34から接地されて!ヽる GNDブロック 91に流入する(正イオン電流の流れの 向きは逆となる)。このとき、 GNDブロック 91の表面は、上述したような Y Oやポリマ
2 3 一等の付着物で被覆されることがある。
[0333] 一方、クリーニング時には、図 57Bに示すように、接続切替機構 57の第 1スィッチ 5 7aを可変直流電源 50の正極側に切り替え、第 2スィッチ 57bを可変直流電源 50の 負極側に切り替え、さらに第 3スィッチ 57cを未接続状態とする。この状態においては 、第 1の高周波電源 48から上部電極 34に給電されてクリーニングプラズマが形成さ れ、 GNDブロック 91には可変直流電源 50の負極から、上部電極 34には可変直流 電源 50の正極から、直流電圧が印加され、これらの間の電位差により直流電子電流 は GNDブロック 91から上部電極 34に流入し、逆に正イオンは GNDブロック 91に流 入する。このため、直流電圧を調整して GNDブロック 91への正イオンの入射エネル ギーを制御することにより、 GNDブロック 91表面をィオンスパッタすることができ、こ れにより GNDブロック 91表面の付着物を除去することができる。なお、この場合に可 変直流電源 50は見かけ上フローティング状態である力 一般的に電源にはフレーム 接地ラインが設けられて 、るので安全である。
[0334] また、上記例では第 3スィッチ 57cを未接続状態とした力 可変直流電源 50の正極 側に接続のまま (可変直流電源 50の正極を接地)としてもよい。この状態においては 、第 1の高周波電源 48から上部電極 34に給電されてクリーニングプラズマが形成さ れ、 GNDブロック 91には可変直流電源 50の負極から直流電圧が印加され、直流電 子電流はプラズマを介して GNDブロック 91から上部電極 34に流入し、逆に正イオン は GNDブロック 91に流入する。この場合においても、直流電圧を調整して GNDブロ ック 91への正イオンの入射エネルギーを制御することにより、 GNDブロック 91表面を ィオンスパッタすることができ、これにより GNDブロック 91表面の付着物を除去するこ とがでさる。
[0335] なお、図 53よび図 56の例では、クリーニングの際に GNDブロック 91に直流電圧を 印加したが、交流電圧を印加してもよい。また、図 53の例において、上部電極に直 流電圧を印加するための可変直流電源 50を用いて GNDブロック 91に電圧を印加し た力 別の電源力 電圧を印加するようにしてもよい。また、図 53および図 56の例で は、プラズマエッチング時に GNDブロック 91を接地させ、クリーニング時に GNDブロ ック 91に負の直流電圧を印加する形態を説明したが、これに限られない。例えば、プ ラズマエッチング時に GNDブロック 91に負の直流電圧を印加してもよい。また、上記 のクリーニング時をアツシング時に置き換えてもよい。さらに、可変直流電源 50として ノ ィポーラ電源を用いた場合には、上記接続切替機構 57のような複雑なスィッチン グ動作は不要である。
[0336] 図 53の例における切替機構 53、図 56の例における接続切替機構 57の切り替え 動作は、制御部 95からの指令に基づいて行われる。
[0337] プラズマを形成した際において、 Y Oやポリマーが GNDブロック 91へ付着するこ
2 3
とによって DC的に接地されなくなることを簡易に防止する観点からは、 GNDブロック 91の一部を他の部材で覆い、これらに相対移動を生じさせることにより、 GNDブロッ ク 91の新たな面が露出するようにすることが有効である。具体的には、第 1の実施形 態で説明した図 21A— Bに示すように、 GNDブロック 91を比較的大面積として、 GN Dブロック 91のプラズマが当たる表面の一部を矢印方向に移動可能なマスク材 111 で覆い、この保護板 111を移動することにより、 GNDブロック 91表面のプラズマに曝 される部分を変えることを可能とすることを挙げることができる。この場合に駆動機構 をチャンバ 10内に設けるとパーティクル発生を引き起こす懸念がある力 百時間に一 度程度と少ない頻度でよいので大きな問題は生じない。また、第 1の実施形態で説 明した図 22に示すように、例えば円柱状の GNDブロック 191を回転可能に設け、 G NDブロック 191の外周面の一部のみが露出可能なようにマスク材 112で覆うようにし 、 GNDブロック 191を回転させることにより、プラズマに曝されている部分を変えるよう にすることも有効である。この場合には、駆動機構はチャンバ 10外に設けることがで きる。マスク材 111, 112としては、耐プラズマ性の高いもの、例えば Y O等のセラミ ックスを溶射したアルミ板を用いることができる。
[0338] また、同様に GNDブロック 91が付着物によって DC的に接地されなくなることを簡 易に防止するための他の手法としては、 GNDブロック 91の一部を他の部材で覆い、 この他の部材としてプラズマにより徐々にエッチングされるものを用いて、 GNDブロッ ク 91が常に導電性を失って 、な 、面が露出するようにすることが有効である。例えば 、第 1の実施形態で説明した図 23Aに示すように、段付きの保護膜 113で GNDプロ ック 91表面の一部を覆い、初期露出面 91cに接地機能を持たせる。この状態でブラ ズマ処理を例えば 200時間行うと、第 1の実施形態で説明した図 23Bに示すように、 GNDブロック 91の初期露出面 91cが導電性を失うが、その際に段付きの保護膜 11 3の薄い部分がエッチングされて GNDブロック 91の新露出面 91dが現れるようにす る。これにより新露出面 91dが接地機能を発揮するようになる。このような保護膜 113 は、 GNDブロック 91へ壁面材料が付着するのを防止する効果と、 GNDブロック 91 へのイオンの流入を減少させて汚染を防止する効果を有する。
[0339] 実際の適用においては、第 1の実施形態で説明した図 24A— Bに示すように、薄い 層 114を多数積層して各層を少しずつずらした保護膜 113aを用いることが好ましい 。この場合に、 1つの層 114がプラズマによるエッチングによって消失する時間を Teと し、 GNDブロック 91の露出した表面が汚染されて導電性を消失するまでの時間を T pとすると、かならず Teく Tpを満たすように層 114の厚さを設定することにより、 GND ブロック 91にお 、て常に導電性を保った表面を確保することができる。層 114の数と しては、メンテナンスの周期よりも GNDブロック 91の寿命のほうが長くなるように選ぶ ことが好ましい。また、メンテナンス性の向上のために、図示するように他とは異なる 色を付けた層 114aを 1層設けておき、例えばこの膜 114aが一定面積以上となった 時点で交換するようして交換時期を把握することができる。
[0340] 保護膜 113、 113aとしては、プラズマにより適度にエッチングされるものが好ましぐ 例えば、フォトレジスト膜を好適に用いることができる。
[0341] GNDブロック 91が付着物によって DC的に接地されなくなることを簡易に防止する ためのさらに他の方法としては、 GNDブロック 91を複数設け、その中で接地機能を 奏するものを順次切り替えていくことを挙げることができる。例えば、第 1の実施形態 で説明した図 25に示すように、 3つの GNDブロック 91を設け、これらの一つのみを 接地させるように切り替えスィッチ 115を設ける。また、共通の接地ライン 116には、 電流センサー 117を設けておき、そこに流れる直流電流をモニターする。接地されて V、る GNDブロック 91の電流を電流センサー 117でモニターし、その電流値が所定値 より低くなつた時点で、接地機能を奏しないとして別の GNDブロック 91に切り替える 。なお、 GNDブロック 91の数は 3〜10個程度の範囲で適当な数を選択すればよい。
[0342] 以上の例においては、接地されていない GNDブロックは電気的にフローティング 状態となっている力 使っていない GNDブロックを保護する観点から、切り替えスイツ チ 115を設ける代わりに、保護するためのポテンシャルを印加できるようにしてもよ!ヽ 。その例を第 1の実施形態で説明した図 26に示す。図 26では各 GNDブロック 91に 個別に接続された接地ライン 118にそれぞれ可変直流電源 119を設ける。これ〖こより 、接地機能を発揮させるべき GNDブロック 91の電圧が OVになるようにそれに対応す る可変直流電源 119の電圧を制御し、他の GNDブロック 91については、電流が流 れな 、電圧、例えば 100Vになるように対応する可変直流電源 119の電圧を制御す る。そして、接地機能を発揮させるべき GNDブロック 91に接続されている接地ライン 118に設けられた電流センサー 117の電流値が所定値より低くなつた時点で、接地 機能を奏しなくなつたと判断して、別の GNDブロック 91に対応する可変直流電源 11 9の電圧値をその GNDブロックが接地機能を奏する値に制御する。
[0343] なお、このように直流電源 119からの印加電圧を一 lkV程度の負の値とすることに より、それに接続された GNDブロック 119をプラズマに直流電圧を与えるための電極 として機能させることができる。ただし、この値があまり大きくてもプラズマへ悪影響を 与えてしまう。また、 GNDブロック 119に印加する電圧を制御することにより、 GNDブ ロック 119に対するタリ一ユング効果を奏することができる。
[0344] なお、上記第 1の高周波電力および第 2の高周波電力の採り得る周波数を例示す ると、第 1の高周波電力としては、 13. 56MHz, 27MHz, 40MHz、 60MHz、 80M Hz、 100MHz、 160MHzを挙げることができ、第 2の高周波電力としては、 380kHz 、 800kHz, 1ΜΗζ、 2MHz、 3. 2MHz、 13. 56MHzを挙げ、ること力 Sでき、プロセス に応じて適宜の組み合わせで用いることができる。 [0345] また、以上では、プラズマエッチング装置を例に説明した力 他のプラズマを用いて 半導体基板を処理する装置にも適用可能である。例えばプラズマ成膜装置が挙げら れる。
[0346] <実施形態 4>
次に、本発明の実施形態 4について説明する。
[0347] 図 58は、本発明の実施形態 4に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。なお、図 58において、図 1と同じものには同じ符号を付して 説明を省略する。
[0348] 本実施形態では、上部電極 34とチャンバ 10の壁 (接地電位)とに可変直流電源 11 0を接続し、サセプタ 16とチャンバ 10の壁とに可変直流電源 114を接続している。す なわち、可変直流電源 110の一方の極を上部電極 34に接続し、他方の極をチャン ノ 10の壁に接続しており、可変直流電源 114の一方の極をサセプタ 16に接続し、他 方の極をチャンノ 10の壁に接続している。これら可変直流電源 110, 114は、それ ぞれオン ·オフスィッチ 112, 116でオン ·オフ可能となって!/、る。
[0349] なお、これら可変直流電源 110, 114、オン'オフスィッチ 112, 116は図示しないコ ントローラで制御される。また、整合器 88には整合器 46のフィルタ 58と同様のフィル タが内蔵されており、可変直流電源 114はこのフィルタを介してサセプタ 16に接続さ れている。
[0350] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、可変直流電源 110から上部電極 34および可変直流電源 1 14からサセプタ 16にそれぞれ所定の直流電圧が印加される。この場合に、直流電 圧が上部電極 34に印加されていることから、実施形態 1における直流電圧印加の効 果を得ることができるとともに、さらにサセプタ 16に直流電圧を印加することで、プラズ マポテンシャルとウェハとの電位差が拡大し、イオンエネルギーが増大するため、高 エッチングレートが得られる。また、ウェハ外部のフォーカスリング 24をサセプタ 16に DC的に導通させ、サセプタ 16に DC印加する量を最適化することで、ウェハエッジ のエッチングレートの落ち込みを修正し、ウェハ面内で均一性の良いエッチングを行 うことが可能となり、ウェハ 1枚からとれるチップの数を増加させることができる。 [0351] なお、本実施形態において、可変直流電源 110, 114の極性は逆であってもよいし
、直流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM 変調や FM変調等、変調されたものであってもよい。
[0352] <実施形態 5 >
次に、本発明の実施形態 5について説明する。
[0353] 図 59は、本発明の実施形態 5に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 59においても、図 1と同じものには同じ符号を付して説明 を省略する。
[0354] 本実施形態では、上部電極 34と下部電極であるサセプタ 16とに、それぞれ整合器 46および 88内のフィルタを介して可変直流電源 118を接続している。すなわち、可 変直流電源 118の一方の極を上部電極 34に接続し、他方の極を下部電極であるサ セプタ 16に接続している。この可変直流電源 118は、オン'オフスィッチ 120によりォ ン 'オフ可能となっている。なお、可変直流電源 118およびオン'オフスィッチ 120は 図示しないコントローラで制御される。
[0355] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、直流電源 118から上部電極 34およびサセプタ 16に所定 の直流電圧が印加される。この場合に、直流電圧が上部電極 34に印加されているこ とから、実施形態 1における直流電圧印加の効果を得ることができるとともに、さらに 上部電極 34力 ウェハ Wまで直線的に電界が入るために、電極上の電子を効率良 くウエノ、に加速することができる。また、どちらの電極もチャンバ壁に対しては DC的 に浮!ヽて 、るために、電極間に電位差を与えても直接プラズマポテンシャルに影響 を与えない。よって、チャンバ壁などで異常放電を発生することはなくなる。また、チヤ ンバ壁に GNDブロックを設ける必要がなくなる。
[0356] なお、本実施形態において、可変直流電源 118の極性は逆であってもよいし、直 流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM変調 や FM変調等、変調されたものであってもよい。
[0357] <実施形態 6 >
次に、本発明の実施形態 6について説明する。 [0358] 図 60は、本発明の実施形態 6に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 60においても、図 1と同じものには同じ符号を付して説明 を省略する。
[0359] 本実施形態では、絶縁性遮蔽部材 42の中に導体 42aを埋め込み、上部電極 34と 導体 42aとに可変直流電源 122を接続している。また、絶縁体である内壁部材 26の 中に導体 26aを埋め込み、サセプタ 16と導体 26aとに可変直流電源 126を接続して いる。すなわち、可変直流電源 122の一方の極を上部電極 34に接続し、他方の極を 導体 42aに接続しており、可変直流電源 126の一方の極をサセプタ 16に接続し、他 方の極を導体 26aに接続している。これら可変直流電源 122, 126は、それぞれオン •才フスィッチ 124, 128で才ン ·才フ可會となつて!/、る。
[0360] なお、これら可変直流電源 122, 126、オン'オフスィッチ 124, 128は図示しないコ ントローラで制御される。また、実施形態 4と同様、直流電源 126は整合器 88に内蔵 されて!/、るフィルタを介してサセプタ 16に接続されて!、る。
[0361] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、直流電源 122から上部電極 34および導体 42aに所定の 直流電圧が印加され、直流電源 126からサセプタ 16および導体 26aに所定の直流 電圧が印加される。この場合に、直流電圧が上部電極 34に印加されていることから、 実施形態 1における直流電圧印加の効果を得ることができるとともに、さらに印加電 圧を最適化することで、絶縁性遮蔽部材 42および内壁部材 26にしみ出した電位と プラズマ電位との差により、イオンの加速が生じて、絶縁性遮蔽部材 42および絶縁 体である内壁部材 26への堆積物(デポ)の付着を抑制することができる。
[0362] なお、本実施形態において、可変直流電源 122、 126の極性は逆であってもよいし 、直流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM 変調や FM変調等、変調されたものであってもよい。
[0363] <実施形態 7 >
次に、本発明の実施形態 7について説明する。
[0364] 図 61は、本発明の実施形態 7に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 61において、図 1および図 60と同じものには同じ符号を 付して説明を省略する。
[0365] 本実施形態では実施形態 6と同様、絶縁性遮蔽部材 42の中に導体 42aを埋め込 み、内壁部材 26の中に導体 26aを埋め込んでいる。そして、導体 42aとチャンバ 10 の壁 (接地電位)とに可変直流電源 130を接続し、導体 26aとチャンバ 10の壁 (接地 電位)とに可変直流電源 134を接続している。すなわち、可変直流電源 130の一方 の極を導体 42aに接続し、他方の極をチャンバ 10の壁に接続しており、可変直流電 源 134の一方の極を導体 26aに接続し、他方の極をチャンバ 10の壁に接続している 。これら可変直流電源 130, 134は、それぞれオン'オフスィッチ 132, 136でオン' オフ可能となっている。なお、これら可変直流電源 130, 134、オン'オフスィッチ 132 , 136は図示しないコントローラで制御される。
[0366] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、可変直流電源 130から導体 42aに所定の直流電圧が印加 され、可変直流電源 134から導体 26aに所定の直流電圧が印加される。この際に、 印加電圧を最適化することで、絶縁性遮蔽部材 42および内壁部材 26の表面にしみ 出す電位を変えることができる。これにより、プラズマポテンシャルとの電位差によるィ オンの加速電圧が生じて、絶縁性遮蔽部材 42および内壁部材 26に入射するイオン エネルギーにより、絶縁性遮蔽部材 42および絶縁体である内壁部材 26への堆積物 (デポ)の付着を抑制することができる。
[0367] なお、本実施形態において、可変直流電源 132、 134の極性は逆であってもよいし 、直流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM 変調や FM変調等、変調されたものであってもよい。
[0368] <実施形態 8 >
次に、本発明の実施形態 8について説明する。
[0369] 図 62は、本発明の実施形態 8に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 62において、図 1および図 60と同じものには同じ符号を 付して説明を省略する。
[0370] 本実施形態では実施形態 7と同様、絶縁性遮蔽部材 42の中に導体 42aを埋め込 み、内壁部材 26の中に導体 26aを埋め込んでいる。そして、導体 42aと導体 26aとに 可変直流電源 138を接続している。すなわち、可変直流電源 138の一方の極を導体 42aに接続し、他方の極を導体 26aに接続している。この可変直流電源 138は、オン 'オフスィッチ 140でオン'オフ可能となっている。なお、可変直流電源 138、オン 'ォ フスイッチ 140は図示しないコントローラで制御される。
[0371] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、可変直流電源 138から導体 42aおよび導体 26aに所定の 直流電圧が印加される。この際に、印加電圧を最適化することで絶縁性遮蔽部材 42 および内壁部材 26の表面の電位を変え、プラズマポテンシャルとの電位差によりカロ 速されたイオンにより、絶縁性遮蔽部材 42および絶縁体である内壁部材 26への堆 積物 (デポ)の付着を抑制することができる。さらに、絶縁性遮蔽部材 42および内壁 部材 26に対してそれぞれ逆極に印加していることで、電子、イオンを電極方向にカロ 速することができるので、プラズマを閉じこめることができる。
[0372] なお、本実施形態において、可変直流電源 138の極性は逆であってもよいし、直 流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM変調 や FM変調等、変調されたものであってもよい。
[0373] <実施形態 9 >
次に、本発明の実施形態 9について説明する。
[0374] 図 63は、本発明の実施形態 9に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 63においても、図 1と同じものには同じ符号を付して説明 を省略する。
[0375] 本実施形態では、フローティング壁であるデポシールド 11を互いに絶縁されたデポ シールド 1 laとデポシールド 1 lbとを有する構造とし、上部電極 34とデポシールド 11 aとに可変直流電源 142を接続し、下部電極であるサセプタ 16とデポシールド l ibと に可変直流電源 146を接続している。すなわち、可変直流電源 142の一方の極を上 部電極 34に接続し、他方の極をデポシールド 11aに接続しており、可変直流電源 14 6の一方の極をサセプタ 16に接続し、他方の極をデポシールド l ibに接続している。 これら可変直流電源 142, 146は、それぞれオン'オフスィッチ 144, 148でオン'ォ フ可能となっている。 [0376] なお、これら可変直流電源 142, 146、オン 'ォフスイッチ 144, 148は図示しないコ ントローラで制御される。また、実施形態 4と同様、直流電源 148は整合器 88に内蔵 されて!/、るフィルタを介してサセプタ 16に接続されて!、る。
[0377] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、可変直流電源 142から上部電極 34およびデポシールド 1 laに所定の直流電圧が印加され、可変直流電源 146からサセプタ 16およびデポシ 一ルド l ibに所定の直流電圧が印加される。この場合に、直流電圧が上部電極 34 に印加されていることから、実施形態 1における直流電圧印加の効果を得ることがで きる。また、デポシールド 11と上部電極 34および下部電極であるサセプタ 16は、ダラ ンドから浮 ヽて 、るのでデポシールド 11と上部電極 34、およびデポシールド 11とサ セプタ 16はそれぞれの電位差は、印加電圧値で自然に決まる。したがって、グランド をプラズマに露出しなくてもアーク防止効果が得られる他、両者の電位差によりィォ ンが加速され、デポシールド 11への堆積物(デポ)の付着を抑制することができる。さ らに、電位方向や電圧の最適化により排気空間にポテンシャル差をつくることにより、 プラズマ閉じこめ作用を得ることができる。
[0378] なお、本実施形態において、可変直流電源 142、 146の極性は逆であってもよいし 、直流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM 変調や FM変調等、変調されたものであってもよい。
[0379] <実施形態 10 >
次に、本発明の実施形態 10について説明する。
[0380] 図 64は、本発明の実施形態 10に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 64においては、図 1および図 63と同じものには同じ符号 を付して説明を省略する。
[0381] 本実施形態では、実施形態 9と同様、フローティング壁であるデポシールド 11を互 いに絶縁されたデポシールド 1 laとデポシールド 1 lbとを有する構造とし、デポシ一 ルド 11aとチャンノ 10の壁とに可変直流電源 150を接続し、デポシールド l ibとチヤ ンバ 10の壁とに可変直流電源 154を接続している。すなわち、可変直流電源 150の 一方の極をデポシールド 11aに接続し、他方の極をチャンノ 10の壁に接続しており 、可変直流電源 154の一方の極をデポシールド l ibに接続し、他方の極をチャンバ 10の壁に接続している。これら可変直流電源 150, 154は、それぞれオン'オフスィ ツチ 152, 156でオン'オフ可能となっている。なお、これら可変直流電源 150, 154 、オン'オフスィッチ 152, 156は図示しないコントローラで制御される。
[0382] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、可変直流電源 150からフローティング壁であるデポシール ド 11aおよび接地壁であるチャンバ 10の壁に所定の直流電圧が印加され、可変直流 電源 154力 フローティング壁であるデポシールド l ibおよび接地壁であるチャンバ 10の壁定の直流電圧が印加される。この場合に、デポシールドの電位を最適に与え て、イオンの加速電圧を得ることにより、デポシールド 11への堆積物(デポ)の付着を 抑制することができる。さらに、外側のデポシールド 1 laと内側のデポシールド 1 lbの 電圧を最適化することで電子がより広がるのを防ぐことにより、プラズマ閉じこめ作用 を得ることができる。図 64ではプラズマが下に広がらなくするために横方向の電界を 与える例である。
[0383] なお、本実施形態において、可変直流電源 150、 154の極性は逆であってもよいし 、直流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM 変調や FM変調等、変調されたものであってもよい。
[0384] <実施形態 11 >
次に、本発明の実施形態 11について説明する。
[0385] 図 65は、本発明の実施形態 11に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 65において、図 1および図 63と同じものには同じ符号を 付して説明を省略する。
[0386] 本実施形態では実施形態 9と同様、フローティング壁であるデポシールド 11を互い に絶縁されたデポシールド 1 laとデポシールド 1 lbとを有する構造とし、デポシールド 11aとデポシールド l ibとに可変直流電源 158を接続している。すなわち、可変直流 電源 158の一方の極をデポシールド 11aに接続し、他方の極をデポシールド l ibに 接続している。この可変直流電源 158は、オン'オフスィッチ 160でオン'オフ可能と なっている。なお、可変直流電源 158、オン'オフスィッチ 160は図示しないコント口 ーラで制御される。
[0387] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、可変直流電源 158からデポシールド 1 laおよびデポシ一 ルド l ibに所定の直流電圧が印加される。この際に、 2箇所以上のデポシールドに電 位差を与えることで、イオンを加速させることにより、絶縁性遮蔽部材 42および絶縁 体である内壁部材 26への堆積物(デポ)の付着を抑制することができる。さらに、排 気方向に垂直に電界が力かることで、イオンや電子をデポシールドにぶっけて消滅 させること、すなわち、プラズマ閉じこめ作用を得ることができる。る。
[0388] なお、本実施形態において、可変直流電源 158の極性は逆であってもよいし、直 流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM変調 や FM変調等、変調されたものであってもよい。
[0389] <実施形態 12 >
次に、本発明の実施形態 12について説明する。
[0390] 図 66は、本発明の実施形態 12に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 66においては、図 1と同じものには同じ符号を付して説 明を省略する。
[0391] 本実施形態では、上部電極 34と導電性のフォーカスリング (補正リング) 24とに可 変直流電源 162を接続している。すなわち、可変直流電源 162の一方の極を上部電 極 34に接続し、他方の極を下部電極であるサセプタ 16の上方に載置されたフォー カスリング 24に接続している。この可変直流電源 162は、オン'オフスィッチ 164によ りオン ·オフ可能となっている。なお、可変直流電源 162およびオン 'ォフスイッチ 164 は図示しないコントローラで制御される。また、導電性のフォーカスリング 24は、電気 的に接地されている。
[0392] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、可変直流電源 162から上部電極 34およびフォーカスリン グ 24に所定の直流電圧が印加される。この場合に、直流電圧が上部電極 34に印加 されていることから、実施形態 1における直流電圧印加の効果を得ることができるとと もに、所定の電圧を印加することでウェハ面内で均一性の良いエッチングを行うこと が可能となる。
[0393] なお、本実施形態において、可変直流電源 162の極性は逆であってもよいし、直 流電圧の代わりに交流電圧を印加してもよい。また、電圧はパルス状でも、 AM変調 や FM変調等、変調されたものであってもよい。
[0394] <実施形態 13 >
次に、本発明の実施形態 13について説明する。
[0395] 図 67は、本発明の実施形態 13に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 67においては、図 1と同じものには同じ符号を付して説 明を省略する。
[0396] 本実施形態では、補正リングであるフォーカスリング 24と静電チャック 18との間のゥ エノ、 Wに隣接した位置に冷却可能な冷却リング 166を設け、かつフォーカスリング 24 とチャンバ 10の壁とに可変直流電源 167を接続している。すなわち、可変直流電源 167の一方の極をフォーカスリング 24に接続し、他方の極をチャンバ 10の壁に接続 している。電源 167からフォーカスリング 24に至る給電線には、ローパスフィルタ(LP F) 169が介在されている。また、可変直流電源 167と並列にスィッチ 168が設けられ ている。冷却リング 166は、冷却機構 170により冷却可能となっている。冷却リング 16 6およびフォーカスリング 24の温度は、温度計測システム 171により計測される。温度 制御部 172は、温度計測システム 171からの信号を入力し、冷却機構 170および可 変直流電源 167およびスィッチ 168に制御信号を出力することにより、冷却リング 16 6およびフォーカスリング 24の温度を制御可能となっている。冷却機構としては、例え ば、冷却リング 166とサセプタとの間に Heガス等の熱伝達ガスを供給するものを挙げ ることができる。この場合には、熱伝達ガスの供給圧力を変化させることにより、サセ プタ 16内を循環する冷媒の冷熱の伝達度合を変化させて冷却リング温度制御が可 能となる。
[0397] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、冷却リング 166によりウェハ Wのエッジ部を冷却することに よりウェハ Wのエッジ部にデポが付着することを防止することができるとともに、フォー カスリング 24に直流電圧を印加することにより、温度低下によるエッチング特性の悪 化を防止することができる。
[0398] 以下、詳細に説明する。
[0399] プラズマ処理装置においては、通常、図 68に示すようにウェハ Wの外周に隣接し てフォーカスリング 24が設けられて!/、るが、プラズマ処理中にはフォーカスリング 24 の温度が上昇し、そのためウェハ Wのエッジ部および裏面にデポ 173が付着する。 デポの付着を防止するためにフォーカスリング 24を冷却するとこのようなデポの付着 は減少する力 ウェハ Wのエッジ部におけるエッチング特性(特にレジストのエツチン グレート等)が悪ィ匕し、デポ付着とエッチング特性とがトレードオフの関係になってしま
[0400] これに対して、本実施形態では、冷却リング 166をウェハ Wのエッジ部よりも低温に 冷却することにより、ウェハ Wのエッジ部にデポが付着する代わりに冷却リング 166に デポを付着させるので、ウェハ Wのエッジ部および裏面へのデポの付着を防止する ことができる。一方、フォーカスリング 24に直流電圧を印加して温度を上昇させること により、冷却リング 166がウェハエッジ近傍空間の温度を低下させることを防止するこ とができ、エッチング特性を低下させない。
[0401] 本実施形態において、温度制御は必ずしも必要はなぐ冷却リング 166の温度がゥ ェハ Wのエッジ部の温度よりも低くなればよい。また、フォーカスリング 24のみを計測 して制御するようにしてもよい。したがって、図 69に示すように、冷却リング 166とサセ プタ 16との間に良熱伝導体、例えばシリコンラバー 174を介在させて冷却部材 166 をより冷却しやすくするだけでもよい。可能ならば、図 70に示すように、高周波電力が 伝達しにくく熱だけ伝達しやす 、誘電体、例えば A1N力もなる部材 174aをシリコンラ バー 174等で上下から挟み込むように構成することが好ましい。これにより冷却リング 166の高周波電力による加熱を極力防止することができ、冷却の程度をより高くする ことが可能となる。
[0402] また、図 71に示すように、フォーカスリング 24とサセプタ 16とを絶縁部材 175で絶 縁しておけば、高周波電力の影響を受けずにフォーカスリング 24に直流電圧を印加 することができる。この場合には、ローパスフィルタ(LPF) 169は不要である。
[0403] さらに、図 72に示すように、サセプタ 16を通してフォーカスリング 24に直流電圧を 印加することもできる。この場合には、フォーカスリング 24とサセプタ 16とをコンタクト ピン 176などで電気的に接続しておき、直流電圧を高周波電源 90の給電線を介して サセプタ 16に印加する。サセプタ 16を介して供給される高周波電力による温度上昇 が無視できない場合には、図示のように冷却リング 166とサセプタ 16との間に誘電体 部材 17を介在させることにより、冷却リング 166への高周波電力を遮断して温度上昇 を抑えることができる。
[0404] さらにまた、図 73に示すように、冷却リング 166の上にフォーカスリング 24を配置す るようにしてちょい。
[0405] なお、本実施形態において、フォーカスリング 24に印加する直流電圧の極性は逆 であってもよいし、直流電圧の代わりに交流電圧を印加してもよい。また、電圧はパ ルス状でも、 AM変調や FM変調等、変調されたものであってもよい。さらに、エッチ ング特性の劣化が問題にならな!/、場合には、フォーカスリング 24への電圧印加を行 わずに冷却リング 166を設けるだけでも効果がある。
[0406] <実施形態 14 >
次に、本発明の実施形態 14について説明する。
[0407] 図 74は、本発明の実施形態 14に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 74においては、図 1と同じものには同じ符号を付して説 明を省略する。
[0408] 本実施形態では、補正リングであるフォーカスリングを静電チャック 18に隣接した内 側の第 1フォーカスリング 24aと、その外側の第 2フォーカスリング 24bとに分離した構 成とし、第 1フォーカスリング 24aと第 2フォーカスリング 24bとに可変直流電源 178を 接続している。すなわち、可変直流電源 178の一方の極を第 1フォーカスリング 24a に接続し、他方の極を第 2フォーカスリング 24bに接続している。電源 178からの給電 線には、ローパスフィルタ(LPF) 180が介在されている。また、可変直流電源 178と 並列にスィッチ 182が設けられている。
[0409] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、内側の第 1フォーカスリング 24aと外側の第 2フォーカスリン グ 24bに可変直流電源 178から直流電圧を印加する。この際に、内側の第 1フォー力 スリング 24aと外側の第 2フォーカスリング 24bに印加する電圧 (電圧の方向)を異なら せることができ、その値も変化させることができるので、ウェハ Wの外周のプラズマを 制御することができ、ウェハ Wのエッジ部にぉ 、てプロセス特性の悪ィ匕を低減するこ とができる。例えば、ウェハ Wのエッジにおけるエッチングレートの低下や、ウェハ W のエッジにおけるエッチング形状の曲がり等を低減することができる。
[0410] 本実施形態のプラズマエッチング装置において、実施形態 13と同様の冷却機構を 設けて第 1フォーカスリング 24aを冷却することにより、第 1フォーカスリング 24aを冷 却リングとして機能させれば、ウエノ、 Wのエッジ部や裏面へのデポの付着を防止する ことも可能である。また、第 1および第 2フォーカスリング 24a, 24bの温度を実施形態 13と同様に計測して、これらの温度が所定値になるように実施形態 13と同様、温度 制御部により可変直流電源の電圧や極性を制御するようにしてもょ ヽ。冷却機構を 設ける場合には、第 1フォーカスリング 24aの冷却を制御するようにしてもょ 、。
[0411] また、図 75に示すように、第 1フォーカスリング 24aと第 2フォーカスリング 24bとをゥ エノ、 Wのエッジ近傍にぉ 、て上下に配置するようにしてもょ 、。これによつても同様 の効果を得ることができる。
[0412] なお、本実施形態において、第 1および第 2フォーカスリング 24a, 24bに印加する 直流電圧の極性は逆であってもよ ヽし、直流電圧の代わりに交流電圧を印加しても よい。また、電圧はパルス状でも、 AM変調や FM変調等、変調されたものであっても よい。
[0413] <実施形態 15 >
次に、本発明の実施形態 15について説明する。
[0414] 図 76は、本発明の実施形態 15に係るプラズマエッチング装置の要部を簡略ィ匕して 示す概略断面図である。図 76においては、図 1および図 74と同じものには同じ符号 を付して説明を省略する。
[0415] 本実施形態では、実施形態 14と同様に、補正リングであるフォーカスリングを静電 チャック 18に隣接した内側の第 1フォーカスリング 24aと、その外側の第 2フォーカスリ ング 24bとに分離した構成とし、第 1フォーカスリング 24aと第 2フォーカスリング 24bと に、それぞれ別個の第 1可変直流電源 184および第 2可変直流電源 186を接続して いる。すなわち、第 1可変直流電源 184の一方の極を第 1フォーカスリング 24aに接 続し、第 2可変直流電源 186の一方の極を第 2フォーカスリング 24bに接続し、第 1お よび第 2可変直流電 184, 186の他方の極を 、ずれもチャンバ 10の壁に接続して!/ヽ る。第 1および第 2可変直流電 184, 186からの給電線には、それぞれ第 1ローパス フィルタ(LPF) 188および第 2ローパスフィルタ(LPF) 190が介在されている。第 1 可変直流電源 184および第 2可変直流電源 186とそれぞれ並列にスィッチ 185, 18 7が設けられている。
[0416] このように構成されるプラズマエッチング装置においては、実施形態 1と同様にして プラズマを形成した際に、内側の第 1フォーカスリング 24aと外側の第 2フォーカスリン グ 24bにそれぞれ第 1可変直流電源 184および第 2可変直流電源 186から独立に直 流電圧を印加する。この際に、両者に印加する電圧 (電圧の方向)を異ならせること ができ、それらの値を独立して自由に変化させることができるので、実施形態 14の場 合よりもウェハ Wの外周のプラズマを高精度で制御することができ、ウェハ Wのエッジ 部において、上述したウェハ Wのエッジにおけるエッチングレートの低下や、ウェハ Wのエッジにおけるエッチング形状の曲がり等のプロセス特性の悪ィ匕を、より効果的 に低減することができる。
[0417] 本実施形態のプラズマエッチング装置においても、実施形態 13と同様の冷却機構 を設けて第 1フォーカスリング 24aを冷却することにより、第 1フォーカスリング 24aを冷 却リングとして機能させれば、ウエノ、 Wのエッジ部や裏面へのデポの付着を防止する ことも可能である。また、第 1および第 2フォーカスリング 24a, 24bの温度を実施形態 13と同様に計測して、これらの温度が所定値になるように実施形態 13と同様、温度 制御部により可変直流電源の電圧や極性を制御するようにしてもょ ヽ。冷却機構を 設ける場合には、第 1フォーカスリング 24aの冷却を制御するようにしてもょ 、。
[0418] また、図 77に示すように、第 1可変電源 184および第 2可変電源 186の前記他方の 極をローパスフィルタ(LPF) 192を介して上部電極 34に接続してもよい。さらに、図 7 8に示すように、第 1フォーカスリング 24aと第 2フォーカスリング 24bとをウェハ Wのェ ッジ近傍において上下に配置するようにしてもよぐこれによつても同様の効果を得る ことができる。 [0419] なお、本実施形態において、第 1および第 2フォーカスリング 24a, 24bに印加する 直流電圧の極性は逆であってもよ ヽし、直流電圧の代わりに交流電圧を印加しても よい。また、電圧はパルス状でも、 AM変調や FM変調等、変調されたものであっても よい。
[0420] <実施形態 16 >
次に、本発明の実施形態 16について説明する。
[0421] 図 79は、本発明の実施形態 16に係るプラズマエッチング装置を簡略ィ匕して示す概 略断面図である。
[0422] すなわち、下部電極であるサセプタ 16に第 1の高周波電源 200からプラズマ生成 用の例えば 13. 56MHzの高周波(RF)電力を印加する下部 RF1周波印加タイプの プラズマエッチング装置であって、図示のように上部電極 234'に可変直流電源 204 を接続して所定の直流 (DC)電圧が印加されるプラズマエッチング装置である。図 7 9は、詳細を省略した図であるが、本実施形態のプラズマエッチング装置は、下部に 高周波電力を 1周波のみ印加する点以外は、実施形態 3の下部 RF2周波印加タイプ のプラズマエッチング装置と同じである。
[0423] 本実施形態のプラズマエッチング装置であっても、上部電極 234Ίこ可変直流電源 204からの直流電圧を印加することで、実施形態 3のプラズマエッチング装置と同様 の効果を得ることができる。すなわち、(1)第 1電極の自己バイアス電圧の絶対値を 大きくして第 1電極表面へのスパッタ効果、(2)第 1電極におけるプラズマシースを拡 大させ、形成されるプラズマが縮小化される効果、(3)第 1電極近傍に生じた電子を 被処理基板上に照射させる効果、(4)プラズマポテンシャルを制御する効果、(5)電 子 (プラズマ)密度を上昇させる効果、 (6)中心部のプラズマ密度を上昇させる効果 の少なくとも一つを奏することができる。
[0424] また、上記実施形態 1〜3で説明した、上部電極への直流電圧の印加に関係する 装置構成及び手法を、本実施形態のプラズマエッチング装置に適用することができ る。例えば、上記実施形態 3の導電性部材や、上記実施形態 3の図 47〜図 49で説 明したスィッチ、処理ガスの組み合わせなどを本実施形態のプラズマエッチング装置 に適用することは、当然に可能である。 [0425] また、上記実施形態 4〜15で説明した、上部電極や上部電極以外の部材への直 流電圧の印加に関係する装置構成及び手法を、本実施形態のプラズマエッチング 装置に適用することができる。
[0426] <実施形態 17 >
次に、本発明の実施形態 17について説明する。
[0427] 図 80は、本発明の実施形態 17に係るプラズマエッチング装置を簡略ィ匕して示す概 略断面図である。
[0428] すなわち、下部電極であるサセプタ 16に第 1の高周波電源 48'から第 1の高周波( RF)電力を印加するとともに第 2の高周波電源 90から第 2の高周波 (RF)電力し、さ らに第 3の高周波電源 224から第 3の高周波電力を上部電極 34に印加する上部 RF 1周波下部 RF2周波タイプのプラズマエッチング装置であって、図示のように上部電 極 34に可変直流電源 50を接続して所定の直流 (DC)電圧が印加されるプラズマェ ツチング装置である。なお、このプラズマエッチング装置は、プラズマ形成用の高周 波電力を出力する高周波電源が第 3の高周波電源であることが好ましぐイオン引き 込み用の高周波電力を出力する高周波電源が第 1の高周波電源および第 2の高周 波電源であることが好まし 、。
[0429] 本実施形態のプラズマエッチング装置であっても、上部電極 34に可変直流電源 5 0からの直流電圧を印加することで、実施形態 3のプラズマエッチング装置と同様の 効果を得ることができる。すなわち、(1)第 1電極の自己バイアス電圧の絶対値を大き くして第 1電極表面へのスパッタ効果、(2)第 1電極におけるプラズマシースを拡大さ せ、形成されるプラズマが縮小化される効果、(3)第 1電極近傍に生じた電子を被処 理基板上に照射させる効果、(4)プラズマポテンシャルを制御する効果、(5)電子( プラズマ)密度を上昇させる効果、 (6)中心部のプラズマ密度を上昇させる効果の少 なくとも一つを奏することができる。
[0430] また、上記実施形態 1〜3で説明した、上部電極への直流電圧の印加に関係する 装置構成及び手法を、本実施形態のプラズマエッチング装置に適用することができ る。例えば、上記実施形態 1の導電性部材や、処理ガスの組み合わせなどを本実施 形態のプラズマエッチング装置に適用することは、当然に可能である。 [0431] また、上記実施形態 4〜15で説明した、上部電極や上部電極以外の部材への直 流電圧の印加に関係する装置構成及び手法を、本実施形態のプラズマエッチング 装置に適用することができる。
[0432] なお、図示したように切替スィッチ 226により、上部電極 34を第 3の高周波電源 22 4および可変直流電源 50に接続するか、または接地する力切替可能とすることもでき る。なお、参照符号 227はローパスフィルタであり、 228はハイパスフィルタである。
[0433] 以上、本発明の実施形態を説明してきたが、上記実施形態の内容に限定されるこ となぐ種々の装置構成や手法の、組み合わせ、変形が可能である。
[0434] 例えば、上記実施形態 4〜15で説明した、上部電極や上部電極以外の部材への 直流電圧の印加に関係する装置構成及び手法を、実施形態 2、 3のプラズマエッチ ング装置に適用することもできる。
[0435] また、図 81に示すように、下部電極であるサセプタ 16に第 1の高周波電源 48' か らプラズマ生成用の例えば 60MHzの高周波電力を印加するとともに、第 2の高周波 電源 90' 力もイオン引き込み用の例えば 2MHzの高周波電力を印加し、さらに直流 電源 198を下部電極であるサセプタ 16に印加するようにしてもよい。さらに、図 82に 示すように、図 79の上部電極に接続された可変直流電源 204の代わりに下部電極 に接続された可変直流電源 202を設けてもよい。上記実施形態 1〜15で説明した直 流電圧の印加手法は、上記図 81、図 82のタイプの装置であっても適用可能である。
[0436] また、以上では、プラズマエッチング装置を例に説明した力 他のプラズマを用いて 半導体基板を処理する装置にも適用可能である。例えばプラズマ成膜装置が挙げら れる。

Claims

請求の範囲
[1] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および第 2電極と、
前記第 1電極または第 2電極にプラズマ形成用の第 1の高周波電力を供給する第 1 の高周波電力供給ユニットと、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
を具備し、前記第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処 理基板の所定の層をプラズマ処理するプラズマ処理装置であって、
前記第 1電極または第 2電極に直流電圧または交流電圧を印加する電源をさら〖こ 具備し、
印加電極の表面に対する所定のスパッタ効果が得られる程度にその表面の自己バ ィァス電圧 V の絶対値が大きくなるように、
dc
または、印加電極におけるプラズマシースの厚さを拡大させ、前記印加電極の対向 電極側に縮小されたプラズマが形成されるように、
または、印加電極近傍で生成した電子を前記被処理基板上に照射させるように、 または、プラズマポテンシャルが所望の値に制御されるように、
または、プラズマ密度を上昇させるように、
または、プラズマ密度の分布が所望のエッチングの均一性を得られる程度に均一 になるように
前記電源からの印加電圧、印加電流および印加電力のいずれかを制御することを特 徴とするプラズマ処理装置。
[2] 前記直流電圧または交流電圧は、パルス状または変調されたものであることを特徴 とする請求項 1に記載のプラズマ処理装置。
[3] 前記電源からの印加電圧、印加電流および印加電力のいずれかを制御する制御 装置をさらに具備することを特徴とする請求項 1に記載のプラズマ処理装置。
[4] 生成されたプラズマの状態を検出する検出器をさらに具備し、この検出器の情報に 基づ 、て前記制御装置が前記電源力 の印加電圧、印加電流および印加電力の 、 ずれかを制御することを特徴とする請求項 3に記載のプラズマ処理装置。
[5] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および第 2電極と、
前記第 1電極または第 2電極にプラズマ形成用の第 1の高周波電力を供給する第 1 の高周波電力供給ユニットと、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
を具備し、前記第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処 理基板の所定の層をプラズマ処理するプラズマ処理装置であって、
前記第 1電極または第 2電極に直流電圧または交流電圧を印加する電源をさら〖こ 具備し、
前記電源の一方の極が前記第 1電極または第 2電極に接続され、他方の極が前記 処理容器内の所定の部材に接続され、
前記電源からの印加電圧、印加電流および印加電力のいずれかを制御することを 特徴とするプラズマ処理装置。
[6] 前記所定の部材は、処理容器内に存在する絶縁部材に埋設された導体、または処 理容器の壁部を構成する部材、または前記第 2電極上の被処理基板周縁に載置さ れた補正リングであることを特徴とする請求項 5に記載のプラズマ処理装置。
[7] 他の直流電源をさらに有し、前記他の直流電源の一方の極が前記第 1電極および 第 2電極のうち前記直流電源が接続されて 、な 、電極に接続され、他方の極が前記 所定の部材または前記所定の部材力 絶縁された他の所定の部材に接続されてい ることを特徴とする請求項 5に記載のプラズマ処理装置。
[8] 前記他の直流電源が接続される前記他の所定の部材は、処理容器内に存在する 絶縁部材に埋設された導体、または処理容器の壁部を構成する部材、または前記第 2電極上の被処理基板周縁に載置された補正リングであることを特徴とする請求項 7 に記載のプラズマ処理装置。
[9] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および第 2電極と、
前記第 1電極または第 2電極にプラズマ形成用の第 1の高周波電力を供給する第 1 の高周波電力供給ユニットと、 前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
を具備し、前記第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処 理基板の所定の層をプラズマ処理するプラズマ処理装置であって、
前記処理容器内の所定の部材に直流電圧または交流電圧を印加する電源をさら に具備することを特徴とするプラズマ処理装置。
[10] 前記直流電圧または交流電圧は、パルス状または変調されたものであることを特徴 とする請求項 9に記載のプラズマ処理装置。
[11] 前記所定の部材は、処理容器内に存在する絶縁部材に埋設された導体、または処 理容器の壁部を構成する部材であることを特徴とする請求項 9に記載のプラズマ処 理装置。
[12] 前記電源の極を前記所定の部材に接続し、他方の極を前記処理容器内の前記所 定の部材力 絶縁された他の所定の部材に接続することを特徴とする請求項 9に記 載のプラズマ処理装置。
[13] 前記所定の部材および前記他の所定の部材は、処理容器内に存在する絶縁部材 に埋設された導体、または処理容器の壁部を構成する部材であることを特徴とする 請求項 12に記載のプラズマ処理装置。
[14] 他の電源をさらに有し、前記他の電源は、前記処理容器内の前記所定の部材から 絶縁された他の所定の部材に接続して直流電圧または交流電圧を印加することを特 徴とする請求項 9に記載のプラズマ処理装置。
[15] 前記他の所定の部材に印加される直流電圧または交流電圧は、パルス状または変 調されたものであることを特徴とする請求項 14に記載のプラズマ処理装置。
[16] 前記電源が接続される前記所定の部材は前記第 1電極近傍に配置され、前記他 の直流電源が接続される前記他の所定の部材は前記第 2電極近傍に配置されること を特徴とする請求項 14に記載のプラズマ処理装置。
[17] 前記所定の部材および前記他の所定部材は、処理容器内に存在する絶縁部材に 埋設された導体、または処理容器の壁部を構成する部材であることを特徴とする請 求項 16に記載のプラズマ処理装置。
[18] 前記第 1電極は上部電極であり、前記第 2電極は被処理体を載置する下部電極で あり、前記第 2電極上方の被処理基板の外周部の被処理基板に隣接した位置に設 置された冷却可能な冷却リングと、その外側または上側に設置された補正リングとを 有し、前記補正リングが直流電圧または交流電圧が印加される前記所定の部材とし て機能することを特徴とする請求項 9に記載のプラズマ処理装置。
[19] 前記冷却リングは、前記冷却リングと前記第 2電極との間に放熱性が良好な部材を 配置するか、または前記冷却リングと前記第 2電極との間に熱伝達ガスを流すことに より冷却されることを特徴とする請求項 18に記載のプラズマ処理装置。
[20] 前記冷却リングの温度を計測する温度計測機構と、前記冷却リングを冷却する冷 却部と、冷却部による前記内側リングの冷却を制御する冷却制御部とをさらに具備す ることを特徴とする請求項 18に記載のプラズマ処理装置。
[21] 前記第 2電極には高周波電力が供給され、前記補正リングへの給電は、前記第 2 電極を介して行われ、前記冷却リングと前記第 2電極の間には誘電体部材が設けら れていることを特徴とする請求項 18に記載のプラズマ処理装置。
[22] 前記第 1電極は上部電極であり、前記第 2電極は被処理体を載置する下部電極で あり、前記第 2電極上方の被処理基板の外周部の被処理基板に隣接した位置に設 置された第 1補正リングと、その外側または上側に設置された第 2補正リングとを有し 、前記第 1補正リングおよび第 2補正リングが直流電圧または交流電圧が印加される 前記所定の部材として機能することを特徴とする請求項 9に記載のプラズマ処理装 置。
[23] 前記第 1補正リングと前記第 2補正リングに印加する電圧は、それぞれ独立に変化 させることが可能であることを特徴とする請求項 22に記載のプラズマ処理装置。
[24] 前記第 1補正リングと前記第 2補正リングには、それぞれ異なる電源から電圧が印 カロされることを特徴とする請求項 22に記載のプラズマ処理装置。
[25] 前記第 1補正リングと前記第 2補正リングには、それぞれ単一の電源の一方の極お よび他方の極が接続されることを特徴とする請求項 22に記載のプラズマ処理装置。
[26] 前記第 1補正リングは冷却されることを特徴とする請求項 22に記載のプラズマ処理 装置。
[27] 被処理基板が収容され、真空排気可能な処理容器と、 処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極 と、
前記第 2電極にプラズマ形成用の第 1の高周波電力を印加する第 1の高周波電力 印加ユニットと、
前記第 1電極に直流電圧を印加する直流電源と、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
を具備することを特徴とするプラズマ処理装置。
[28] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極 と、
前記第 1電極にプラズマ形成用の高周波電力を印加する第 1の高周波電力印加ュ -ッ卜と、
前記第 2電極に第 2の高周波電力を印加する第 2の高周波電力印加ユニットと、 前記第 2電極に第 3の高周波電力を印加する第 3の高周波電力印加ユニットと、 前記第 1電極に直流電圧を印加する直流電源と、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
を具備することを特徴とするプラズマ処理装置。
[29] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および第 2電極と、
前記第 1電極または第 2電極にプラズマ形成用の第 1の高周波電力を供給する第 1 の高周波電力供給ユニットと、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
を具備し、前記第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処 理基板の所定の層をプラズマ処理するプラズマ処理装置を用いたプラズマ処理方法 であって、
プラズマを形成する際に、前記第 1電極または第 2電極に直流電圧または交流電 圧を印加し、その際に、
印加電極の表面に対する所定のスパッタ効果が得られる程度にその表面の自己バ ィァス電圧 V の絶対値が大きくなるように、
dc
または、印加電極におけるプラズマシースの厚さを拡大させ、前記印加電極の対向 電極側に縮小されたプラズマが形成されるように、
または、印加電極近傍で生成した電子を前記被処理基板上に照射させるように、 または、プラズマポテンシャルが所望の値に制御されるように、
または、プラズマ密度を上昇させるように、
または、プラズマ密度の分布が所望のエッチングの均一性を得られる程度に均一 になるように
その印加電圧、印加電流および印加電力の!/ヽずれかを制御することを特徴とするプ ラズマ処理方法。
[30] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および第 2電極と、
前記第 1電極または第 2電極にプラズマ形成用の高周波電力を供給する高周波電 力供給ユニットと、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
を具備し、前記第 1電極および第 2電極との間に処理ガスのプラズマを生成して被処 理基板の所定の層をプラズマ処理するプラズマ処理装置を用いたプラズマ処理方法 であって、
プラズマを形成する際に、前記処理容器内の所定の部材に直流電圧または交流 電圧を印加することを特徴とするプラズマ処理方法。
[31] 処理容器内に、第 1電極および被処理基板を支持する第 2電極を対向して配置し、 前記第 2電極にプラズマ形成用の第 1の高周波電力を印加しながら、前記処理容器 内に処理ガスを供給し、該処理ガスのプラズマを生成させて、前記第 2電極に支持さ れた被処理基板にプラズマ処理を施すプラズマ処理方法であって、
前記第 1電極に直流電圧を印加する工程と、前記第 1電極に直流電圧を印加しな がら、前記被処理基板にプラズマ処理を施す工程とを有することを特徴とするプラズ マ処理方法。
[32] 処理容器内に、第 1電極および被処理基板を支持する第 2電極を対向して配置し、 前記第 1電極にプラズマ形成用の第 1の高周波電力を印加し、前記第 2電極に第 2 の高周波電力と第 3の高周波電力を印加しながら、前記処理容器内に処理ガスを供 給し、該処理ガスのプラズマを生成させて、前記第 2電極に支持された被処理基板 にプラズマ処理を施すプラズマ処理方法であって、
前記第 1電極に直流電圧を印加する工程と、前記第 1電極に直流電圧を印加しな がら、前記被処理基板にプラズマ処理を施す工程とを有することを特徴とするプラズ マ処理方法。
[33] コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であ つて、前記制御プログラムは、実行時に、請求項 29に記載のプラズマ処理方法が行 われるように、プラズマ処理装置を制御することを特徴とするコンピュータ読み取り可 能な記憶媒体。
[34] コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であ つて、前記制御プログラムは、実行時に、請求項 30に記載のプラズマ処理方法が行 われるように、プラズマ処理装置を制御することを特徴とするコンピュータ読み取り可 能な記憶媒体。
[35] コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であ つて、前記制御プログラムは、実行時に、請求項 31に記載のプラズマ処理方法が行 われるように、プラズマ処理装置を制御することを特徴とするコンピュータ読み取り可 能な記憶媒体。
[36] コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であ つて、前記制御プログラムは、実行時に、請求項 32に記載のプラズマ処理方法が行 われるように、プラズマ処理装置を制御することを特徴とするコンピュータ読み取り可 能な記憶媒体。
[37] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極 と、
前記第 1電極に相対的に周波数の高い第 1の高周波電力を印加する第 1の高周波 電力印加ユニットと、 前記第 2電極に相対的に周波数の低い第 2の高周波電力を印加する第 2の高周波 電力印加ユニットと、
前記第 1電極に直流電圧を印加する直流電源と、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
前記直流電源から前記第 1電極への印加電圧、印加電流および印加電力のいず れかを制御する制御装置と、
を具備することを特徴とするプラズマ処理装置。
[38] 前記直流電源は、前記第 1電極への印加電圧、印加電流および印加電力のいず れかが可変であることを特徴とする請求項 37に記載のプラズマ処理装置。
[39] 前記制御装置は、前記直流電源から前記第 1電極への直流電圧の印加可否を制 御することを特徴とする請求項 37に記載のプラズマ処理装置。
[40] 生成されたプラズマの状態を検出する検出器をさらに具備し、この検出器の情報に 基づ!/、て前記制御装置が前記直流電源から前記第 1電極への印加電圧、印加電流 および印加電力のいずれかを制御することを特徴とする請求項 37に記載のプラズマ 処理装置。
[41] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であることを特徴とする 請求項 37に記載のプラズマ処理装置。
[42] 前記第 1電極に印加される第 1の高周波電力の周波数は 13. 56MHz以上である ことを特徴とする請求項 41に記載のプラズマ処理装置。
[43] 前記第 1電極に印加される第 1の高周波電力の周波数は 40MHz以上であることを 特徴とする請求項 42に記載のプラズマ処理装置。
[44] 前記第 2電極に印加される第 2の高周波電力の周波数は 13. 56MHz以下である ことを特徴とする請求項 41に記載のプラズマ処理装置。
[45] 前記直流電源は、 2000〜 + 1000Vの範囲の電圧を印加することを特徴とする 請求項 37に記載のプラズマ処理装置。
[46] 前記直流電源から印加される直流電圧の絶対値が 500V以上であることを特徴と する請求項 37に記載のプラズマ処理装置。
[47] 前記直流電圧は、前記第 1電極に印加される第 1の高周波電力によって該第 1電 極の表面に発生する自己バイアス電圧より絶対値が大きい負の電圧であることを特 徴とする請求項 37に記載のプラズマ処理装置。
[48] 前記第 1電極の前記第 2電極との対向面は、シリコン含有物質で形成されているこ とを特徴とする請求項 37に記載のプラズマ処理装置。
[49] 前記第 1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマ を介して逃がすために、常時接地されて!ヽる導電性部材を前記処理容器内に設ける ことを特徴とする請求項 37に記載のプラズマ処理装置。
[50] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 2電極の周囲に設置されることを特徴とする請求項 49に記載のプラズ マ処理装置。
[51] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置されることを特徴とする請求項 49に記載のプラズ マ処理装置。
[52] 前記導電性部材は、前記第 1電極の外側にリング状に配置されることを特徴とする 請求項 51に記載のプラズマ処理装置。
[53] 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を 有して 、ることを特徴とする請求項 49に記載のプラズマ処理装置。
[54] 前記導電性部材の一部を覆う保護板を有し、前記保護板を前記導電性部材に対 して相対移動させる駆動機構により、前記導電性部材のプラズマに露出される部分 が変化することを特徴とする請求項 49に記載のプラズマ処理装置。
[55] 前記導電性部材はその一部がプラズマに露出される円柱形状であり、前記導電性 部材を円柱の軸を中心に回転させる駆動機構により、前記導電性部材のプラズマに 露出される部分が変化することを特徴とする請求項 49に記載のプラズマ処理装置。
[56] 前記導電性部材の一部を覆 、かつプラズマによりエッチングされ得る材質を有する 段差形状の保護膜を有し、前記保護膜がエッチングされることにより、前記導電性部 材のプラズマに露出される部分が変化することを特徴とする請求項 49に記載のブラ ズマ処理装置。
[57] 前記第 1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマ を介して逃がすために、全体制御装置からの指令に基づ 、て接地される導電性部材 を前記処理容器内に設けることを特徴とする請求項 37に記載のプラズマ処理装置。
[58] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 2電極の周囲に設置されることを特徴とする請求項 57に記載のプラズ マ処理装置。
[59] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置されることを特徴とする請求項 57に記載のプラズ マ処理装置。
[60] 前記導電性部材は、前記第 1電極の外側にリング状に配置されることを特徴とする 請求項 59に記載のプラズマ処理装置。
[61] 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を 有していることを特徴とする請求項 57に記載のプラズマ処理装置。
[62] 前記導電性部材は、プラズマエッチング時に接地されることを特徴とする請求項 57 に記載のプラズマ処理装置。
[63] 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制 御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその 表面がスパッタまたはエッチングされることを特徴とする請求項 57に記載のプラズマ 処理装置。
[64] 前記導電性部材は、クリーニング時に直流電圧または交流電圧が印加されることを 特徴とする請求項 63に記載のプラズマ処理装置。
[65] 前記導電性部材の接続を、前記直流電源側と接地ラインとで切り替える切替機構 をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続した 際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加される ことによりその表面がスパッタまたはエッチングされることを特徴とする請求項 63に記 載のプラズマ処理装置。
[66] 前記導電性部材には負の直流電圧が印加可能となっていることを特徴とする請求 項 63に記載のプラズマ処理装置。
[67] 前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に前記処理 容器内に流入した直流電子電流を排出するために、接地された導電性補助部材を 設けることを特徴とする請求項 66に記載のプラズマ処理装置。
[68] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置され、前記導電性補助部材は、前記第 2電極の周 囲に設置されることを特徴とする請求項 67に記載のプラズマ処理装置。
[69] 全体制御装置からの指令に基づいて、前記第 1電極に供給された前記直流電源か らの直流電流をプラズマを介して逃がすために接地される第 1の状態、および前記直 流電源から直流電圧が印加されてその表面がスノッタまたはエッチングされる第 2の 状態のいずれかをとる導電性部材を前記処理容器内に設け、前記直流電源の負極 が前記第 1電極に接続され、かつ前記導電性部材が接地ラインに接続される第 1の 接続と、前記直流電源の正極が前記第 1電極に接続され、前記直流電源の負極が 前記導電性部材に接続される第 2の接続との間で切り替え可能であり、その切り替え により、それぞれ前記第 1の状態および前記第 2の状態を形成可能な接続切替機構 をさらに具備することを特徴とする請求項 37に記載のプラズマ処理装置。
[70] 前記第 1の状態はプラズマエッチング時に形成され、前記第 2の状態は前記導電 性部材のクリーニング時に形成されることを特徴とする請求項 69に記載のプラズマ処 理装置。
[71] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極 と、
前記第 1電極に相対的に周波数の高い第 1の高周波電力を印加する第 1の高周波 電力印加ユニットと、
前記第 2電極に相対的に周波数の低い第 2の高周波電力を印加する第 2の高周波 電力印加ユニットと、
前記第 1電極に直流電圧を印加する直流電源と、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
前記直流電源から前記第 1電極への印加電圧、印加電流および印加電力のいず れかを制御する制御装置と、 を具備し、前記第 1電極は、内側電極と外側電極とに分割されており、前記第 1の高 周波電力は、前記内側電極と前記外側電極に分配されて印加され、前記直流電源 はこれらのうち少なくとも一方に接続されていることを特徴とするプラズマ処理装置。
[72] 前記直流電源は、前記内側電極と前記外側電極に印加する直流電圧をそれぞれ 独立に変化させることが可能であることを特徴とする請求項 71に記載のプラズマ処 理装置。
[73] 前記内側電極と前記外側電極には、それぞれ異なる直流電源から直流電圧が印 カロされることを特徴とする請求項 72に記載のプラズマ処理装置。
[74] 前記電源の一方の極を前記内側電極に接続し、他方の極を前記外側電極に接続 することを特徴とする請求項 71に記載のプラズマ処理装置。
[75] 前記直流電源は、前記第 1電極への印加電圧、印加電流および印加電力のいず れかが可変であることを特徴とする請求項 71に記載のプラズマ処理装置。
[76] 前記制御装置は、前記直流電源から前記第 1電極への直流電圧の印加可否を制 御することを特徴とする請求項 71に記載のプラズマ処理装置。
[77] 生成されたプラズマの状態を検出する検出器をさらに具備し、この検出器の情報に 基づ!/、て前記制御装置が前記直流電源から前記第 1電極への印加電圧、印加電流 および印加電力の 、ずれかを制御することを特徴とする請求項 71に記載のプラズマ 処理装置。
[78] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であることを特徴とする 請求項 71に記載のプラズマ処理装置。
[79] 前記第 1電極に印加される第 1の高周波電力の周波数は 13. 56MHz以上である ことを特徴とする請求項 78に記載のプラズマ処理装置。
[80] 前記第 1電極に印加される第 1の高周波電力の周波数は 40MHz以上であることを 特徴とする請求項 79に記載のプラズマ処理装置。
[81] 前記第 2電極に印加される第 2の高周波電力の周波数は 13. 56MHz以下である ことを特徴とする請求項 78に記載のプラズマ処理装置。
[82] 前記直流電源は、 2000〜 + 1000Vの範囲の電圧を印加することを特徴とする 請求項 71に記載のプラズマ処理装置。
[83] 前記直流電源から印加される直流電圧の絶対値が 500V以上であることを特徴と する請求項 71に記載のプラズマ処理装置。
[84] 前記直流電圧は、前記第 1電極に印加される第 1の高周波電力によって該第 1電 極の表面に発生する自己バイアス電圧より絶対値が大きい負の電圧であることを特 徴とする請求項 71に記載のプラズマ処理装置。
[85] 前記第 1電極の前記第 2電極との対向面は、シリコン含有物質で形成されているこ とを特徴とする請求項 71に記載のプラズマ処理装置。
[86] 前記第 1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマ を介して逃がすために、常時接地されて!ヽる導電性部材を前記処理容器内に設ける ことを特徴とする請求項 71に記載のプラズマ処理装置。
[87] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 2電極の周囲に設置されることを特徴とする請求項 86に記載のプラズ マ処理装置。
[88] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置されることを特徴とする請求項 86に記載のプラズ マ処理装置。
[89] 前記導電性部材は、前記第 1電極の外側にリング状に配置されることを特徴とする 請求項 88に記載のプラズマ処理装置。
[90] 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を 有して 、ることを特徴とする請求項 86に記載のプラズマ処理装置。
[91] 前記導電性部材の一部を覆う保護板を有し、前記保護板を前記導電性部材に対 して相対移動させる駆動機構により、前記導電性部材のプラズマに露出される部分 が変化することを特徴とする請求項 86に記載のプラズマ処理装置。
[92] 前記導電性部材はその一部がプラズマに露出される円柱形状であり、前記導電性 部材を円柱の軸を中心に回転させる駆動機構により、前記導電性部材のプラズマに 露出される部分が変化することを特徴とする請求項 86に記載のプラズマ処理装置。
[93] 前記導電性部材の一部を覆 、かつプラズマによりエッチングされ得る材質を有する 段差形状の保護膜を有し、前記保護膜がエッチングされることにより、前記導電性部 材のプラズマに露出される部分が変化することを特徴とする請求項 86に記載のブラ ズマ処理装置。
[94] 前記第 1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマ を介して逃がすために、全体制御装置からの指令に基づ 、て接地される導電性部材 を前記処理容器内に設けることを特徴とする請求項 71に記載のプラズマ処理装置。
[95] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 2電極の周囲に設置されることを特徴とする請求項 94に記載のプラズ マ処理装置。
[96] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置されることを特徴とする請求項 94に記載のプラズ マ処理装置。
[97] 前記導電性部材は、前記第 1電極の外側にリング状に配置されることを特徴とする 請求項 96に記載のプラズマ処理装置。
[98] 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を 有していることを特徴とする請求項 94に記載のプラズマ処理装置。
[99] 前記導電性部材は、プラズマエッチング時に接地されることを特徴とする請求項 94 に記載のプラズマ処理装置。
[100] 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制 御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその 表面がスパッタまたはエッチングされることを特徴とする請求項 94に記載のプラズマ 処理装置。
[101] 前記導電性部材は、クリーニング時に直流電圧または交流電圧が印加されることを 特徴とする請求項 100に記載のプラズマ処理装置。
[102] 前記導電性部材の接続を、前記直流電源側と接地ラインとで切り替える切替機構 をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続した 際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加される ことによりその表面がスパッタまたはエッチングされることを特徴とする請求項 100に 記載のプラズマ処理装置。
[103] 前記導電性部材には負の直流電圧が印加可能となっていることを特徴とする請求 項 100に記載のプラズマ処理装置。
[104] 前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に前記処理 容器内に流入した直流電子電流を排出するために、接地された導電性補助部材を 設けることを特徴とする請求項 103に記載のプラズマ処理装置。
[105] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置され、前記導電性補助部材は、前記第 2電極の周 囲に設置されることを特徴とする請求項 104に記載のプラズマ処理装置。
[106] 全体制御装置からの指令に基づいて、前記第 1電極に供給された前記直流電源か らの直流電流をプラズマを介して逃がすために接地される第 1の状態、および前記直 流電源から直流電圧が印加されてその表面がスノッタまたはエッチングされる第 2の 状態のいずれかをとる導電性部材を前記処理容器内に設け、前記直流電源の負極 が前記第 1電極に接続され、かつ前記導電性部材が接地ラインに接続される第 1の 接続と、前記直流電源の正極が前記第 1電極に接続され、前記直流電源の負極が 前記導電性部材に接続される第 2の接続との間で切り替え可能であり、その切り替え により、それぞれ前記第 1の状態および前記第 2の状態を形成可能な接続切替機構 をさらに具備することを特徴とする請求項 71に記載のプラズマ処理装置。
[107] 前記第 1の状態はプラズマエッチング時に形成され、前記第 2の状態は前記導電 性部材のクリーニング時に形成されることを特徴とする請求項 106に記載のプラズマ 処理装置。
[108] 処理容器内に、第 1電極および被処理基板を支持する第 2電極を対向して配置し、 前記第 1電極に相対的に周波数の高い第 1の高周波電力を印加し、前記第 2電極に 相対的に周波数の低い第 2の高周波電力を印加しながら、前記処理容器内に処理 ガスを供給し、該処理ガスのプラズマを生成させて、前記第 2電極に支持された被処 理基板にプラズマ処理を施すプラズマ処理方法であって、
前記第 1電極に直流電圧を印加する工程と、前記第 1電極に直流電圧を印加しな がら、前記被処理基板にプラズマ処理を施す工程とを有することを特徴とするプラズ マ処理方法。
[109] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であることを特徴とする 請求項 108に記載のプラズマ処理方法。
[110] 前記直流電圧は、前記第 1電極に印加される第 1の高周波電力によって該第 1電 極の表面に発生する自己バイアス電圧より絶対値が大きい負の電圧であることを特 徴とする請求項 108に記載のプラズマ処理方法。
[111] 前記第 1電極に印加される第 1の高周波電力の周波数が 13. 56〜60MHzであり
、前記下部電極に印加される第 2の高周波電力の周波数が 300kHz〜13. 56MHz 以下であることを特徴とする請求項 108に記載のプラズマ処理方法。
[112] 前記処理ガスが、フルォロカーボンを含むガスであることを特徴とする請求項 108 に記載のプラズマ処理方法。
[113] 前記フルォロカーボンを含むガス力 少なくとも C Fを含むことを特徴とする請求項
4 8
112に記載のプラズマ処理方法。
[114] 前記フルォロカーボンを含むガス中に、さらに不活性ガスを含むことを特徴とする 請求項 113に記載のプラズマ処理方法。
[115] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 は有機系絶縁膜であることを特徴とする請求項 108に記載のプラズマ処理方法。
[116] 前記有機系絶縁膜が、 SiOC系膜であることを特徴とする請求項 115に記載のブラ ズマ処理方法。
[117] 前記 SiOC系膜の下地膜が、炭化珪素(SiC)により形成されることを特徴とする請 求項 116に記載のプラズマ処理方法。
[118] 前記直流電圧の絶対値が、 1500V以下であることを特徴とする請求項 108に記載 のプラズマ処理方法。
[119] 処理圧力が、 1. 3〜26. 7Paであることを特徴とする請求項 108に記載のプラズマ 処理方法。
[120] 前記第 1電極に印加される第 1の高周波電力が 3000W以下であることを特徴とす る請求項 108に記載のプラズマ処理方法。
[121] 前記第 2電極に印加される第 2の高周波電力が 100〜5000Wであることを特徴と する請求項 108に記載のプラズマ処理方法。
[122] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記処理ガ スが、 C Fと Nと Arの混合ガスであり、その流量比が、 C F /N /Ar=4~20/1
4 8 2 4 8 2
00〜500Z500〜1500mLZminであることを特徴とする請求項 108に記載のプラ ズマ処理方法。
[123] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際に、オーバー エッチングステップに適用されるものである請求項 108に記載のプラズマ処理方法。
[124] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 の下地膜との選択比を大きくするために、前記処理ガスとして、 C F , Ar, N、の組
5 8 2 み合わせを使用することを特徴とする請求項 108に記載のプラズマ処理方法。
[125] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 のマスクとの選択比を大きくするために、前記処理ガスとして、 CFまたは C F , CF
4 4 8 4
, Ar, N , Oのいずれかの組み合わせを使用することを特徴とする請求項 108に記
2 2
載のプラズマ処理方法。
[126] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 のエッチング速度を大きくするために、前記処理ガスとして、 C F , CF , Ar, O、ま
4 6 4 2 たは C F, C F, Ar, O、または C F, CH F, Ar, Oのいずれかの組み合わせ
4 6 3 8 2 4 6 2 2 2
を使用することを特徴とする請求項 108に記載のプラズマ処理方法。
[127] 処理容器内に、第 1電極および被処理基板を支持する第 2電極を対向して配置し、 内側電極と外側電極とに分割された前記第 1電極に相対的に周波数の高い第 1の 高周波電力を印加し、前記第 2電極に相対的に周波数の低い第 2の高周波電力を 印加しながら、前記処理容器内に処理ガスを供給し、該処理ガスのプラズマを生成さ せて、前記第 2電極に支持された被処理基板にプラズマ処理を施すプラズマ処理方 法であって、
前記内側電極と前記外側電極の少なくとも一方に直流電圧を印加する工程と、前 記第 1電極に直流電圧を印加しながら、前記被処理基板にプラズマ処理を施す工程 とを有することを特徴とするプラズマ処理方法。
[128] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 の下地膜との選択比を大きくするために、前記処理ガスとして、 C F , Ar, N、の組 み合わせを使用することを特徴とする請求項 127に記載のプラズマ処理方法。
[129] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 のマスクとの選択比を大きくするために、前記処理ガスとして、 CFまたは C F , CF
4 4 8 4
, Ar, N , Oのいずれかの組み合わせを使用することを特徴とする請求項 127に記
2 2
載のプラズマ処理方法。
[130] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 のエッチング速度を大きくするために、前記処理ガスとして、 C F , CF , Ar, O、ま
4 6 4 2 たは C F, C F, Ar, O、または C F, CH F, Ar, Oのいずれかの組み合わせ
4 6 3 8 2 4 6 2 2 2
を使用することを特徴とする請求項 127に記載のプラズマ処理方法。
[131] コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であ つて、前記制御プログラムは、実行時に、請求項 108から請求項 126のいずれか 1項 に記載のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特 徴とするコンピュータ読み取り可能な記憶媒体。
[132] コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であ つて、前記制御プログラムは、実行時に、請求項 127から請求項 130のいずれか 1項 に記載のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特 徴とするコンピュータ読み取り可能な記憶媒体。
[133] 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第 1電極および被処理基板を支持する第 2電極 と、
前記第 2電極に相対的に周波数の高い第 1の高周波電力を印加する第 1の高周波 電力印加ユニットと、
前記第 2電極に相対的に周波数の低い第 2の高周波電力を印加する第 2の高周波 電力印加ユニットと、
前記第 1電極に直流電圧を印加する直流電源と、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
を具備することを特徴とするプラズマ処理装置。
[134] 前記直流電源は、前記第 1電極への印加電圧、印加電流および印加電力のいず れかが可変であることを特徴とする請求項 133に記載のプラズマ処理装置。
[135] 前記直流電源から前記第 1電極への印加電圧、印加電流および印加電力のいず れかを制御する制御装置をさらに具備することを特徴とする請求項 134に記載のプ ラズマ処理装置。
[136] 前記制御装置は、前記直流電源から前記第 1電極への直流電圧の印加可否を制 御することを特徴とする請求項 135に記載のプラズマ処理装置。
[137] 生成されたプラズマの状態を検出する検出器をさらに具備し、この検出器の情報に 基づ!/、て前記制御装置が前記直流電源から前記第 1電極への印加電圧、印加電流 および印加電力のいずれかを制御することを特徴とする請求項 135に記載のプラズ マ処理装置。
[138] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であることを特徴とする 請求項 133に記載のプラズマ処理装置。
[139] 前記第 2電極に印加される第 1の高周波電力の周波数は 27MHz以上であることを 特徴とする請求項 138に記載のプラズマ処理装置。
[140] 前記第 2電極に印加される第 1の高周波電力の周波数は 40MHz以上であることを 特徴とする請求項 139に記載のプラズマ処理装置。
[141] 前記第 2電極に印加される第 2の高周波電力の周波数は 13. 56MHz以下である ことを特徴とする請求項 138に記載のプラズマ処理装置。
[142] 前記直流電源は、 2000〜 + 1000Vの範囲の電圧を印加することを特徴とする 請求項 133に記載のプラズマ処理装置。
[143] 前記第 1電極の前記第 2電極との対向面は、シリコン含有物質で形成されているこ とを特徴とする請求項 133に記載のプラズマ処理装置。
[144] 前記第 1電極は、接地電位に対して直流的にフローティング状態であることを特徴 とする請求項 133記載のプラズマ処理装置。
[145] 前記第 1電極をフローティング状態あるいは接地状態に可変可能な可変装置を有 し、全体制御装置力もの指令に基づいて、前記第 1電極に直流電圧が印加されてい るとき前記可変装置は前記第 1電極を接地電位に対してフローティング状態とし、前 記第 1電極に直流電圧が印加されていないとき前記可変装置は前記第 1電極を接地 電位に対してフローティング状態あるいは接地状態の ヽずれかとすることを特徴とす る請求項 144記載のプラズマ処理装置。
[146] 前記第 1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマ を介して逃がすために、常時接地されて ヽる導電性部材を前記処理容器内に設ける ことを特徴とする請求項 133に記載のプラズマ処理装置。
[147] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 2電極の周囲に設置されることを特徴とする請求項 146に記載のプラズ マ処理装置。
[148] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置されることを特徴とする請求項 146に記載のプラズ マ処理装置。
[149] 前記導電性部材は、前記第 1電極の外側にリング状に配置されることを特徴とする 請求項 148に記載のプラズマ処理装置。
[150] 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を 有していることを特徴とする請求項 146に記載のプラズマ処理装置。
[151] 前記導電性部材の一部を覆う保護板を有し、前記保護板を前記導電性部材に対 して相対移動させる駆動機構により、前記導電性部材のプラズマに露出される部分 が変化することを特徴とする請求項 146に記載のプラズマ処理装置。
[152] 前記導電性部材はその一部がプラズマに露出される円柱形状であり、前記導電性 部材を円柱の軸を中心に回転させる駆動機構により、前記導電性部材のプラズマに 露出される部分が変化することを特徴とする請求項 146に記載のプラズマ処理装置
[153] 前記導電性部材の一部を覆 、かつプラズマによりエッチングされ得る材質を有する 段差形状の保護膜を有し、前記保護膜がエッチングされることにより、前記導電性部 材のプラズマに露出される部分が変化することを特徴とする請求項 146に記載のプ ラズマ処理装置。
[154] 前記第 1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマ を介して逃がすために、全体制御装置からの指令に基づ 、て接地される導電性部材 を前記処理容器内に設けることを特徴とする請求項 133に記載のプラズマ処理装置
[155] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 2電極の周囲に設置されることを特徴とする請求項 154に記載のプラズ マ処理装置。
[156] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置されることを特徴とする請求項 154に記載のプラズ マ処理装置。
[157] 前記導電性部材は、前記第 1電極の外側にリング状に配置されることを特徴とする 請求項 156に記載のプラズマ処理装置。
[158] 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を 有していることを特徴とする請求項 154に記載のプラズマ処理装置。
[159] 前記導電性部材は、プラズマエッチング時に接地されることを特徴とする請求項 15
4に記載のプラズマ処理装置。
[160] 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制 御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその 表面がスパッタまたはエッチングされることを特徴とする請求項 154に記載のプラズ マ処理装置。
[161] 前記導電性部材は、クリーニング時に直流電圧または交流電圧が印加されることを 特徴とする請求項 160に記載のプラズマ処理装置。
[162] 前記導電性部材の接続を、前記直流電源側と接地ラインとで切り替える切替機構 をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続した 際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加される ことによりその表面がスパッタまたはエッチングされることを特徴とする請求項 160に 記載のプラズマ処理装置。
[163] 前記導電性部材には負の直流電圧が印加可能となっていることを特徴とする請求 項 160に記載のプラズマ処理装置。
[164] 前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に前記処理 容器内に流入した直流電子電流を排出するために、接地された導電性補助部材を 設けることを特徴とする請求項 163に記載のプラズマ処理装置。
[165] 前記第 1電極は上部電極であり、前記第 2電極は下部電極であり、前記導電性部 材は、前記第 1電極の近傍に配置され、前記導電性補助部材は、前記第 2電極の周 囲に設置されることを特徴とする請求項 164に記載のプラズマ処理装置。
[166] 全体制御装置からの指令に基づいて、前記第 1電極に供給された前記直流電源か らの直流電流をプラズマを介して逃がすために接地される第 1の状態、および前記直 流電源から直流電圧が印加されてその表面がスノッタまたはエッチングされる第 2の 状態のいずれかをとる導電性部材を前記処理容器内に設け、前記直流電源の負極 が前記第 1電極に接続され、かつ前記導電性部材が接地ラインに接続される第 1の 接続と、前記直流電源の正極が前記第 1電極に接続され、前記直流電源の負極が 前記導電性部材に接続される第 2の接続との間で切り替え可能であり、その切り替え により、それぞれ前記第 1の状態および前記第 2の状態を形成可能な接続切替機構 をさらに具備することを特徴とする請求項 133に記載のプラズマ処理装置。
[167] 前記第 1の状態はプラズマエッチング時に形成され、前記第 2の状態は前記導電 性部材のクリーニング時に形成されることを特徴とする請求項 166に記載のプラズマ 処理装置。
[168] 処理容器内に、第 1電極および被処理基板を支持する第 2電極を対向して配置し、 前記第 2電極に相対的に周波数の高い第 1の高周波電力と相対的に周波数の低い 第 2の高周波電力を印カ卩しながら、前記処理容器内に処理ガスを供給し、該処理ガ スのプラズマを生成させて、前記第 2電極に支持された被処理基板にプラズマ処理 を施すプラズマ処理方法であって、
前記第 1電極に直流電圧を印加する工程と、前記第 1電極に直流電圧を印加しな がら、前記被処理基板にプラズマ処理を施す工程とを有することを特徴とするプラズ マ処理方法。
[169] 前記第 1電極への印加電圧、印加電流および印加電力のいずれかが可変であるこ とを特徴とする請求項 168に記載のプラズマ処理方法。
[170] 前記第 1電極への印加電圧、印加電流および印加電力のいずれかを制御すること を特徴とする請求項 169に記載のプラズマ処理方法。
[171] 前記第 1電極への直流電圧の印加可否を制御することを特徴とする請求項 170〖こ 記載のプラズマ処理方法。
[172] 生成されたプラズマの状態を検出し、その検出情報に基づいて前記第 1電極への 印加電圧、印加電流および印加電力の!/ヽずれかを制御することを特徴とする請求項
170に記載のプラズマ処理方法。
[173] 前記第 1電極は、接地電位に対して直流的にフローティング状態であることを特徴 とする請求項 168記載のプラズマ処理方法。
[174] 前記第 1電極はフローティング状態あるいは接地状態に可変可能であって、全体 制御装置からの指令に基づいて、前記第 1電極に直流電圧が印加されているとき前 記第 1電極を接地電位に対してフローティング状態とし、前記第 1電極に直流電圧が 印加されて 、な 、とき前記第 1電極を接地電位に対してフローティング状態あるいは 接地状態のいずれかであることを特徴とする請求項 173記載のプラズマ処理方法。
[175] 常時接地されている導電性部材を前記処理容器内に設け、前記第 1電極に印加さ れた直流電圧に基づく電流をプラズマを介して逃がすことを特徴とする請求項 168 に記載のプラズマ処理方法。
[176] 全体制御装置からの指令に基づいて接地される導電性部材を前記処理容器内に 設け、前記第 1電極に印加された直流電圧に基づく電流をプラズマを介して逃がす ことを特徴とする請求項 168に記載のプラズマ処理方法。
[177] 前記導電性部材は、プラズマエッチング時に接地されることを特徴とする請求項 17
6に記載のプラズマ処理方法。
[178] 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制 御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその 表面がスパッタまたはエッチングされることを特徴とする請求項 176に記載のプラズ マ処理方法。
[179] 前記導電性部材は、クリーニング時に直流電圧または交流電圧が印加されることを 特徴とする請求項 178に記載のプラズマ処理方法。
[180] 前記導電性部材の接続を、直流電圧を印加する直流電源側と接地ラインとで切り 替える切替機構をさらに具備し、前記切替機構により前記導電性部材を前記直流電 源側に接続した際に、前記直流電源から前記導電性部材へ直流電圧または交流電 圧が印加されることによりその表面がスパッタまたはエッチングされることを特徴とする 請求項 178に記載のプラズマ処理方法。
[181] 前記導電性部材には負の直流電圧が印加可能となっていることを特徴とする請求 項 178に記載のプラズマ処理方法。
[182] 前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に前記処理 容器内に流入した直流電子電流を排出するために、接地された導電性補助部材を 設けることを特徴とする請求項 181に記載のプラズマ処理方法。
[183] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 の下地膜との選択比を大きくするために、前記処理ガスとして、 C F , Ar, N、また
5 8 2 は C F, Ar, N、または C F, Ar, N, O、または C F, Ar, N, COのいずれか
4 8 2 4 8 2 2 4 8 2
の組み合わせを使用することを特徴とする請求項 168に記載のプラズマ処理方法。
[184] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 のマスクとの選択比を大きくするために、前記処理ガスとして、 CFまたは CF , Arま
4 4 たは N , Hのいずれかの組み合わせを使用することを特徴とする請求項 168に記載
2 2
のプラズマ処理方法。
[185] 前記第 2電極に支持された被処理基板の絶縁膜上の有機反射防止膜をエツチン グする際、前記処理ガスとして、 CFまたは CF , C Fまたは CF , C Fまたは CF ,
4 4 3 8 4 4 8 4
C Fのいずれかの組み合わせを使用することを特徴とする請求項 168に記載のブラ
4 6
ズマ処理方法。
[186] 前記第 2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜 のエッチング速度を大きくするために、前記処理ガスとして、 C F , CF , Ar, O、ま
4 6 4 2 たは C F, C F, Ar, O、または C F, C F, Ar, O、または C F , C F , Ar, O
4 6 3 8 2 4 6 4 8 2 4 6 2 6 2 または C F, Ar, O、または C F, Ar, Oのいずれかの組み合わせを使用すること
4 8 2 4 8 2
を特徴とする請求項 168に記載のプラズマ処理方法。
[187] コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であ つて、前記制御プログラムは、実行時に、請求項 168から請求項 186のいずれか 1項 に記載のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特 徴とするコンピュータ読み取り可能な記憶媒体。
PCT/JP2005/011333 2004-06-21 2005-06-21 プラズマ処理装置及び方法 WO2005124844A1 (ja)

Priority Applications (14)

Application Number Priority Date Filing Date Title
KR1020117031587A KR101248709B1 (ko) 2004-06-21 2005-06-21 플라즈마 처리 장치
KR1020077001691A KR100971799B1 (ko) 2004-06-21 2005-06-21 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체
KR1020117031575A KR101247857B1 (ko) 2004-06-21 2005-06-21 플라즈마 처리 장치
KR1020117031581A KR101247833B1 (ko) 2004-06-21 2005-06-21 플라즈마 처리 방법
KR1020117031578A KR101248691B1 (ko) 2004-06-21 2005-06-21 플라즈마 처리 장치
KR1020117031569A KR101250717B1 (ko) 2004-06-21 2005-06-21 플라즈마 처리 장치
KR1020127021941A KR101270285B1 (ko) 2004-06-21 2005-06-21 플라즈마 처리 방법
CN2005800205180A CN1973363B (zh) 2004-06-21 2005-06-21 等离子体处理装置和方法
KR1020067026949A KR101180125B1 (ko) 2004-06-21 2005-06-21 플라즈마 처리 장치 및 방법
EP20050753490 EP1780777A4 (en) 2004-06-21 2005-06-21 PLASMA PROCESSING DEVICE AND METHOD
EP12159425.3A EP2479782B1 (en) 2004-06-21 2005-06-21 Plasma processing apparatus and method
EP16189429.0A EP3128538B1 (en) 2004-06-21 2005-06-21 Plasma processing apparatus and method
EP12159427.9A EP2479783B1 (en) 2004-06-21 2005-06-21 Plasma processing apparatus and method
EP12159428.7A EP2479784B1 (en) 2004-06-21 2005-06-21 Plasma processing apparatus and method

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2004183093 2004-06-21
JP2004-183093 2004-06-21
JP2005-013912 2005-01-21
JP2005013912 2005-01-21
JP2005-045095 2005-02-22
JP2005045095 2005-02-22

Publications (1)

Publication Number Publication Date
WO2005124844A1 true WO2005124844A1 (ja) 2005-12-29

Family

ID=35509997

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/011333 WO2005124844A1 (ja) 2004-06-21 2005-06-21 プラズマ処理装置及び方法

Country Status (6)

Country Link
EP (5) EP2479783B1 (ja)
JP (6) JP5349445B2 (ja)
KR (8) KR101247857B1 (ja)
CN (6) CN102256432B (ja)
TW (4) TWI447802B (ja)
WO (1) WO2005124844A1 (ja)

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007208194A (ja) * 2006-02-06 2007-08-16 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007214295A (ja) * 2006-02-08 2007-08-23 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007234770A (ja) * 2006-02-28 2007-09-13 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
JP2007258470A (ja) * 2006-03-23 2007-10-04 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2007258417A (ja) * 2006-03-23 2007-10-04 Tokyo Electron Ltd プラズマ処理方法
JP2007258500A (ja) * 2006-03-24 2007-10-04 Hitachi High-Technologies Corp 基板支持装置
JP2007258379A (ja) * 2006-03-22 2007-10-04 Tokyo Electron Ltd プラズマ処理装置
JP2007258471A (ja) * 2006-03-23 2007-10-04 Tokyo Electron Ltd プラズマ処理装置
JP2007288119A (ja) * 2006-03-22 2007-11-01 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2008021791A (ja) * 2006-07-12 2008-01-31 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
WO2008044633A1 (fr) * 2006-10-06 2008-04-17 Tokyo Electron Limited Dispositif et procédé de gravure au plasma
JP2008147659A (ja) * 2006-12-11 2008-06-26 Tokyo Electron Ltd 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
KR100898165B1 (ko) * 2006-11-24 2009-05-19 엘지전자 주식회사 플라즈마 발생장치 및 방법
JP2009545890A (ja) * 2006-07-31 2009-12-24 東京エレクトロン株式会社 Rf変調によって弾道電子ビームの均一性を制御する方法及びシステム
US20110088850A1 (en) * 2006-03-22 2011-04-21 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
CN102056394A (zh) * 2009-10-27 2011-05-11 东京毅力科创株式会社 等离子体处理装置
US8104428B2 (en) 2006-03-23 2012-01-31 Tokyo Electron Limited Plasma processing apparatus
US8129282B2 (en) 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8141514B2 (en) 2006-03-23 2012-03-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
JP2012165007A (ja) * 2006-07-10 2012-08-30 Lam Research Corporation プラズマ電位制御装置およびその方法
CN103219216A (zh) * 2012-01-20 2013-07-24 东京毅力科创株式会社 等离子体处理装置
JP2014039060A (ja) * 2006-03-22 2014-02-27 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
CN103985659A (zh) * 2011-07-26 2014-08-13 中微半导体设备(上海)有限公司 一种mocvd半导体处理装置及制作方法
CN104051212A (zh) * 2013-03-12 2014-09-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
CN107516627A (zh) * 2011-10-05 2017-12-26 应用材料公司 对称等离子体处理室
CN110098100A (zh) * 2014-06-10 2019-08-06 朗姆研究公司 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US12106938B2 (en) 2021-09-14 2024-10-01 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber
US12125673B2 (en) 2023-11-13 2024-10-22 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101247857B1 (ko) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8222156B2 (en) 2006-12-29 2012-07-17 Lam Research Corporation Method and apparatus for processing a substrate using plasma
JP5371238B2 (ja) * 2007-12-20 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR100920187B1 (ko) * 2007-12-31 2009-10-06 네스트 주식회사 플라즈마 챔버내의 플라즈마 밀도 분포 제어 방법
JP2009193989A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
KR100911327B1 (ko) * 2008-09-26 2009-08-07 주식회사 테스 플라즈마 발생 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101214758B1 (ko) * 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
KR101864132B1 (ko) 2010-10-05 2018-07-13 에바텍 아크티엔게젤샤프트 폴리머 기판의 진공 처리를 위한 현장 컨디셔닝
JP5759718B2 (ja) * 2010-12-27 2015-08-05 東京エレクトロン株式会社 プラズマ処理装置
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013046640A1 (ja) * 2011-09-26 2013-04-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI585837B (zh) * 2011-10-12 2017-06-01 歐瑞康先進科技股份有限公司 濺鍍蝕刻室及濺鍍方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9396900B2 (en) * 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
JP5951324B2 (ja) * 2012-04-05 2016-07-13 東京エレクトロン株式会社 プラズマ処理装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
WO2014052228A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082228A (ja) 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
KR101375742B1 (ko) * 2012-12-18 2014-03-19 주식회사 유진테크 기판처리장치
CN103903945B (zh) * 2012-12-24 2016-04-20 中微半导体设备(上海)有限公司 一种稳定脉冲射频的方法
JP6144917B2 (ja) * 2013-01-17 2017-06-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
JP6357436B2 (ja) 2014-07-25 2018-07-11 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9854659B2 (en) 2014-10-16 2017-12-26 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TWI632607B (zh) 2015-01-26 2018-08-11 東京威力科創股份有限公司 基板之高精度蝕刻用方法及系統
JP6488150B2 (ja) * 2015-02-27 2019-03-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016178222A (ja) * 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
JP2017010993A (ja) 2015-06-17 2017-01-12 東京エレクトロン株式会社 プラズマ処理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP2016096342A (ja) * 2015-11-26 2016-05-26 東京エレクトロン株式会社 プラズマ処理装置
JP6556046B2 (ja) * 2015-12-17 2019-08-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6643950B2 (ja) * 2016-05-23 2020-02-12 東京エレクトロン株式会社 プラズマ処理方法
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR101909479B1 (ko) * 2016-10-06 2018-10-19 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11319630B2 (en) * 2016-12-14 2022-05-03 Ulvac, Inc. Deposition apparatus and deposition method
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018121896A1 (en) 2016-12-27 2018-07-05 Evatec Ag Rf capacitive coupled dual frequency etch reactor
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI620228B (zh) 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10354844B2 (en) * 2017-05-12 2019-07-16 Asm Ip Holding B.V. Insulator structure for avoiding abnormal electrical discharge and plasma concentration
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10861677B2 (en) 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6836976B2 (ja) * 2017-09-26 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102063108B1 (ko) * 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR101967436B1 (ko) 2017-11-10 2019-04-10 에스엠에이치 주식회사 벨트 컨베이어
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7055054B2 (ja) * 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7094154B2 (ja) * 2018-06-13 2022-07-01 東京エレクトロン株式会社 成膜装置および成膜方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6833784B2 (ja) * 2018-09-28 2021-02-24 芝浦メカトロニクス株式会社 プラズマ処理装置
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7145041B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562887B2 (en) * 2018-12-10 2023-01-24 Tokyo Electron Limited Plasma processing apparatus and etching method
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111354672B (zh) * 2018-12-21 2023-05-09 夏泰鑫半导体(青岛)有限公司 静电卡盘及等离子体加工装置
US11804362B2 (en) 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6960421B2 (ja) * 2019-01-23 2021-11-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7462383B2 (ja) 2019-04-15 2024-04-05 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7220626B2 (ja) * 2019-06-18 2023-02-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7504686B2 (ja) 2020-07-15 2024-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114256046B (zh) * 2020-09-22 2024-07-05 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
JP7489896B2 (ja) 2020-10-22 2024-05-24 東京エレクトロン株式会社 プラズマ処理装置
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN115247257B (zh) * 2021-04-25 2024-01-23 广东聚华印刷显示技术有限公司 成膜装置及膜层的制备方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024013548A (ja) 2022-07-20 2024-02-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09326383A (ja) 1996-06-05 1997-12-16 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2000323460A (ja) 1999-05-11 2000-11-24 Tokyo Electron Ltd プラズマエッチング装置
US20020038631A1 (en) 2000-09-29 2002-04-04 Masahiro Sumiya Plasma processing apparatus and method using active matching
US6716303B1 (en) 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US20040112536A1 (en) 2001-05-29 2004-06-17 Tokyo Electron Limited Plasma processing apparatus and method

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60245213A (ja) * 1984-05-21 1985-12-05 Hitachi Ltd プラズマ処理装置
JPS61199078A (ja) * 1985-02-28 1986-09-03 Anelva Corp 表面処理装置
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
JP2574838B2 (ja) * 1988-01-18 1997-01-22 株式会社日立製作所 Alのスパッタエッチング装置
JPH04279044A (ja) * 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
JPH06279044A (ja) * 1993-03-29 1994-10-04 Fujikura Ltd 光ファイバ母材の製造方法
JP3247491B2 (ja) * 1993-05-19 2002-01-15 東京エレクトロン株式会社 プラズマ処理装置
JP3236724B2 (ja) * 1993-11-30 2001-12-10 東京エレクトロン株式会社 真空処理装置
JPH07211489A (ja) * 1994-01-21 1995-08-11 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置及び該装置のクリーニング方法
JP3438003B2 (ja) * 1994-04-20 2003-08-18 東京エレクトロン株式会社 プラズマ処理装置
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
JP3520577B2 (ja) * 1994-10-25 2004-04-19 株式会社日立製作所 プラズマ処理装置
JPH07207471A (ja) * 1994-12-05 1995-08-08 Hitachi Ltd プラズマエッチング装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
JPH08319588A (ja) * 1996-06-17 1996-12-03 Hitachi Ltd プラズマエッチング装置
JPH1012597A (ja) * 1996-06-20 1998-01-16 Hitachi Ltd プラズマエッチング装置及びプラズマエッチング方法
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220394B2 (ja) * 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
JP4008077B2 (ja) * 1997-10-01 2007-11-14 キヤノンアネルバ株式会社 プラズマ処理装置及び静電吸着機構
JP2000164583A (ja) * 1998-06-24 2000-06-16 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
JP4230029B2 (ja) 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
JP2000299198A (ja) * 1999-02-10 2000-10-24 Tokyo Electron Ltd プラズマ処理装置
JP2000306891A (ja) * 1999-04-22 2000-11-02 Hitachi Ltd プラズマ処理装置
US7537672B1 (en) * 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
JP2000328248A (ja) * 1999-05-12 2000-11-28 Nissin Electric Co Ltd 薄膜形成装置のクリーニング方法及び薄膜形成装置
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
JP3920015B2 (ja) * 2000-09-14 2007-05-30 東京エレクトロン株式会社 Si基板の加工方法
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
JP2002110650A (ja) * 2000-10-03 2002-04-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
JP2002270586A (ja) 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP2003077896A (ja) * 2001-08-31 2003-03-14 Tokyo Electron Ltd エッチング方法
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
JP4326746B2 (ja) * 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP4071069B2 (ja) 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
JP2004095663A (ja) * 2002-08-29 2004-03-25 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
CN1228820C (zh) * 2002-09-04 2005-11-23 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
KR101247857B1 (ko) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09326383A (ja) 1996-06-05 1997-12-16 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2000323460A (ja) 1999-05-11 2000-11-24 Tokyo Electron Ltd プラズマエッチング装置
US20020038631A1 (en) 2000-09-29 2002-04-04 Masahiro Sumiya Plasma processing apparatus and method using active matching
US6716303B1 (en) 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US20040112536A1 (en) 2001-05-29 2004-06-17 Tokyo Electron Limited Plasma processing apparatus and method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1780777A4 *

Cited By (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007208194A (ja) * 2006-02-06 2007-08-16 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007214295A (ja) * 2006-02-08 2007-08-23 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007234770A (ja) * 2006-02-28 2007-09-13 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
JP2007288119A (ja) * 2006-03-22 2007-11-01 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
US20110088850A1 (en) * 2006-03-22 2011-04-21 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
JP2014039060A (ja) * 2006-03-22 2014-02-27 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2007258379A (ja) * 2006-03-22 2007-10-04 Tokyo Electron Ltd プラズマ処理装置
US9362090B2 (en) 2006-03-22 2016-06-07 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
JP2007258417A (ja) * 2006-03-23 2007-10-04 Tokyo Electron Ltd プラズマ処理方法
JP2007258471A (ja) * 2006-03-23 2007-10-04 Tokyo Electron Ltd プラズマ処理装置
US8141514B2 (en) 2006-03-23 2012-03-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
JP2007258470A (ja) * 2006-03-23 2007-10-04 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
US8104428B2 (en) 2006-03-23 2012-01-31 Tokyo Electron Limited Plasma processing apparatus
JP2007258500A (ja) * 2006-03-24 2007-10-04 Hitachi High-Technologies Corp 基板支持装置
US9111724B2 (en) 2006-07-10 2015-08-18 Lam Research Corporation Apparatus and method for controlling plasma potential
JP2012165007A (ja) * 2006-07-10 2012-08-30 Lam Research Corporation プラズマ電位制御装置およびその方法
JP2008021791A (ja) * 2006-07-12 2008-01-31 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US8609547B2 (en) 2006-07-19 2013-12-17 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8129282B2 (en) 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP2009545890A (ja) * 2006-07-31 2009-12-24 東京エレクトロン株式会社 Rf変調によって弾道電子ビームの均一性を制御する方法及びシステム
US8404595B2 (en) 2006-09-25 2013-03-26 Tokyo Electron Limited Plasma processing method
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
US10861678B2 (en) 2006-10-06 2020-12-08 Tokyo Electron Limited Plasma etching apparatus and method
JP2009033080A (ja) * 2006-10-06 2009-02-12 Tokyo Electron Ltd プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US10229815B2 (en) 2006-10-06 2019-03-12 Tokyo Electron Limited Plasma etching apparatus and method
US8852385B2 (en) 2006-10-06 2014-10-07 Tokyo Electron Limited Plasma etching apparatus and method
WO2008044633A1 (fr) * 2006-10-06 2008-04-17 Tokyo Electron Limited Dispositif et procédé de gravure au plasma
KR100898165B1 (ko) * 2006-11-24 2009-05-19 엘지전자 주식회사 플라즈마 발생장치 및 방법
JP2008147659A (ja) * 2006-12-11 2008-06-26 Tokyo Electron Ltd 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
CN102056394A (zh) * 2009-10-27 2011-05-11 东京毅力科创株式会社 等离子体处理装置
CN105704904A (zh) * 2009-10-27 2016-06-22 东京毅力科创株式会社 等离子体处理装置
CN103985659A (zh) * 2011-07-26 2014-08-13 中微半导体设备(上海)有限公司 一种mocvd半导体处理装置及制作方法
CN107516627A (zh) * 2011-10-05 2017-12-26 应用材料公司 对称等离子体处理室
US10453656B2 (en) 2011-10-05 2019-10-22 Applied Materials, Inc. Symmetric plasma process chamber
US10535502B2 (en) 2011-10-05 2020-01-14 Applied Materials, Inc. Symmetric plasma process chamber
US10546728B2 (en) 2011-10-05 2020-01-28 Applied Materials, Inc. Symmetric plasma process chamber
US10580620B2 (en) 2011-10-05 2020-03-03 Applied Materials, Inc. Symmetric plasma process chamber
US10615006B2 (en) 2011-10-05 2020-04-07 Applied Materials, Inc. Symmetric plasma process chamber
CN107516627B (zh) * 2011-10-05 2020-04-24 应用材料公司 对称等离子体处理室
US11315760B2 (en) 2011-10-05 2022-04-26 Applied Materials, Inc. Symmetric plasma process chamber
CN103219216A (zh) * 2012-01-20 2013-07-24 东京毅力科创株式会社 等离子体处理装置
CN104051212A (zh) * 2013-03-12 2014-09-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
CN110098100A (zh) * 2014-06-10 2019-08-06 朗姆研究公司 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US12057292B2 (en) 2019-01-22 2024-08-06 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US12106938B2 (en) 2021-09-14 2024-10-01 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US12125673B2 (en) 2023-11-13 2024-10-22 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Also Published As

Publication number Publication date
CN102157372B (zh) 2012-05-30
CN102270577B (zh) 2014-07-23
JP6029623B2 (ja) 2016-11-24
CN102270577A (zh) 2011-12-07
EP3128538A1 (en) 2017-02-08
EP2479783B1 (en) 2018-12-12
EP2479783A2 (en) 2012-07-25
KR101247857B1 (ko) 2013-03-26
TWI358764B (ja) 2012-02-21
KR20120009513A (ko) 2012-01-31
EP2479782A2 (en) 2012-07-25
EP2479784A3 (en) 2014-09-03
KR101250717B1 (ko) 2013-04-03
JP2011049591A (ja) 2011-03-10
TW201403709A (zh) 2014-01-16
KR101247833B1 (ko) 2013-03-26
KR20120107520A (ko) 2012-10-02
EP1780777A4 (en) 2009-12-16
JP5491359B2 (ja) 2014-05-14
TWI447802B (zh) 2014-08-01
JP5714048B2 (ja) 2015-05-07
EP2479782B1 (en) 2018-12-19
JP2011049592A (ja) 2011-03-10
TW200612488A (en) 2006-04-16
CN102263001B (zh) 2016-08-03
JP2015005755A (ja) 2015-01-08
CN102263001A (zh) 2011-11-30
EP3128538B1 (en) 2019-12-04
TW200614367A (en) 2006-05-01
CN102263026B (zh) 2016-01-20
CN102256431B (zh) 2014-09-17
JP5349445B2 (ja) 2013-11-20
JP5491358B2 (ja) 2014-05-14
KR100952521B1 (ko) 2010-04-12
KR101248691B1 (ko) 2013-04-03
KR20120009515A (ko) 2012-01-31
JP2013191857A (ja) 2013-09-26
TWI574318B (zh) 2017-03-11
KR20120009514A (ko) 2012-01-31
CN102256432B (zh) 2014-10-29
KR20070020142A (ko) 2007-02-16
KR20120009512A (ko) 2012-01-31
JP2015207790A (ja) 2015-11-19
KR20070022781A (ko) 2007-02-27
EP1780777A1 (en) 2007-05-02
KR101180125B1 (ko) 2012-09-05
JP2011097063A (ja) 2011-05-12
KR20120009516A (ko) 2012-01-31
CN102157372A (zh) 2011-08-17
JP5976898B2 (ja) 2016-08-24
TW200614368A (en) 2006-05-01
EP2479784A2 (en) 2012-07-25
CN102256432A (zh) 2011-11-23
EP2479783A3 (en) 2014-09-03
EP2479782A3 (en) 2014-08-27
KR101248709B1 (ko) 2013-04-02
TWI447803B (zh) 2014-08-01
KR101270285B1 (ko) 2013-05-31
CN102256431A (zh) 2011-11-23
CN102263026A (zh) 2011-11-30
EP2479784B1 (en) 2018-12-19

Similar Documents

Publication Publication Date Title
JP5976898B2 (ja) プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP4672456B2 (ja) プラズマ処理装置
JP4672455B2 (ja) プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
JP4827081B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US20100126668A1 (en) Plasma processing apparatus and method
KR100971799B1 (ko) 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020067026949

Country of ref document: KR

Ref document number: 200580020518.0

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Ref document number: DE

REEP Request for entry into the european phase

Ref document number: 2005753490

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2005753490

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067026949

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2005753490

Country of ref document: EP