TWI447803B - A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium - Google Patents

A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium Download PDF

Info

Publication number
TWI447803B
TWI447803B TW094120663A TW94120663A TWI447803B TW I447803 B TWI447803 B TW I447803B TW 094120663 A TW094120663 A TW 094120663A TW 94120663 A TW94120663 A TW 94120663A TW I447803 B TWI447803 B TW I447803B
Authority
TW
Taiwan
Prior art keywords
electrode
plasma
voltage
plasma processing
conductive member
Prior art date
Application number
TW094120663A
Other languages
English (en)
Other versions
TW200614368A (en
Inventor
Akira Koshiishi
Masaru Sugimoto
Kunihiko Hinata
Noriyuki Kobayashi
Chishio Koshimizu
Ryuji Ohtani
Kazuo Kibi
Masashi Saito
Naoki Matsumoto
Manabu Iwata
Daisuke Yano
Yohei Yamazawa
Hidetoshi Hanaoka
Toshihiro Hayami
Hiroki Yamazaki
Manabu Sato
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200614368A publication Critical patent/TW200614368A/zh
Application granted granted Critical
Publication of TWI447803B publication Critical patent/TWI447803B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電漿處理裝置,電漿處理方法及電腦可讀取的記憶媒體
本發明是有關對半導體基板等的被處理基板施以電漿處理之電漿處理裝置,電漿處理方法,及電腦可讀取的記憶媒體。
例如在半導體裝置的製程中,為了在形成於被處理基板的半導體晶圓的所定的層形成所定的圖案,大多使用以阻絕層(resist)作為光罩,藉由電漿來蝕刻之電漿蝕刻處理。
供以進行如此的電漿蝕刻之電漿蝕刻裝置,可使用各種裝置,其中又以電容耦合型平行平板電漿處理裝置為主流。
電容耦合型平行平板電漿蝕刻裝置是在處理室內配置一對的平行平板電極(上部及下部電極),將處理氣體導入處理室內,且對電極的一方施加高頻,在電極間形成高頻電場,藉由此高頻電場來形成處理氣體的電漿,對半導體晶圓的所定的層施以電漿蝕刻。
具體而言,在上部電極施加電漿形成用的高頻,而形成電漿,在下部電極施加離子引入用的高頻,藉此形成適當的電漿狀態之電漿蝕刻裝置,藉此,能以高選擇比來進行再現性高的蝕刻處理(例如,日本特開2000-173993號公報(專利文獻1))。
但,近年來因應微細加工的要求,作為光罩使用光阻劑(Photoresist)的膜厚會變薄,所使用的光阻劑亦由KrF光阻劑(亦即,使用以KrF氣體作為發光源的雷射光來進行曝光的光阻劑),移轉至能夠形成約0.13μm以下的圖案開口之ArF光阻劑(亦即,使用以ArF氣體作為發光源之更短波長的雷射光來進行曝光的光阻劑)。
但,因為ArF光阻劑耐電漿性低,所以會有在KrF光阻劑幾乎不會發生的問題,亦即在蝕刻途中產生表面粗糙。因此,在開口部的內壁面形成縱條紋(條紋狀(Striation)),開口部擴大(CD的擴大)等的問題發生,且隨著光阻劑的膜厚形成薄,無法以良好的蝕刻選擇比來形成蝕刻孔。
另一方面,在此種的蝕刻裝置中,當供給至上部電極的電漿生成用的高頻電力的功率小時,在蝕刻終了後,在上部電極會有堆積物附著,導致有製程特性的變化或粒子之虞。又,當功率大時,電極會發生切削,與功率小時同樣製程特性會變化。由於來自高頻電源的功率是依照製程來決定適當的範圍,因此期望無論是如何的功率,製程皆不會變動。並且,在蝕刻時,在處理室壁會有堆積物產生,在連續蝕刻製程時,前處理的影響會殘留,對其次的處理造成不良影響,亦即產生記憶效應,因此對處理室壁之堆積物的附著也會被要求解除。
此外,在如此之平行平板型電容耦合型的蝕刻裝置中,當處理室內的壓力高且使用的蝕刻氣體為負性氣體(例如,CxFy,O2 等)時,處理室中心部的電漿密度會形成比周邊更低,此情況會難以控制電漿密度。
本發明是有鑑於上述情事而研發者,其目的是在於提供一種可使光阻劑層等的有機光罩層的耐電漿性維持較高,以高選擇比來蝕刻,或可有效解除堆積物附著於電極,或可高速的蝕刻,或可對被處理基板進行均一的蝕刻之電漿處理裝置及電漿處理方法。
本發明之第1觀點的電漿處理裝置,係具備:收容有被處理基板,可真空排氣之處理容器;在處理容器內對向配置之第1電極及支持被處理基板之第2電極;在上述第2電極施加相對高頻率的第1高頻電力之第1高頻電力施加單元;在上述第2電極施加相對低頻率的第2高頻電力之第2高頻電力施加單元;在上述第1電極施加直流電壓之直流電源;及在上述處理容器內供給處理氣體之處理氣體供給單元。
此情況,上述直流電源係往上述第1電極的施加電壓,施加電流及施加電力的任一個為可變。又,可更具備:控制從上述直流電源往上述第1電極的施加電壓,施加電流及施加電力的任一個之控制裝置。此情況,上述控制裝置可控制可否從上述直流電源往上述第1電極施加直流電壓。並且,可更具備:檢測出所被生成的電漿的狀態之檢測器,上述控制裝置會根據該檢測器的資訊來控制從上述直流電源往上述第1電極的施加電壓,施加電流及施加電力的任一個。
在上述第1觀點的電漿處理裝置中,典型的,上述第1電極為上部電極,上述第2電極為下部電極。此情況,最好施加於上述第2電極的第1高頻電力的頻率為27MHz以上,更理想為40MHz以上。又,最好施加於上述第2電極的第2高頻電力的頻率為13.56MHz以下。
此外,在上述第1觀點的電漿處理裝置中,最好上述直流電源係施加-2000~+1000V的範圍的電壓。又,上述第1電極之與上述第2電極的對向面可以矽含有物質來形成。
另外,在上述第1觀點的電漿處理裝置中,最好上述第1電極係對接地電位呈直流性浮動狀態。此情況,最好具有可改變上述第1電極成浮動狀態或接地狀態之可變裝置,根據來自全體控制裝置的指令,在上述第1電極施加直流電壓時,上述可變裝置係使上述第1電極對接地電位成為浮動狀態,在上述第1電極未施加直流電壓時,上述可變裝置係使上述第1電極對接地電位成為浮動狀態或接地狀態。
在上述第1觀點的電漿處理裝置中,為了使根據施加於上述第1電極之來自上述直流電源的直流電壓之電流經由電漿而逃離,可於上述處理容器內設置常時接地的導電性構件。此情況,上述第1電極為上部電極,上述第2電極為下部電極,上述導電性構件可設置於上述第2電極的周圍。又,亦可配置於上述第1電極的近傍。又,上述導電性構件可環狀配置於上述第1電極的外側。又,上述被接地的導電性構件可具有用以防止電漿處理時的飛翔物附著之凹處。
在如此的構成中,可具有覆蓋上述導電性構件的一部份之保護板,藉由使上述保護板對上述導電性構件相對移動的驅動機構,使上述導電性構件露出於電漿的部份變化。又,上述導電性構件可為部份露出於電漿的圓柱形狀,藉由使上述導電性構件以圓柱的軸為中心而旋轉的驅動機構,使上述導電性構件露出於電漿的部份變化。又,可具有階差形狀的保護膜,該保護膜係具有覆蓋上述導電性構件的一部份且可藉電漿蝕刻取得的材質,藉由上述保護膜的蝕刻,使上述導電性構件露出於電漿的部份變化。
在上述第1觀點的電漿處理裝置中,為了使根據施加於上述第1電極之來自上述直流電源的直流電壓之電流經由電漿而逃離,可於上述處理容器內設置根據來自全體控制裝置的指令而接地的導電性構件。此情況,上述第1電極為上部電極,上述第2電極為下部電極,上述導電性構件可設置於上述第2電極的周圍。又,亦可配置於上述第1電極的近傍。又,上述導電性構件可環狀配置於上述第1電極的外側。又,上述被接地的導電性構件可具有用以防止電漿處理時的飛翔物附著之凹處。又,上述導電性構件可於電漿蝕刻時被接地。
在上述導電性構件可施加直流電壓或交流電壓,根據來自全體控制裝置的指令,施加直流電壓或交流電壓,而濺射或蝕刻其表面。此情況,最好上述導電性構件係於清潔時被施加直流電壓或交流電壓。又,可更具備在上述直流電源側與接地線切換上述導電性構件的連接之切換機構,藉由上述切換機構來將上述導電性構件連接至上述直流電源側時,由上述直流電源來對上述導電性構件施加直流電壓或交流電壓,而濺射或蝕刻其表面。在如此的構成中,最好在上述導電性構件可施加負的直流電壓。而且,在上述處理容器內,為了在上述導電性構件施加負的直流電壓時,排出流入上述處理容器內的直流電子電流,最好設置被接地的導電性輔助構件。在此情況中,上述第1電極為上部電極,上述第2電極為下部電極,上述導電性構件係配置於上述第1電極的近傍,上述導電性輔助構件係設置於上述第2電極的周圍。
在上述第1觀點的電漿處理裝置中,可更具備連接切換機構,其係於上述處理容器內設置導電性構件,該導電性構件係根據來自全體控制裝置的指令,取第1狀態及第2狀態的其中之一,該第1狀態係為了使供給至上述第1電極之來自上述直流電源的直流電流經由電漿逃離而接地,該第2狀態係由上述直流電源施加直流電壓而濺射或蝕刻其表面,可在上述直流電源的負極被連接至上述第1電極,且上述導電性構件被連接至接地線的第1連接,及上述直流電源的正極被連接至上述第1電極,上述直流電源的負極被連接至上述導電性構件的第2連接之間進行切換,可藉由該切換來分別形成上述第1狀態及上述第2狀態。
此情況,最好上述第1狀態係形成於電漿蝕刻時,上述第2狀態係形成於上述導電性構件的清潔時。
本発明的第2觀點是在於提供一種電漿處理方法,係於處理容器內,使第1電極及支持被處理基板的第2電極對向配置,一邊在上述第2電極施加相對高頻率的第1高頻電力及相對低頻率的第2高頻電力,一邊在上述處理容器內供給處理氣體,使該處理氣體的電漿生成,而在被支持於上述第2電極的被處理基板實施電漿處理,其特徵為具有:在上述第1電極施加直流電壓之工程;及一邊在上述第1電極施加直流電壓,一邊在上述被處理基板實施電漿處理之工程。
此情況,往上述第1電極的施加電壓,施加電流及施加電力的任一個為可變。又,可控制往上述第1電極的施加電壓,施加電流及施加電力的任一個。又,可控制可否施加直流電壓至上述第1電極。又,可檢測出所被生成的電漿的狀態,根據該檢測資訊來控制往上述第1電極的施加電壓,施加電流及施加電力的任一個。
又,在上述第2觀點的電漿處理方法中,最好上述第1電極係對接地電位呈直流性浮動狀態。此情況,上述第1電極可變成浮動狀態或接地狀態,根據來自全體控制裝置的指令,在上述第1電極施加直流電壓時,使上述第1電極對接地電位成為浮動狀態,在上述第1電極未施加直流電壓時,使上述第1電極對接地電位成為浮動狀態或接地狀態。
又,在上述第2觀點的電漿處理方法中,最好在上述處理容器內設置常時接地的導電性構件,而使根據施加於上述第1電極的直流電壓之電流經由電漿而逃離。或,最好在上述處理容器內設置根據來自全體控制裝置的指令而接地的導電性構件,而使根據施加於上述第1電極的直流電壓之電流經由電漿而逃離。
在該等的構成中,上述導電性構件可於電漿蝕刻時被接地。並且,在上述導電性構件可施加直流電壓或交流電壓,根據來自全體控制裝置的指令,施加直流電壓或交流電壓,而濺射或蝕刻其表面。而且,上述導電性構件可於清潔時被施加直流電壓或交流電壓。又,可更具備在上述直流電源側與接地線切換上述導電性構件的連接之切換機構,藉由上述切換機構來將上述導電性構件連接至上述直流電源側時,由上述直流電源來對上述導電性構件施加直流電壓或交流電壓,而濺射或蝕刻其表面。又,在上述導電性構件可施加負的直流電壓。又,在上述處理容器內,為了在上述導電性構件施加負的直流電壓時,排出流入上述處理容器內的直流電子電流,可設置被接地的導電性輔助構件。
此外,在上述第2觀點的電漿處理方法中,在蝕刻被支持於上述第2電極的被處理基板的絶縁膜時,為了擴大上述絶縁膜之與基底膜的選擇比,上述處理氣體可使用C5 F8 ,Ar,N2 ,或C4 F8 ,Ar,N2 ,或C4 F8 ,Ar,N2 ,O2 ,或C4 F8 ,Ar,N2 ,CO的任一組合。又,在蝕刻被支持於上述第2電極的被處理基板的絶縁膜時,為了擴大上述絶縁膜之與光罩的選擇比,上述處理氣體可使用CF4 或CF4 ,Ar或N2 ,H2 的任一組合。又,在蝕刻被支持於上述第2電極的被處理基板的絶縁膜上的有機反射防止膜時,上述處理氣體可使用CF4 或CF4 ,C3 F8 或CF4 ,C4 F8 或CF4 ,C4 F6 的任一組合。又,在蝕刻被支持於上述第2電極的被處理基板的絶縁膜時,為了增大上述絶縁膜的蝕刻速度,上述處理氣體可使用C4 F6 ,CF4 ,Ar,O2 ,或C4 F6 ,C3 F8 ,Ar,O2 ,或C4 F6 ,C4 F8 ,Ar,O2 或C4 F6 ,C2 F6 ,Ar,O2 或C4 F8 ,Ar,O2 ,或C4 F8 ,Ar,O2 的任一組合。
本發明的第3觀點是在於提供一種電腦記憶媒體,係記憶有在電腦上動作的控制程式,其特徵為:上述控制程式係控制電漿處理裝置,使於執行時能夠進行上述第2觀點的電漿處理方法。
若根據本発明,則由於會對支持被處理基板的第2電極連接一施加相對高頻率的第1高頻電力之第1高頻電力施加單元、及施加相對低頻率的第2高頻電力之第2高頻電力施加單元,且對第1電極連接施加直流電壓的直流電源,因此一邊在第2電極由第1及第2高頻電力施加單元來施加頻率相異的高頻電力而形成處理氣體的電漿且在被處理基板引入離子,一邊進行電漿蝕刻時,在第1電極施加直流電壓,藉此可發揮(1)增大第1電極的自我偏壓電壓的絕對值,對第1電極表面的濺射效果,(2)使第1電極的電漿外皮擴大,所形成的電漿會被縮小化的效果,(3)使產生於第1電極近傍的電子照射於被處理基板上的效果,(4)控制電漿電位的效果,(5)使電子(電漿)密度上昇的效果,(7)使中心部的電漿密度上昇的效果之至少一個。
利用上述(1)的效果,即使在第1電極的表面附著有製程氣體所引起的聚合物及來自光阻劑的聚合物時,還是可以濺射聚合物,而使電極表面清浄化。並且,可在基板上供給最適的聚合物,而解消光阻劑膜的粗糙。而且,藉由電極本身被濺射,可將電極材料供給於基板上,而來強化光阻劑膜等的有機光罩。
又,利用上述(2)的效果,被處理基板上的實效常駐時間(residence time)會減少,且電漿會集中於被處理基板上,擴散會抑止,排氣空間減少,因此氟碳系的處理氣體的解離會抑止,光阻劑膜等的有機光罩難以被蝕刻。
又,利用上述(3)的效果,被處理基板上的光罩組成會被改質,可解消光阻劑膜的粗糙。又,由於高速的電子會被照射於被處理基板,因此遮掩效果會被壓制,被處理基板的微細加工性會提昇。
又,利用上述(4)的效果,可藉由適當控制電漿電位來抑止蝕刻副產物附著於電極,或處理室壁(堆積物屏蔽等),處理容器內的絶縁材等的處理容器內構件。
又,利用上述(5)的效果,可使對被處理基板的蝕刻速率(蝕刻速度)上昇。
又,利用上述(6)的效果,即使處理容器內的壓力高,且所使用的蝕刻氣體為負性氣體,還是可以抑止處理容器內的中心部的電漿密度低於周邊(可抑止負離子的生成),可控制電漿密度,而使電漿密度能夠均一化。
藉此,可高度維持光阻劑層等的有機光罩層的耐電漿性,以高選擇比來蝕刻。或,可有效解消堆積物附著於電極。或可高速的蝕刻,或對被處理基板進行均一的蝕刻。
以下,參照圖面來具體說明有關本發明的實施形態。
圖1是表示本發明之一實施形態的電漿蝕刻裝置的概略剖面圖。
亦即,在下部電極的基座16,由第1高頻電源88來施加電漿生成用例如40MHz的高頻(RF)電力,且由第2高頻電源90來施加離子引入用例如2MHz的高頻(RF)電力之下部RF2頻率施加型態的電漿蝕刻裝置,如圖示,在上部電極34連接可變直流電源50,施加所定的直流(DC)電壓之電漿蝕刻裝置。有關此電漿蝕刻裝置會使用圖2來更詳述。
此電漿蝕刻裝置是作為電容耦合型平行平板電漿蝕刻裝置來構成,例如具有表面被施以陽極氧化處理的鋁所構成的略圓筒狀處理室(處理容器)10。此處理室10會被安定接地。
在處理室10的底部,經由由陶瓷等所構成的絶縁板12來配置有圓柱狀的基座支持台14,此基座支持台14上,例如設置有由鋁所構成的基座16。基座16是構成下部電極,在其上載置有被處理基板的半導體晶圓W。
在基座16的上面設有以静電力來吸著保持半導體晶圓W的静電卡盤(chuck)18。此静電卡盤18具有以一對的絶縁層或絶縁薄板來夾持由導電膜所構成的電極20之構造者,在電極20電性連接直流電源22。然後,藉由來自直流電源22的直流電壓所產生的庫倫力等的静電力,使半導體晶圓W吸著保持於静電卡盤18。
在静電卡盤18(半導體晶圓W)的周圍,基座16的上面,配置有用以使蝕刻的均一性提昇之例如由矽所構成的導電性的調焦環(修正環)24。在基座16及基座支持台14的側面,設有例如由石英所構成的圓筒狀的內壁構件26。
在基座支持台14的內部,例如在圓周上設有冷媒室28。在此冷媒室中,可利用設置於外部之未圖示的冷卻單元,經由配管30a,30b來循環供給所定温度的冷媒,例如冷卻水,藉由冷媒的温度來控制基座上的半導體晶圓W的處理温度。
並且,來自未圖示的傳熱氣體供給機構的傳熱氣體,例如He氣體會經由氣體供給線32來供給至静電卡盤18的上面及半導體晶圓W的背面之間。
在下部電極的基座16的上方,以能夠和基座16呈對向之方式平行設有上部電極34。然後,上部及下部電極34,16間的空間會形成電漿生成空間。上部電極34是與下部電極之基座16上的半導體晶圓W呈對向,而形成與電漿生成空間接觸的面,亦即對向面。
此上部電極34是藉由電極板36及水冷構造的電極支持體38所構成。該電極板36是經由絶縁性遮蔽構件42來支持於處理室10的上部,構成與基座16的對向面,且具有多數個噴出孔37。該水冷構造的電極支持體38是可自由裝卸支持該電極板36,由導電性材料,例如表面被施以陽極氧化處理的鋁所構成者。電極板36最好是焦耳熱少的低電阻的導電體或半導體,且如後述,由強化光阻劑的觀點來看,最好為矽含有物質。由如此的觀點來看,電極板36最好是以矽或SiC來構成。在電極支持體38的內部設有氣體擴散室40,從該氣體擴散室40連通至氣體噴出孔37的多數個氣體通流孔41會延伸至下方。
在電極支持體38中形成有往氣體擴散室40導入處理氣體的氣體導入口62,在此氣體導入口62連接有氣體供給管64,在氣體供給管64連接處理氣體供給源66。在氣體供給管64,由上游側依次設有質量流量控制器(MFC)68及開閉閥70。然後,從處理氣體供給源66,作為供以蝕刻的處理氣體,例如C4 F8 氣體之類的氟碳(fluoro carbon)氣體(CxFy)會從氣體供給管64至氣體擴散室40,經由氣體通流孔41及氣體噴出孔37來以噴淋狀噴出至電漿生成空間。亦即,上部電極34具有用以供給處理氣體的蓮蓬頭之機能。
在上述上部電極34,經由低通過濾器(LPF)48來電性連接可變直流電源50。可變直流電源50亦可為雙極電源。此可變直流電源50可藉由開啟.關閉開關52來進行給電的開啟.關閉。可變直流電源50的極性及電流.電壓、以及開啟.關閉開關52的開啟.關閉是藉由控制器(控制裝置)51來控制。
低通過濾器(LPF)48是供以捕捉來自後述的第1及第2高頻電源的高頻者,最好是以LR過濾器或LC過濾器來構成。
以能夠從處理室10的側壁延伸至比上部電極34的高度位置更上方之方式,設有圓筒狀的接地導體10a。該圓筒狀接地導體10a是在其上部具有頂壁。
在下部電極的基座16,經由整合器87來電性連接第1高頻電源88,且經由整合器89來連接第2高頻電源90。第1高頻電線88是在於輸出27MHz以上的頻率,例如40MHz的高頻電力。第2高頻電源90是在於輸出13.56MHz以下的頻率,例如2MHz的高頻電力。
整合器87,89是分別供以使負荷電阻整合於第1及第2高頻電源88,90的內部(或輸出)電阻者,在處理室10內產生電漿時,使第1及第2高頻電源88,90的內部電阻與負荷電阻看起來一致。
在處理室10的底部設有排氣口80,在此排氣口80經由排氣管82來連接排氣裝置84。排氣裝置84具有渦輪分子泵(turbo molecular pump)等的真空泵,可使處理室10內減壓至所望的真空度。並且,在處理室10的側壁設有半導體晶圓W的搬入出口85,此搬入出口85可藉由閘閥86來開閉。而且,沿著處理室10的內壁可自由裝卸地設有供以防止在處理室10附著蝕刻副產物(堆積物)的堆積物屏蔽11。亦即,堆積物屏蔽11構成處理室壁。並且,堆積物屏蔽11亦設置於內壁構件26的外周。在處理室10的底部的處理室壁側的堆積物屏蔽11與內壁構件26側的堆積物屏蔽11之間設有排氣板83。堆積物屏蔽11及排氣板83可適用在鋁材覆蓋Y2 O3 等的陶瓷者。
在與構成與堆積物屏蔽11的處理室內壁的部分的晶圓W大致相同高度的部分,設有DC連接至接地的導電性構件(GND區塊)91,藉此發揮後述的異常放電防止效果。
電漿蝕刻裝置的各構成部是形成被連接至控制部(全體控制裝置)95而被控制的構成。並且,在控制部95連接使用者介面96,該使用者介面96是由:工程管理者為了管理電漿蝕刻裝置而進行指令的輸入操作等的鍵盤,及使電漿處理裝置的作動狀況可視化顯示的顯示器等所構成。
另外,在控制部95連接記憶部97,該記憶部97儲存有供以藉由控制部95的控制來實現執行於電漿蝕刻裝置的各種處理之控制程式,或供以按照處理條件來使處理執行於電漿蝕刻裝置的各構成部之程式亦即方法(recipe)。方法可記憶於硬碟或半導體記憶體,或收容於CDROM,DVD等可藉由可搬性的電腦來讀取的記憶媒體之狀態下設置於記憶部97的所定位置。
然後,因應所需,根據來自使用者介面96的指示等,從記憶部97呼叫出任意的方法,使執行於控制部95,在控制部95的控制下,進行電漿蝕刻裝置的所望處理。另外,本發明的實施形態所述的電漿處理裝置(電漿蝕刻裝置)是包含此控制部95者。
在如此構成的電漿蝕刻裝置中進行蝕刻處理時,首先閘閥86為開狀態,經由搬入出口85來將蝕刻對象的半導體晶圓W搬入處理室10內,載置於基座16上。然後,從處理氣體供給源66以所定的流量來將蝕刻用的處理氣體供給至氣體擴散室40,一面經由氣體通流孔41及氣體噴出孔37來供給至處理室10內,一面藉由排氣裝置84來對處理室10內進行排氣,其中的壓力例如設為0.1~150Pa的範圍內的設定值。在此,處理氣體可採用以往所被使用的各種氣體,例如以C4 F8 氣體之類的氟碳氣體(CxFy)為代表之含有鹵元素的氣體。又,亦可含Ar氣體或O2 氣體等其他的氣體。
在如此將蝕刻氣體導入處理室10內的狀態下,由第1高頻電源88以所定的功率來對下部電極的基座16施加電漿生成用的高頻電力,且由第2高頻電源90以所定的功率來施加離子引入用的高頻電力。然後,由可變直流電源50來對上部電極34施加所定的直流電壓。又,由静電卡盤18用的直流電源22來對静電卡盤18的電極20施加直流電壓,而使半導體晶圓W固定於基座16。
自形成於上部電極34的電極板36的氣體噴出孔37所噴出的處理氣體是在利用高頻電力所產生之上部電極34與下部電極(亦即基座16)間的輝光放電中電漿化,藉由以該電漿所生成的游離基或離子來蝕刻半導體晶圓W的被處理面。
在此電漿蝕刻裝置中,由第1高頻電源來對下部電極的基座16供給高頻率區域(例如,10MHz以上)的高頻電力,因此可在較佳狀態下使電漿高密度化,可在更低壓的條件下形成高密度電漿。
在本實施形態中,如此形成電漿時,由可變直流電源50來對上部電極34施加所定的極性及大小的直流電壓。此刻,最好是以能夠取得對施加電極的上部電極34的表面亦即電極板36的表面之所定的(適度的)濺射效果的程度使其表面的自我偏壓電壓Vd c 變深之方式,亦即在上部電極34表面的Vd c 的絶對值變大之方式,藉由控制器51來控制來自可變直流電源50的施加電壓。當自第1高頻電源88施加高頻來產生電漿時,雖於上部電極34附著有聚合物,但藉由自可變直流電源50施加適當的直流電壓,可濺射附著於上部電極34的聚合物,使上部電極34的表面清浄化。同時,可在半導體晶圓W上供給最適量的聚合物,解除光阻劑膜的表面粗糙。並且,調整來自可變直流電源50的電壓,濺射上部電極34本身,而使能夠在半導體晶圓W表面供給電極材料本身,藉此於光阻劑膜表面形成碳化物,強化光阻劑膜,且所被濺射的電極材料會與氟碳系的處理氣體中的F反應,然後排氣,藉此電漿中的F比率會減少,光阻劑膜會難以被蝕刻。當電極板36為矽或SiC等的矽含有物質時,被濺射於電極板36表面的矽會與聚合物反應,而於光阻劑膜表面形成有SiC,光阻劑膜會形成極強固者,且因為Si容易與F反應,所以上述效果特別大。因此,電極板36的材料較理想為矽含有物質。另外,此情況,亦可取代控制來自可變直流電源50的施加電壓,而控制施加電流或施加電力。
如此在上部電極34施加直流電壓,而自我偏壓電壓Vd c 變深時,如圖3A-B所示,形成於上部電極34側的電漿外皮的厚度會變大。然後,一旦電漿外皮變厚,則該部份電漿會被縮小化。例如,在上部電極34不施加直流電壓時,上部電極側的Vd c 例如為-300V,如圖3A所示,電漿為具有較薄的外皮厚do 的狀態。但,若在上部電極34施加-900V的直流電壓,則上部電極側的Vd c 會形成-900V,電漿外皮的厚度為Vd c 的絶對值的3/4比例,如圖3B所示,更厚的電漿外皮d1 會被形成,該部份電漿會縮小化。藉由如此形成較厚的電漿外皮,而使電漿適當地縮小化,半導體晶圓W上的實效常駐時間會減少,且電漿會集中於晶圓W上,擴散會被抑止,解離空間會減少。藉此,氟碳系的處理氣體的解離會被抑止,光阻劑膜難以被蝕刻。因此,來自可變直流電源50的施加電壓,最好藉由控制器51來控制上部電極34之電漿外皮的厚度能夠形成所望縮小化的電漿程度之厚度。此情況,亦可取代控制來自可變直流電源50的施加電壓,而控制施加電流或施加電力。
並且,在電漿被形成時,電子會被產生於上部電極34近傍。若從可變直流電源50來對上部電極34施加可變直流電源50,則藉由所施加後的直流電壓值與電漿電位的電位差,電子會往處理空間的鉛直方向加速。藉由使可變直流電源50的極性、電壓值、電流值形成所望者,電子會被照射於半導體晶圓W。所被照射的電子可使作為光罩的光阻劑膜的組成改質,光阻劑膜會被強化。因此,藉由控制可變直流電源50的施加電壓值及根據施加電流值而產生於上部電極34近傍的電子的量、及如此的電子往晶圓W的加速電壓,可謀求對光阻劑膜之所定的強化。
特別是,半導體晶圓W上的光阻劑膜為AR F準分子雷射(波長193nm)用的光阻劑膜(以下既為ArF光阻劑膜)時,ArF光阻劑膜的聚合物構造是經由以下的化學式(1)、(2)所示的反應,被電子照射後形成化學式(3)的右邊那樣的構造。亦即,一旦被電子照射、則如化學式(3)的d部所示,會發生ArF光阻劑膜的組成的改質(光阻劑的架橋反應)。由於此d部具有非常強的蝕刻耐性(電漿耐性),因此ArF光阻劑膜的蝕刻耐性會飛躍地増大。所以,可抑止ArF光阻劑膜的表面粗糙,可提高蝕刻對象層對ArF光阻劑膜的蝕刻選擇比。
因此,來自可變直流電源50的施加電壓值.電流值,最好是藉由控制器51來控制成光阻劑膜(特別是ArF光阻劑膜)的蝕刻耐性能藉電子的照射而變強。
又,如上述,若對施加上部電極34,則在電漿被形成時產生於上部電極34近傍的電子會往處理空間的鉛直方向加速,但藉由使可變直流電源50的極性、電壓值、電流值形成所望者,可使電子到達半導體晶圓W的孔內,抑止遮掩(shading)效果、可取得無波音之良好的加工形狀,可使加工形狀的均一性佳。
被控制加速電壓的電子射入晶圓W的電子量為使用根據直流電壓的電子電流量ID C 時,若由電漿射入晶圓的離子電流量為Ii o n ,則最好符合ID C >(1/2)Ii o n 。Ii o n =Zρvi o n e(Z:荷數,ρ:流速密度,Vi o n :離子速度,e:電子的電荷量1.6×10 1 9 C),由於ρ是與電子密度Ne成比例,所以Ii o n 會與Ne成比例。
如此,控制施加於上部電極34的直流電壓,而使發揮上述上部電極34的濺射機能或電漿的縮小化機能,以及產生於上述上部電極34的多量電子之往半導體晶圓W的供給機能,藉此可謀求光阻劑膜的強化或最適聚合物的供給,處理氣體的解離抑止等,可抑止光阻劑的表面粗糙等,且能提高蝕刻對象層對光阻劑膜的蝕刻選擇比。同時,可抑止光阻劑的開口部之CD的擴展,可實現更高精度的圖案形成。特別是控制直流電壓,而使能夠適當地發揮濺射機能、電漿的縮小化機能及電子的供給機能等3個,藉此可更為提高如此的效果。
另外,上述各機能中哪個優先產生會依處理條件等而有所不同,最好是藉由控制器51來控制從可變直流電源50所被施加的電壓,而使該等機能的一個以上發揮,有效發揮上述效果。
又,藉由調整施加於上部電極34的直流電壓,可控制電漿電位。藉此,具有抑止蝕刻副產物的附著於上部電極34或構成處理室壁的堆積物屏蔽11,內壁構件26,絶縁性遮蔽構件42之機能。
若蝕刻副產物附著於上部電極34或構成處理室壁的堆積物屏蔽11等,則會有製程特性的變化或粒子之虞。特別是在連續蝕刻多層膜時,連續蝕刻依次將Si系有機膜(SiOC),SiN膜,SiO2 膜,光阻劑等積層於半導體晶圓W上的多層膜時,由於蝕刻條件會依各膜而有所不同,因此前處理的影響會殘留,而對其次的處理造成不良影響,亦即產生記憶效應。
由於如此之蝕刻副產物的附著是依電漿電位與上部電極34或處理室壁等之間的電位差而影響,因此若能夠控制電漿電位,則可抑止如此之蝕刻生成物的附著。
以上,藉由控制從可變直流電源50施加於上部電極34的電壓,可使電漿電位降低,可抑止蝕刻副產物的附著於上部電極34或構成處理室壁的堆積物屏蔽11,甚至處理室10內的絶縁材(構件26,42)。電漿電位Vp的值,最好為80V≦Vp≦200V的範圍。
又,藉由在上部電極34施加直流電壓的其他效果,可舉藉由施加後的直流電壓來形成電漿,藉此提高電漿密度,而使蝕刻速率提昇者。
這是因為若在上部電極施加負的直流電壓,則電子會難以進入上部電極,電子的消滅會被抑止,以及若離子被加速而進入上部電極,則電子可從電極出去,該電子會以電漿電位與施加電壓值的差來高速地加速,使中性氣體成電離(電漿化),藉此電子密度(電漿密度)會増加。根據實験結果來說明此情況。
圖4A-D是表示施加於下部電極的基座16之第1高頻電力的頻率為40MHz,第2高頻電力的頻率為3.2MHz,壓力為4Pa之HARC蝕刻的條件下,使施加於上部電極的負直流電壓的絕對值變化成0V,300V,600V,900V時之各高頻電力的輸出與電子密度分布的關係。又,圖5A-D是表示施加同樣的頻率的2個高頻電力,壓力為6.7Pa的Via蝕刻的條件下,同様地使施加於上部電極的直流電壓的絶對值變化成0V,300V,600V,900V時之各高頻電力的輸出與電子密度分布的關係。如該等的圖所示,可知隨著所施加的直流電壓的絶對值變大,電子密度(電漿密度)會上昇。圖6是表示使用上述HARC蝕刻,第1高頻電力為3000W,第2高頻電力為4000W時之晶圓徑方向的電子密度分布。如該圖所示,可知施加的直流電壓的絶對值越大,則電子密度會變高。
並且,在電漿被形成時,藉由自可變直流電源50施加直流電壓於上部電極34,可在溝蝕刻時特別使中心部的電漿密度上昇。當溝蝕刻時的條件之處理室10內的壓力高且所使用的蝕刻氣體為負性氣體時,雖處理室10內的中心部的電漿密度會有降低的傾向,但可藉由在上部電極34施加直流電壓來使中心部的電漿密度上昇,而以電漿密度能夠均一化之方式來控制電漿密度。
藉由實験結果來說明該情況。
在圖2的裝置中,將半導體晶圓裝入處理室內,然後載置於基座上,將作為處理氣體的CF4 氣體,CHF3 氣體,Ar氣體,N2 氣體導入處理室內,以處理室內的壓力為26.6Pa,第1高頻電力為300W(在40MHz時),第2高頻電力為1000W(在3.2MHz),施加於下部電極的基座之溝蝕刻的條件下,在未對上部電極施加直流電壓時與施加-600W時測定晶圓徑方向的電子密度(電漿密度)分布。將其結果顯示於圖7。如該圖所示,未施加直流電壓時,晶圓中心部的電子密度會比其他的部分更低,相對的,藉由施加直流電壓,可使晶圓中心部的電子密度上昇,電子密度會被均一化。又,藉由施加直流電壓,電子密度會全體上昇。
如以上所述,藉由控制施加於上部電極34的直流電壓,可有效發揮上述上部電極34的濺射機能,電漿的縮小化機能,電子的供給機能,電漿電位控制機能,電子密度(電漿密度)上昇機能,及電漿密度控制機能的至少一個。
以上是說明有關對上部電極34施加直流(DC)電壓的作用效果。
在本實施形態中,對上部電極施加直流電壓的電漿蝕刻裝置,雖是使用對下部電極施加電漿形成用的第1高頻(RF)電力及離子引入用的第2高頻(RF)電力之下部RF二頻率施加型的電漿蝕刻裝置,但對於下部RF二頻率施加型的電漿蝕刻裝置以外的電容耦合型電漿蝕刻裝置的優點而言,如以下所述。
首先,如本實施形態那樣,藉由在下部電極施加電漿形成用的高頻電力,可在更接近晶圓的地方形成電漿,且可不使電漿擴散於廣區域抑制處理氣體的解離,因此即使為處理容器內的壓力高且電漿密度低的條件,照樣可以提昇對晶圓的蝕刻速率。並且,在電漿形成用的高頻電力的頻率高時,亦可確保較大的離子能量,所以高效率。相對的,在上部電極施加電漿形成用的高頻電力之型態的裝置中,因為電漿會被產生於上部電極近傍,所以在處理容器內的壓力高且電漿密度低的條件下,難以使對晶圓的蝕刻速率提昇。
又,如本實施形態那樣,藉由在下部電極分別施加電漿形成用的高頻電力及離子引入用的高頻電力,可獨立控制電漿蝕刻時所必要之電漿形成的機能及離子引入的機能。相對的,在下部電極施加一頻率的高頻電力之型態的裝置中,不可獨立控制電漿形成的機能及離子引入的機能,難以滿足要求高微細加工性的蝕刻條件。
如以上所述,可在接近晶圓處形成電漿,不使電漿擴散於廣區域,且可獨立控制電漿形成的機能及離子引入的機能之下部RF二頻率施加型的電漿蝕刻裝置中,藉由對上部電極施加直流電壓,可更具有上部電極的濺射機能,電漿的縮小化機能,往晶圓的電子供給機能,電漿電位的控制機能,電漿密度的上昇機能,電漿密度控制機能的至少一個,因此可提供一種適於近年來要求蝕刻微細加工之更具高性能的電漿蝕刻裝置。
另外,往上部電極34之直流電壓施加亦可為選擇性。往上部電極34之直流電壓施加為必要的蝕刻條件中,是開啟可變直流電源50及圖2所示的中繼開關52,往上部電極34之直流電壓施加為非特別必要的蝕刻條件中,只要關閉可變直流電源50及中繼開關52即可。
此外,對上部電極34施加直流電壓時,若上部電極34被接地,則直流電壓施加的機能會變無,因此上部電極34必須為DC性浮動。圖8為模式圖。在圖8中電性形成電容器501,502,503的地方,實際上有介電質進入,上部電極34是經由介電質來對處理容器10及接地導體10a形成DC性的浮動。另外,由高頻電線88,89來施加於下部電極16的高頻電力是經由處理空間來到達上部電極34,經由電容器501,502,503來到達被接地的處理容器10及接地導體10a。
然後,關閉可變直流電源50及中繼開關52,對上部電極34未施加直流電壓時,可改變上部電極34成接地狀態或DC性的浮動狀態。在圖9的例子中,未對上部電極34施加直流電壓時,雖是藉由開關(可變裝置)504來使接地導體10a及上部電極34短路,而使上部電極34形成接地狀態,但亦可關閉開關(可變裝置)504來使上部電極34成為DC性浮動狀態。
又,如圖10所示,亦可將電性形成電容器501之處構成能夠電性改變電容。藉此,可改變上部電極的電位。
又,如圖11所示,設置一例如由電漿檢測窗10a來檢測電漿的狀態之檢測器55,控制器51可根據其檢測信號來控制可變直流電源50,藉此可將有效發揮上述機能的直流電壓予以自動地施加於上部電極34。又,亦可設置檢測外皮厚的檢測器或檢測電子密度的檢測器,控制器51可根據其檢測信號來控制可變直流電源50。
在此,於本實施形態的電漿蝕刻裝置中,在蝕刻形成於晶圓W上的絶縁膜(例如Low-k膜)時,作為處理氣體使用之特別較佳的氣體組合,如以下所示的例子。
在孔蝕刻的條件之過蝕刻時,所使用較理想的處理氣體組合,可舉(C5 F8 ,Ar,N2 )或(C5 F8 ,Ar,N2 )或(C4 F8 ,Ar,N2 )或(C4 F8 ,Ar,N2 ,O2 )或(C4 F8 ,Ar,N2 ,CO)。藉此,可取較大絶縁膜對基底膜(SiC,SiN等)的選擇比。
並且,在溝蝕刻的條件中,所使用較理想的處理氣體組合,可舉CF4 或(CF4 ,Ar)或(N2 ,H2 )。藉此,可取較大絶縁膜對光罩的選擇比。
而且,在蝕刻絶縁膜上的有機反射防止膜的條件中,所使用較理想的處理氣體組合,可舉CF4 或(CF4 ,C3 F8 )或(CF4 ,C4 F8 )或(CF4 ,C4 F6 )。
又,在HARC蝕刻的條件中,所使用較理想的處理氣體組合,可舉(C4 F6 ,CF4 ,Ar,O2 )或(C4 F6 ,C3 F8 ,Ar,O2 )或(C4 F6 ,C4 F8 ,Ar,O2 )或(C4 F6 ,C2 F6 ,Ar,O2 )或(C4 F8 ,Ar,O2 )或(C4 F8 ,Ar,O2 )。藉此,可增大絶縁膜的蝕刻速度。
另外,並非限於上述,可使用(CxHyFz的氣體/N2 ,O2 等的添加氣體/稀釋氣體的組合)。
但,若對上部電極34施加直流電壓,則電子會在上部電極34積存,在與處理室10的內壁之間等會有發生異常放電之虞。為了抑止如此的異常放電,本實施形態是將DC性接地的零件亦即GND區塊(導電性構件)91設置於處理室壁側的堆積物屏蔽11。此GND區塊91是露出於電漿面,在堆積物屏蔽11的內部的導電部電性連接,由可變直流電源50來施加於上部電極34的直流電壓電流是經由處理空間來到達GND區塊91,且經由堆積物屏蔽11來接地。GND區塊91為導電體,較理想為Si,SiC等的矽含有物質。亦可適用C。藉由此GND區塊91,可使積存於上述上部電極34的電子逃離,防止異常放電。GND區塊91的突出長度最好為10mm以上。
又,為了防止異常放電,其有效的方法,例如在上部電極34施加直流電壓時,可藉由適當的手段重疊於直流電壓來周期性賦予第1實施形態之圖12所示極短的逆極性的脈衝,而中和電子。
若上述GND區塊91設置於電漿形成區域,則該位置並非限於圖1的位置,例如圖13所示,亦可設置於基座16的周圍等,設置於基座16側,且如圖14所示,亦可環狀設置於上部電極34的外側等,設置於上部電極34。但,在形成電漿時,覆蓋於堆積物屏蔽11等的Y2 O3 或聚合物會飛翔,一旦附著於GND區塊91,則不會被DC性接地,難以發揮異常放電防止效果,因此使難以附著是件重要的事。因應於此,最好GND區塊91是離開被Y2 O3 等所覆蓋的構件的位置,就隣接零件而言,最好為Si或石英(SiO2 )等的Si含有物質。例如,圖15A所示,最好在GND區塊91的周圍設置Si含有構件93。此情況,最好Si含有構件93的GND區塊91之下的部分的長度L為GND區塊91的突出長度M以上。又,為了抑止Y2 O3 或聚合物的附著所造成的機能低下,如圖15B所示,其有效的方法是設置一飛翔物難以附著的凹所91a來作為GND區塊91。又,亦可擴大GND區塊91的表面積,使難以被Y2 O3 或聚合物所覆蓋。又,為了抑止附著物,温度高有效,但因為在上部電極34會被供給電漿形成用的高頻電力,其近傍的温度會上昇,所以由使温度上昇來不使附著物附著的觀點來看,最好如上述圖14所示設置於上部電極34的近傍。此情況,特別是如上述圖14所示,最好環狀設置於上部電極34的外側。
為了更具效果地排除隨著覆蓋於堆積物屏蔽11等之Y2 O3 或聚合物的飛翔而對GND區塊91之附著物的影響,如圖16所示,可在GND區塊91施加負的直流電壓。亦即,藉由在GND區塊91施加負的直流電壓,附著於此的附著物會被濺射或蝕刻,可清潔GND區塊91的表面。在圖16的構成中設置切換機構53,其係於可變直流電源50側與接地線切換GND區塊91的連接,而使能夠由可變直流電源50來對GND區塊91施加電壓,且設有被接地的導電性輔助構件91b,其係使負的直流電壓被施加於GND區塊91時所發生的直流電子電流流入。切換機構53具有:在整合器46側與GND區塊91側之間切換可變直流電源50的連接之第1開關53a,及開啟.關閉往GND區塊91的接地線的連接之第2開關53b。另外,在圖16的例中,GND區塊91會被環狀設置於上部電極34的外側,導電性輔助構件91b會被設置於基座16的外周,此配置雖理想,但並非一定要如此的配置。
在圖16之構成的裝置中,電漿蝕刻時,通常如圖17A所示,切換機構53的第1開關53a會被連接至上部電極34側,可變直流電源50會形成連接至上部電極34側的狀態,且第2開關53b會被開啟,GND區塊91會被連接至接地線側。在此狀態中,由第1高頻電源48及可變直流電源50來給電至上部電極34,而形成電漿,直流電子電流是經由電漿來從上部電極34流入所被接地的GND區塊91及導電性輔助構件91b(與正離子電流的流向呈相反)。此刻,GND區塊91的表面是被上述Y2 O3 或聚合物等的附著物所覆蓋。
因此,會清潔如此的附著物。在進行如此的清潔時,如圖17B所示,將切換機構53的第1開關53a切換至GND區塊91側,關閉第2開關53b。在此狀態中,由第1高頻電源48來給電至上部電極34,而形成清潔電漿,由可變直流電源50來對GND區塊91施加負的直流電壓。藉此,直流電子電流是由GND區塊91來流入導電性輔助構件91b。相反的,正離子是流入GND區塊91。因此,可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而得以離子濺射GND區塊91表面,藉此能夠去除GND區塊91表面的附著物。
此外,在電漿蝕刻時的部份期間,如圖18所示,亦可關閉第2開關53b,使GND區塊91成為浮動狀態。此刻,直流電子電流是經由電漿來從上部電極34流入導電性輔助構件91a(與正離子電流的流向呈相反)。此刻在GND區塊91中施以自我偏壓電壓,以部份的能量來射入正離子,可在電漿蝕刻時清潔GND區塊91。
另外,在上述清潔時,所施加的直流電壓可為較小,此刻的直流電子電流小。因此,在圖16的構成中,可藉洩漏電流在GND區塊91中電荷不會積存時,並非一定要導電性輔助構件91b。
在上述圖16的例子中,清潔時,將可變直流電源50的連接從上部電極34側切換至GND電極91側,施加直流電壓時的直流電子電流會從GND區塊91來流至導電性輔助構件91b,但亦可將可變直流電源50的正極連接至上部電極34,將負極連接至GND區塊91,在施加直流電壓時的直流電子電流會從GND區塊91來流至上部電極34。此情況,不需要導電性輔助構件。將如此的構成顯示於圖19。在圖19的構成中,設有連接切換機構57,其係於電漿蝕刻時,可變直流電源50的負極會被連接至上部電極34,且GND區塊91會被連接至接地線,清潔時,可變直流電源50的正極會被連接至上部電極34,負極會被連接至GND區塊91。
此連接切換機構57具有:第1開關57a,其係於正極與負極之間切換對上部電極34之可變直流電源50的連接;第2開關57b,其係於正極與負極之間切換對GND區塊91之可變直流電源50的連接;及第3開關57c,其係用以使可變直流電源50的正極或負極接地。
又,第1開關57a與第2開關57b是構成連動開關,亦即當第1開關57a連接至可變直流電源50的正極時,第2開關57b會被連接至直流電源的負極,當第1開關57a連接至可變直流電源50的負極時,第2開關57b會形成關閉。
在圖19之構成的裝置中,在電漿蝕刻時,如圖20A所示,連接切換機構57的第1開關57a會被連接至可變直流電源50的負極側,可變直流電源50的負極會形成連接至上部電極34側的狀態,且第2開關57b會被連接至可變直流電源50的正極側,第3開關57c會被連接至可變直流電源50的正極側(使可變直流電源50的正極接地),GND區塊91會被連接至接地線側。在此狀態中,由第1高頻電源48及可變直流電源50來給電至上部電極34而形成電漿,直流電子電流是經由電漿來從上部電極34流入被接地的GND區塊91(與正離子電流的流向呈相反)。此刻,GND區塊91的表面是被上述Y2 O3 或聚合物等的附著物所覆蓋。
另一方面,在清潔時,如圖20B所示,將連接切換機構57的第1開關57a切換至可變直流電源50的正極側,將第2開關57b切換至可變直流電源50的負極側,且使第3開關57c成為未連接狀態。在此狀態中,從第1高頻電源48來給電至上部電極34而形成清潔電漿,在GND區塊91中從可變直流電源50的負極來施加直流電壓,在上部電極34中從可變直流電源50的正極來施加直流電壓,藉由該等之間的電位差,直流電子電流會從GND區塊91來流入上部電極34,相反的,正離子會流入GND區塊91。因此,可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而使能夠離子濺射GND區塊91表面,藉此可去除GND區塊91表面的附著物。另外,此情況,雖可變直流電源50看起來為浮動狀態,但一般在電源設有幀接地線,因此安全。
又,上述例中雖使第3開關57c成為未連接狀態,但亦可維持連接於可變直流電源50的正極側(使可變直流電源50的正極接地)。在此狀態中,從第1高頻電源48來給電至上部電極34而形成清潔電漿,在GND區塊91中從可變直流電源50的負極來施加直流電壓,直流電子電流會經由電漿來從GND區塊91流入土部電極34,相反的,正離子會流入GND區塊91。在此情況中,亦可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而使能夠離子濺射GND區塊91表面,藉此可去除GND區塊91表面的附著物。
另外,就圖16及圖19的例子而言,在清潔時,是在GND區塊91中施加直流電壓,但亦可施加交流電壓。並且,在圖16的例子中,是使用供以在上部電極施加直流電壓的可變直流電源50來對GND區塊91施加電壓,但亦可由別的電源來施加電壓。此外,就圖16及圖19的例子而言,在電漿蝕刻時,是使GND區塊91接地,在清潔時,是在GND區塊91施加負的直流電壓,但並非限於此。例如,在電漿蝕刻時,亦可在GND區塊91施加負的直流電壓。又,亦可將上述清潔時置換成灰化時。又,使用雙極電源來作為可變直流電源50時,不需要上述連接切換機構57那樣複雜的開關動作。
圖16之例的切換機構53,圖19之例的連接切換機構57的切換動作是根據來自控制部95的指令進行。
在形成電漿時,由簡易防止因Y2 O3 或聚合物附著於GND區塊91而造成無法DC性接地的觀點來看,其有效方法,是以其他的構件來覆蓋GND區塊91的一部份,使該等相對移動,而令GND區塊91的新的面能夠露出。具體而言,如圖21所示,GND區塊91為較大面積,以能夠移動於箭號方向的光罩材111來覆蓋GND區塊91所接觸電漿的表面一部份,可藉由移動該保護板111來改變GND區塊91表面所暴露於電漿的部分。此情況,若將驅動機構設置於處理室10內,則會有引起粒子發生之虞,但由於使用頻度少,百小時使用一次,因此不會發生大問題。並且,如圖22所示,例如將圓柱狀的GND區塊191設成可旋轉,以只有GND區塊191的外周面的一部份能夠露出之方式,以光罩材112來覆蓋,可藉由旋轉GND區塊191來改變暴露於電漿的部分。此情況,驅動機構可設置於處理室10外。光罩材111,112可使用耐電漿性高,例如溶射Y2 O3 等的陶瓷的鋁板。 又,同様用以簡易防止GND區塊91因附著物而無法DC性接地的其他有效手法,是以其他的構成來覆蓋GND區塊91的一部份,其他的構件為使用藉由電漿而慢慢地被蝕刻者,使GND區塊91一直未失去導電性的面能夠露出。例如圖23A所示,以附階差的保護膜113來覆蓋GND區塊91表面的一部份,使初期露出面91c具有接地機能。若在此狀態下例如進行200小時的電漿處理,則如圖23B所示,雖GND區塊91的初期露出面91c會失去導電性,但此刻附階差的保護膜113的較薄部分會被蝕刻,而使GND區塊91的新露出面91d能夠出現。藉此新露出面91d可發揮接地機能。如此的保護膜113具有可防止壁面材料附著於GND區塊91的效果、及減少離子流入GND區塊91,而來防止汚染的效果。
在實際的使用中,如圖24所示,最好多數積層較薄的層114,使用各層錯開少些的保護膜113a。此情況,若將1個層114藉由電漿的蝕刻而消失的時間設為Te,將GND區塊91的露出表面被汚染而消失導電性為止的時間設為Tp,則以一定能夠滿足Te<Tp的方式來設定層114的厚度,可在GND區塊91中經常確保保持導電性的表面。就層114的數量而言,最好是以GND區塊91的壽命比維修的周期更長的方式來選擇。又,為了提高維修性,如圖示,可事先設置1層與其他不同顏色的層114a,例如在該膜114a形成一定面積以上的時間點進行交換,而使能夠掌握交換時期。
就保護膜113,113a而言,最好為電漿所能適度蝕刻者,例如可適用光阻劑膜。
又,用以簡易防止GND區塊91因附著物而無法DC性接地的其他方法,可舉一設置複數個GND區塊91,依次切換其中可使接地機能奏效者。例如圖25所示,設置3個GND區塊91,以只能夠使該等的一個接地之方式來設置切換開關115。並且,在共通的接地線116事先設置電流感測器117,監控流動於此的直流電流。以電流感測器117來監控所被接地之GND區塊91的電流,在其電流值低於所定值的時間點,切換成別的GND區塊91。另外,GND區塊91的數量,只要在3~10個程度的範圍內選擇適當的數量即可。
在以上的例子中,未被接地的GND區塊是形成電性浮動狀態,但由保護未使用的GND區塊的觀點來看,亦可取代設置切換開關115,而施加保護用的電位。將該例顯示於圖26。就圖26而言,是在個別連接於各GND區塊91的接地線118分別設置可變直流電源119。藉此,以使應發揮接地機能的GND區塊91的電壓能夠形成0V之方式來控制所對應之可變直流電源119的電壓,有關其他的GND區塊91,則是以能夠形成電流未流動的電壓,例如形成100V之方式來控制所對應之可變直流電源119的電壓。而且,在連接於應使發揮接地機能的GND區塊91的接地線118所被設置的電流感測器117的電流值形成比所定值更低的時間點,判斷成接地機能未奏效,而將對應於別的GND區塊91的可變直流電源119的電壓值控制成該GND區塊可發揮接地機能的值。
另外,藉由使如此來自直流電源119的施加電壓形成-1kV程度的負值,可使所連接的GND區塊119具有作為用以對電漿賦予直流電壓的電極之機能。但,若該值太大,則亦會對電漿造成不良影響。並且,藉由控制施加於GND區塊119的電壓,可發揮對GND區塊119的清潔效果。
又,若舉例顯示上述第1高頻電力及第2高頻電力所能採取的頻率,則第1高頻電力可舉13.56MHz,27MHz,40MHz,60MHz,80MHz,100MHz,160MHz,第2高頻電力可舉380kHz,800kHz,1MHz,2MHz,3.2MHz,13.56MHz,可按照製程以適當的組合使用。
又,以上雖是以電漿蝕刻裝置為例來進行說明,但亦可適用於其他使用電漿來處理半導體基板的裝置。例如可舉電漿成膜裝置。
10...處理室(處理容器)
10a...接地導體
11...堆積物屏蔽
12...絕緣板
14...基座支持台
16...基座(下部電極)
18...静電卡盤
20...電極
22...直流電源
24...調焦環(修正環)
26...內壁構件
28...冷媒室
30a、30b...配管
34...上部電極
36...電極板
38...電極支持體
40...氣體擴散室
42...絕緣性遮蔽構件
50...可變直流電源
51...控制器
52...中繼開關
53...切換機構
53a...第1開關
53b...第2開關
57...連接切換機構
57a...第1開關
57b...第2開關
57c...第3開關
62...氣體導入口
64...氣體供給管
66...處理氣體供給源
68...質量流量控制器(MFC)
70...開閉閥
80...排氣口
82...排氣管
83...排氣板
84...排氣裝置
85...搬入出口
86...閘閥
88...第1高頻電源
89...整合器
90...第2高頻電源
91,191...GND區塊
91b...導電性輔助構件
95...控制部(全體控制裝置)
96...使用者介面
97...記憶部
111、112...光罩材
113、113a...保護膜
114...薄層
115...切換開關
116...接地線
117...電流感測器
118...接地線
119...可變直流電源
501、502、503...電容器
圖1是表示本發明之一實施形態的電漿蝕刻裝置的概略剖面圖。
圖2是表示本發明之一實施形態的電漿蝕刻裝置的概略剖面圖。
圖3A-B是表示在圖2的電漿蝕刻裝置中,對上部電極施加直流電壓時之Vd c 及電漿外皮厚的變化。
圖4A-D是表示在圖2的電漿蝕刻裝置中,利用HARC蝕刻的條件,使施加的直流電壓變化時的電子密度的變化。
圖5A-D是表示在圖2的電漿蝕刻裝置中,利用Via蝕刻的條件,使施加的直流電壓變化時的電子密度的變化。
圖6是表示在上述HARC蝕刻,第1高頻電力為3000W,第2高頻電力為4000W時之晶圓徑方向的電子密度分布。
圖7是表示利用溝蝕刻的條件,施加直流電壓時與未施加時,測定晶圓徑方向的電子密度分布的結果。
圖8是表示圖2的電漿蝕刻裝置之上部電極的電性狀態。
圖9是表示圖2的電漿蝕刻裝置之上部電極的電性狀態。
圖10是表示圖2的電漿蝕刻裝置之上部電極的電性狀態。
圖11是表示在圖2的電漿蝕刻裝置中,設置檢測出電漿的檢測器的狀態剖面圖。
圖12是表示在圖1的電漿蝕刻裝置中,往上部電極施加直流電壓時,用以抑止異常放電的波形。
圖13是表示GND區塊的其他配置例的概略圖。
圖14是表示GND區塊的另外其他配置例的概略圖。
圖15A-B是用以說明GND區塊的附著物防止例。
圖16是表示可去除GND區塊的附著物的裝置構成例的概略圖。
圖17A-B是用以說明在圖18的裝置的電漿蝕刻時的狀態與清潔時的狀態概略圖。
圖18是表示在圖18的裝置的電漿蝕刻時的其他狀態概略圖。
圖19是表示可去除GND區塊的附著物的裝置構成的其他例概略圖。
圖20A-B是用以說明在圖21的裝置的電漿蝕刻時的狀態與清潔時的狀態概略圖。
圖21是表示具備防止不被DC性接地的機能之GND區塊的一例模式圖。
圖22是表示具備防止不被DC性接地的機能之GND區塊的其他例模式圖。
圖23A-B是表示具備防止不被DC性接地的機能之GND區塊的另外其他例模式圖。
圖24是表示具備防止不被DC性接地的機能之GND區塊的另外其他例模式圖。
圖25是表示具備防止不被DC性接地的機能之GND區塊的另外其他例模式圖。
圖26是表示具備防止不被DC性接地的機能之GND區塊的另外其他例模式圖。
10...處理室(處理容器)
16...基座(下部電極)
34...上部電極
50...可變直流電源
88...第1高頻電源
90...第2高頻電源

Claims (57)

  1. 一種電漿處理裝置,其特徵係具備:收容有被處理基板,可真空排氣之處理容器;在處理容器內對向配置之第1電極及支持被處理基板之第2電極;在上述第2電極施加高於第2高頻電力的頻率的第1高頻電力之第1高頻電力施加單元;在上述第2電極施加低於第1高頻電力的頻率的第2高頻電力之第2高頻電力施加單元;在上述第1電極施加直流電壓之直流電源;及在上述處理容器內供給處理氣體之處理氣體供給單元,為了使根據施加於上述第1電極之來自上述直流電源的直流電壓之電流經由電漿而逃離,而於上述處理容器內設置常時接地的導電性構件。
  2. 如申請專利範圍第1項之電漿處理裝置,其中上述直流電源係往上述第1電極的施加電壓,施加電流及施加電力的任一個為可變。
  3. 如申請專利範圍第1項之電漿處理裝置,其中更具備:控制從上述直流電源往上述第1電極的施加電壓,施加電流及施加電力的任一個之控制裝置。
  4. 如申請專利範圍第3項之電漿處理裝置,其中上述控制裝置係控制可否從上述直流電源往上述第1電極施加直流電壓。
  5. 如申請專利範圍第3項之電漿處理裝置,其中更具備:檢測出所被生成的電漿的狀態之檢測器,上述控制裝置會根據該檢測器的資訊來控制從上述直流電源往上述第1電極的施加電壓,施加電流及施加電力的任一個。
  6. 如申請專利範圍第1項之電漿處理裝置,其中上述第1電極為上部電極,上述第2電極為下部電極。
  7. 如申請專利範圍第6項之電漿處理裝置,其中施加於上述第2電極的第1高頻電力的頻率為27MHz以上。
  8. 如申請專利範圍第7項之電漿處理裝置,其中施加於上述第2電極的第2高頻電力的頻率為40MHz以上。
  9. 如申請專利範圍第6項之電漿處理裝置,其中施加於上述第2電極的第2高頻電力的頻率為13.56MHz以下。
  10. 如申請專利範圍第1項之電漿處理裝置,其中上述直流電源係施加-2000~+1000V的範圍的電壓。
  11. 如申請專利範圍第1項之電漿處理裝置,其中上述第1電極之與上述第2電極的對向面係以矽含有物質來形成。
  12. 如申請專利範圍第1項之電漿處理裝置,其中上述第1電極係對接地電位呈直流性浮動狀態。
  13. 如申請專利範圍第12項之電漿處理裝置,其中具有可改變上述第1電極成浮動狀態或接地狀態之可變裝 置,根據來自全體控制裝置的指令,在上述第1電極施加直流電壓時,上述可變裝置係使上述第1電極對接地電位成為浮動狀態,在上述第1電極未施加直流電壓時,上述可變裝置係使上述第1電極對接地電位成為浮動狀態或接地狀態。
  14. 如申請專利範圍第1項之電漿處理裝置,其中上述第1電極為上部電極,上述第2電極為下部電極,上述導電性構件係設置於上述第2電極的周圍。
  15. 如申請專利範圍第1項之電漿處理裝置,其中上述第1電極為上部電極,上述第2電極為下部電極,上述導電性構件係設置於上述第1電極的近傍。
  16. 如申請專利範圍第15項之電漿處理裝置,其中上述導電性構件係環狀配置於上述第1電極的外側。
  17. 如申請專利範圍第1項之電漿處理裝置,其中上述導電性構件係具有用以防止電漿處理時的飛翔物附著之凹處。
  18. 如申請專利範圍第1項之電漿處理裝置,其中具有覆蓋上述導電性構件的一部份之保護板,藉由使上述保護板對上述導電性構件相對移動的驅動機構,使上述導電性構件露出於電漿的部份變化。
  19. 如申請專利範圍第1項之電漿處理裝置,其中上述導電性構件為部份露出於電漿的圓柱形狀,藉由使上述導電性構件以圓柱的軸為中心而旋轉的驅動機構,使上述導電性構件露出於電漿的部份變化。
  20. 如申請專利範圍第1項之電漿處理裝置,其中具有階差形狀的保護膜,該保護膜係具有覆蓋上述導電性構件的一部份且可藉電漿蝕刻取得的材質,藉由上述保護膜的蝕刻,使上述導電性構件露出於電漿的部份變化。
  21. 一種電漿處理裝置,其特徵係具備:收容有被處理基板,可真空排氣之處理容器;在處理容器內對向配置之第1電極及支持被處理基板之第2電極;在上述第2電極施加高於第2高頻電力的頻率的第1高頻電力之第1高頻電力施加單元;在上述第2電極施加低於第1高頻電力的頻率的第2高頻電力之第2高頻電力施加單元;在上述第1電極施加直流電壓之直流電源;及在上述處理容器內供給處理氣體之處理氣體供給單元,為了使根據施加於上述第1電極之來自上述直流電源的直流電壓之電流經由電漿而逃離,而於上述處理容器內設置根據來自全體控制裝置的指令而接地的導電性構件。
  22. 如申請專利範圍第21項之電漿處理裝置,其中上述第1電極為上部電極,上述第2電極為下部電極,上述導電性構件係設置於上述第2電極的周圍。
  23. 如申請專利範圍第21項之電漿處理裝置,其中上述第1電極為上部電極,上述第2電極為下部電極,上述導電性構件係配置於上述第1電極的近傍。
  24. 如申請專利範圍第23項之電漿處理裝置,其中上述導電性構件係環狀配置於上述第1電極的外側。
  25. 如申請專利範圍第21項之電漿處理裝置,其中上述導電性構件係具有用以防止電漿處理時的飛翔物附著之凹處。
  26. 如申請專利範圍第21項之電漿處理裝置,其中上述導電性構件係於電漿蝕刻時被接地。
  27. 如申請專利範圍第21項之電漿處理裝置,其中在上述導電性構件可施加直流電壓或交流電壓,根據來自全體控制裝置的指令,施加直流電壓或交流電壓,而濺射或蝕刻其表面。
  28. 如申請專利範圍第27項之電漿處理裝置,其中上述導電性構件係於清潔時被施加直流電壓或交流電壓。
  29. 如申請專利範圍第27項之電漿處理裝置,其中更具備在上述直流電源側與接地線切換上述導電性構件的連接之切換機構,藉由上述切換機構來將上述導電性構件連接至上述直流電源側時,由上述直流電源來對上述導電性構件施加直流電壓或交流電壓,而濺射或蝕刻其表面。
  30. 如申請專利範圍第27項之電漿處理裝置,其中在上述導電性構件可施加負的直流電壓。
  31. 如申請專利範圍第30項之電漿處理裝置,其中在上述處理容器內,為了在上述導電性構件施加負的直流電壓時,排出流入上述處理容器內的直流電子電流,而設 置被接地的導電性輔助構件。
  32. 如申請專利範圍第31項之電漿處理裝置,其中上述第1電極為上部電極,上述第2電極為下部電極,上述導電性構件係配置於上述第1電極的近傍,上述導電性輔助構件係設置於上述第2電極的周圍。
  33. 如申請專利範圍第21項之電漿處理裝置,其中更具備連接切換機構,其係於上述處理容器內設置導電性構件,該導電性構件係根據來自全體控制裝置的指令,取第1狀態及第2狀態的其中之一,該第1狀態係為了使供給至上述第1電極之來自上述直流電源的直流電流經由電漿逃離而接地,該第2狀態係由上述直流電源施加直流電壓而濺射或蝕刻其表面,可在上述直流電源的負極被連接至上述第1電極,且上述導電性構件被連接至接地線的第1連接,及上述直流電源的正極被連接至上述第1電極,上述直流電源的負極被連接至上述導電性構件的第2連接之間進行切換,可藉由該切換來分別形成上述第1狀態及上述第2狀態。
  34. 如申請專利範圍第33項之電漿處理裝置,其中上述第1狀態係形成於電漿蝕刻時,上述第2狀態係形成於上述導電性構件的清潔時。
  35. 一種電漿處理方法,係於處理容器內,使第1電極及支持被處理基板的第2電極對向配置,一邊在上述第2電極施加高於第2高頻電力的頻率的第1高頻電力及低於第1高頻電力的頻率的第2高頻電力,一邊在上述處理 容器內供給處理氣體,使該處理氣體的電漿生成,而在被支持於上述第2電極的被處理基板實施電漿處理,其特徵為具有:在上述第1電極施加直流電壓之工程;及一邊在上述第1電極施加直流電壓,一邊在上述被處理基板實施電漿處理之工程,在上述處理容器內設置常時接地的導電性構件,而使根據施加於上述第1電極的直流電壓之電流經由電漿而逃離。
  36. 如申請專利範圍第35項之電漿處理方法,其中往上述第1電極的施加電壓,施加電流及施加電力的任一個為可變。
  37. 如申請專利範圍第36項之電漿處理方法,其中控制往上述第1電極的施加電壓,施加電流及施加電力的任一個。
  38. 如申請專利範圍第37項之電漿處理方法,其中控制可否施加直流電壓至上述第1電極。
  39. 如申請專利範圍第37項之電漿處理方法,其中檢測出所被生成的電漿的狀態,根據該檢測資訊來控制往上述第1電極的施加電壓,施加電流及施加電力的任一個。
  40. 如申請專利範圍第35項之電漿處理方法,其中上述第1電極係對接地電位呈直流性浮動狀態。
  41. 如申請專利範圍第40項之電漿處理方法,其中 上述第1電極可變成浮動狀態或接地狀態,根據來自全體控制裝置的指令,在上述第1電極施加直流電壓時,使上述第1電極對接地電位成為浮動狀態,在上述第1電極未施加直流電壓時,使上述第1電極對接地電位成為浮動狀態或接地狀態。
  42. 如申請專利範圍第35項之電漿處理方法,其中在蝕刻被支持於上述第2電極的被處理基板的絶緑膜時,為了擴大上述絶緑膜之與基底膜的選擇比,上述處理氣體為使用C5 F8 ,Ar,N2 ,或C4 F8 ,Ar,N2 ,或C4 F8 ,Ar,N2 ,O2 ,或C4 F8 ,Ar,N2 ,CO的任一組合。
  43. 如申請專利範圍第35項之電漿處理方法,其中在蝕刻被支持於上述第2電極的被處理基板的絶緑膜時,為了擴大上述絶緑膜之與光罩的選擇比,上述處理氣體為使用CF4 或CF4 ,Ar或N2 ,H2 的任一組合。
  44. 如申請專利範圍第35項之電漿處理方法,其中在蝕刻被支持於上述第2電極的被處理基板的絶緑膜上的有機反射防止膜時,上述處理氣體為使用CF4 或CF4 ,C3 F8 或CF4 ,C4 F8 或CF4 ,C4 F6 的任一組合。
  45. 如申請專利範圍第35項之電漿處理方法,其中在蝕刻被支持於上述第2電極的被處理基板的絶緑膜時,為了增大上述絶緑膜的蝕刻速度,上述處理氣體為使用C4 F6 ,CF4 ,Ar,O2 ,或C4 F6 ,C3 F8 ,Ar,O2 ,或C4 F6 ,C4 F8 ,Ar,O2 或C4 F6 ,C2 F6 ,Ar,O2 或C4 F8 ,Ar,O2 ,或C4 F8 ,Ar,O2 的任一組合。
  46. 一種電漿處理方法,係於處理容器內,使第1電極及支持被處理基板的第2電極對向配置,一邊在上述第2電極施加高於第2高頻電力的頻率的第1高頻電力及低於第1高頻電力的頻率的第2高頻電力,一邊在上述處理容器內供給處理氣體,使該處理氣體的電漿生成,而在被支持於上述第2電極的被處理基板實施電漿處理,其特徵為具有:在上述第1電極施加直流電壓之工程;及一邊在上述第1電極施加直流電壓,一邊在上述被處理基板實施電漿處理之工程,在上述處理容器內設置根據來自全體控制裝置的指令而接地的導電性構件,而使根據施加於上述第1電極的直流電壓之電流經由電漿而逃離。
  47. 如申請專利範圍第46項之電漿處理方法,其中上述導電性構件係於電漿蝕刻時被接地。
  48. 如申請專利範圍第46項之電漿處理方法,其中在上述導電性構件可施加直流電壓或交流電壓,根據來自全體控制裝置的指令,施加直流電壓或交流電壓,而濺射或蝕刻其表面。
  49. 如申請專利範圍第48項之電漿處理方法,其中上述導電性構件係於清潔時被施加直流電壓或交流電壓。
  50. 如申請專利範圍第48項之電漿處理方法,其中更具備在上述直流電源側與接地線切換上述導電性構件的連接之切換機構, 藉由上述切換機構來將上述導電性構件連接至上述直流電源側時,由上述直流電源來對上述導電性構件施加直流電壓或交流電壓,而濺射或蝕刻其表面。
  51. 如申請專利範圍第48項之電漿處理方法,其中在上述導電性構件可施加負的直流電壓。
  52. 如申請專利範圍第51項之電漿處理方法,其中在上述處理容器內,為了在上述導電性構件施加負的直流電壓時,排出流入上述處理容器內的直流電子電流,而設置被接地的導電性輔助構件。
  53. 如申請專利範圍第46項之電漿處理方法,其中在蝕刻被支持於上述第2電極的被處理基板的絶緑膜時,為了擴大上述絶緑膜之與基底膜的選擇比,上述處理氣體為使用C5 F8 ,Ar,N2 ,或C4 F8 ,Ar,N2 ,或C4 F8 ,Ar,N2 ,O2 ,或C4 F8 ,Ar,N2 ,CO的任一組合。
  54. 如申請專利範圍第46項之電漿處理方法,其中在蝕刻被支持於上述第2電極的被處理基板的絶緑膜時,為了擴大上述絶緑膜之與光罩的選擇比,上述處理氣體為使用CF4 或CF4 ,Ar或N2 ,H2 的任一組合。
  55. 如申請專利範圍第46項之電漿處理方法,其中在蝕刻被支持於上述第2電極的被處理基板的絶緑膜上的有機反射防止膜時,上述處理氣體為使用CF4 或CF4 ,C3 F8 或CF4 ,C4 F8 或CF4 ,C4 F6 的任一組合。
  56. 如申請專利範圍第46項之電漿處理方法,其中在蝕刻被支持於上述第2電極的被處理基板的絶緑膜時, 為了增大上述絶緑膜的蝕刻速度,上述處理氣體為使用C4 F6 ,CF4 ,Ar,O2 ,或C4 F6 ,C3 F8 ,Ar,O2 ,或C4 F6 ,C4 F8 ,Ar,O2 或C4 F6 ,C2 F6 ,Ar,O2 或C4 F8 ,Ar,O2 ,或C4 F8 ,Ar,O2 的任一組合。
  57. 一種電腦記憶媒體,係記憶有在電腦上動作的控制程式,其特徵為:上述控制程式係控制電漿處理裝置,使於執行時能夠進行申請專利範圍第35項所記載之電漿處理方法,在上述處理容器內設置常時接地的導電性構件,而使根據施加於上述第1電極的直流電壓之電流經由電漿而逃離。
TW094120663A 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium TWI447803B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004183093 2004-06-21
JP2005013912 2005-01-21
JP2005045095 2005-02-22

Publications (2)

Publication Number Publication Date
TW200614368A TW200614368A (en) 2006-05-01
TWI447803B true TWI447803B (zh) 2014-08-01

Family

ID=35509997

Family Applications (4)

Application Number Title Priority Date Filing Date
TW094120653A TWI447802B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
TW102126893A TWI574318B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
TW094120663A TWI447803B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium
TW094120664A TW200612488A (en) 2004-06-21 2005-06-21 Plasma processing apparatus, method thereof, and computer readable memory medium

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW094120653A TWI447802B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
TW102126893A TWI574318B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW094120664A TW200612488A (en) 2004-06-21 2005-06-21 Plasma processing apparatus, method thereof, and computer readable memory medium

Country Status (6)

Country Link
EP (5) EP1780777A4 (zh)
JP (6) JP5349445B2 (zh)
KR (8) KR101247857B1 (zh)
CN (6) CN102263001B (zh)
TW (4) TWI447802B (zh)
WO (1) WO2005124844A1 (zh)

Families Citing this family (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI447802B (zh) * 2004-06-21 2014-08-01 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
JP4911982B2 (ja) * 2006-02-06 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びガス供給制御方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
JP2007234770A (ja) * 2006-02-28 2007-09-13 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
JP4972327B2 (ja) * 2006-03-22 2012-07-11 東京エレクトロン株式会社 プラズマ処理装置
JP5461759B2 (ja) * 2006-03-22 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
JP5638682B2 (ja) * 2006-03-22 2014-12-10 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4885585B2 (ja) * 2006-03-23 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4885586B2 (ja) * 2006-03-23 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置
US8104428B2 (en) 2006-03-23 2012-01-31 Tokyo Electron Limited Plasma processing apparatus
US8141514B2 (en) 2006-03-23 2012-03-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
JP4884047B2 (ja) * 2006-03-23 2012-02-22 東京エレクトロン株式会社 プラズマ処理方法
JP2007258500A (ja) * 2006-03-24 2007-10-04 Hitachi High-Technologies Corp 基板支持装置
US20080006205A1 (en) 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
JP5323306B2 (ja) * 2006-07-12 2013-10-23 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US8129282B2 (en) 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008078515A (ja) 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
KR100898165B1 (ko) * 2006-11-24 2009-05-19 엘지전자 주식회사 플라즈마 발생장치 및 방법
US7829469B2 (en) * 2006-12-11 2010-11-09 Tokyo Electron Limited Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US8222156B2 (en) 2006-12-29 2012-07-17 Lam Research Corporation Method and apparatus for processing a substrate using plasma
JP5371238B2 (ja) * 2007-12-20 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR100920187B1 (ko) * 2007-12-31 2009-10-06 네스트 주식회사 플라즈마 챔버내의 플라즈마 밀도 분포 제어 방법
JP2009193989A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
KR100911327B1 (ko) * 2008-09-26 2009-08-07 주식회사 테스 플라즈마 발생 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5554047B2 (ja) * 2009-10-27 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置
KR101214758B1 (ko) * 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
US9719177B2 (en) 2010-10-05 2017-08-01 Evatec Ag In-situ conditioning for vacuum processing of polymer substrates
JP5759718B2 (ja) * 2010-12-27 2015-08-05 東京エレクトロン株式会社 プラズマ処理装置
JP5690596B2 (ja) * 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103985659A (zh) * 2011-07-26 2014-08-13 中微半导体设备(上海)有限公司 一种mocvd半导体处理装置及制作方法
WO2013046640A1 (ja) * 2011-09-26 2013-04-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
TWI585837B (zh) * 2011-10-12 2017-06-01 歐瑞康先進科技股份有限公司 濺鍍蝕刻室及濺鍍方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9396900B2 (en) * 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
JP5951324B2 (ja) * 2012-04-05 2016-07-13 東京エレクトロン株式会社 プラズマ処理装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10128118B2 (en) * 2012-09-26 2018-11-13 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control
JP2014082228A (ja) 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101375742B1 (ko) * 2012-12-18 2014-03-19 주식회사 유진테크 기판처리장치
CN103903945B (zh) * 2012-12-24 2016-04-20 中微半导体设备(上海)有限公司 一种稳定脉冲射频的方法
JP6144917B2 (ja) * 2013-01-17 2017-06-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN104051212B (zh) * 2013-03-12 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
JP6357436B2 (ja) 2014-07-25 2018-07-11 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9578731B2 (en) 2014-10-16 2017-02-21 Advanced Energy Industries, Inc. Systems and methods for obtaining information about a plasma load
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TWI632607B (zh) 2015-01-26 2018-08-11 東京威力科創股份有限公司 基板之高精度蝕刻用方法及系統
JP6488150B2 (ja) * 2015-02-27 2019-03-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016178222A (ja) * 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
JP2017010993A (ja) 2015-06-17 2017-01-12 東京エレクトロン株式会社 プラズマ処理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP2016096342A (ja) * 2015-11-26 2016-05-26 東京エレクトロン株式会社 プラズマ処理装置
JP6556046B2 (ja) * 2015-12-17 2019-08-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6643950B2 (ja) * 2016-05-23 2020-02-12 東京エレクトロン株式会社 プラズマ処理方法
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR101909479B1 (ko) * 2016-10-06 2018-10-19 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102195751B1 (ko) 2016-12-14 2020-12-28 가부시키가이샤 아루박 성막 장치 및 성막 방법
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11217434B2 (en) 2016-12-27 2022-01-04 Evatec Ag RF capacitive coupled dual frequency etch reactor
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI620228B (zh) * 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10354844B2 (en) * 2017-05-12 2019-07-16 Asm Ip Holding B.V. Insulator structure for avoiding abnormal electrical discharge and plasma concentration
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
EP3616235A4 (en) 2017-07-07 2021-02-24 Advanced Energy Industries, Inc. INTER-PERIODIC CONTROL SYSTEM FOR PLASMA POWER SUPPLY SYSTEM AND ITS OPERATING PROCESS
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6836976B2 (ja) * 2017-09-26 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102063108B1 (ko) * 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR101967436B1 (ko) 2017-11-10 2019-04-10 에스엠에이치 주식회사 벨트 컨베이어
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7055054B2 (ja) * 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7094154B2 (ja) * 2018-06-13 2022-07-01 東京エレクトロン株式会社 成膜装置および成膜方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6833784B2 (ja) * 2018-09-28 2021-02-24 芝浦メカトロニクス株式会社 プラズマ処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7145041B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562887B2 (en) * 2018-12-10 2023-01-24 Tokyo Electron Limited Plasma processing apparatus and etching method
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11804362B2 (en) 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
CN111354672B (zh) * 2018-12-21 2023-05-09 夏泰鑫半导体(青岛)有限公司 静电卡盘及等离子体加工装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7462383B2 (ja) 2019-04-15 2024-04-05 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7504686B2 (ja) 2020-07-15 2024-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114256046B (zh) * 2020-09-22 2024-07-05 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
JP7489896B2 (ja) 2020-10-22 2024-05-24 東京エレクトロン株式会社 プラズマ処理装置
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN115247257B (zh) * 2021-04-25 2024-01-23 广东聚华印刷显示技术有限公司 成膜装置及膜层的制备方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
JP2024013548A (ja) 2022-07-20 2024-02-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110438A (en) * 1988-01-13 1992-05-05 Tadahiro Ohmi Reduced pressure surface treatment apparatus
US5721021A (en) * 1995-10-11 1998-02-24 Anelva Corporation Method of depositing titanium-containing conductive thin film
JP2000299198A (ja) * 1999-02-10 2000-10-24 Tokyo Electron Ltd プラズマ処理装置
US20010035132A1 (en) * 2000-04-27 2001-11-01 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
EP1193746A1 (en) * 1999-05-06 2002-04-03 Tokyo Electron Limited Apparatus for plasma processing
US20030129835A1 (en) * 2002-01-07 2003-07-10 Applied Materials Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60245213A (ja) * 1984-05-21 1985-12-05 Hitachi Ltd プラズマ処理装置
JPS61199078A (ja) * 1985-02-28 1986-09-03 Anelva Corp 表面処理装置
JP2574838B2 (ja) * 1988-01-18 1997-01-22 株式会社日立製作所 Alのスパッタエッチング装置
JPH04279044A (ja) * 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
JPH06279044A (ja) * 1993-03-29 1994-10-04 Fujikura Ltd 光ファイバ母材の製造方法
JP3247491B2 (ja) * 1993-05-19 2002-01-15 東京エレクトロン株式会社 プラズマ処理装置
JP3236724B2 (ja) * 1993-11-30 2001-12-10 東京エレクトロン株式会社 真空処理装置
JPH07211489A (ja) * 1994-01-21 1995-08-11 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置及び該装置のクリーニング方法
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
JP3438003B2 (ja) * 1994-04-20 2003-08-18 東京エレクトロン株式会社 プラズマ処理装置
JP3520577B2 (ja) * 1994-10-25 2004-04-19 株式会社日立製作所 プラズマ処理装置
JPH07207471A (ja) * 1994-12-05 1995-08-08 Hitachi Ltd プラズマエッチング装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH08319588A (ja) * 1996-06-17 1996-12-03 Hitachi Ltd プラズマエッチング装置
JPH1012597A (ja) * 1996-06-20 1998-01-16 Hitachi Ltd プラズマエッチング装置及びプラズマエッチング方法
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220394B2 (ja) * 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
JP4008077B2 (ja) * 1997-10-01 2007-11-14 キヤノンアネルバ株式会社 プラズマ処理装置及び静電吸着機構
JP2000164583A (ja) * 1998-06-24 2000-06-16 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
JP4230029B2 (ja) 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
JP2000306891A (ja) * 1999-04-22 2000-11-02 Hitachi Ltd プラズマ処理装置
JP4831853B2 (ja) * 1999-05-11 2011-12-07 東京エレクトロン株式会社 容量結合型平行平板プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JP2000328248A (ja) * 1999-05-12 2000-11-28 Nissin Electric Co Ltd 薄膜形成装置のクリーニング方法及び薄膜形成装置
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
JP3920015B2 (ja) * 2000-09-14 2007-05-30 東京エレクトロン株式会社 Si基板の加工方法
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6806201B2 (en) * 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
JP2002110650A (ja) * 2000-10-03 2002-04-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
JP2002270586A (ja) 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
WO2002097855A1 (en) * 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP2003077896A (ja) * 2001-08-31 2003-03-14 Tokyo Electron Ltd エッチング方法
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
JP4326746B2 (ja) * 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
JP2004095663A (ja) * 2002-08-29 2004-03-25 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
CN1228820C (zh) * 2002-09-04 2005-11-23 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
TWI447802B (zh) * 2004-06-21 2014-08-01 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110438A (en) * 1988-01-13 1992-05-05 Tadahiro Ohmi Reduced pressure surface treatment apparatus
US5721021A (en) * 1995-10-11 1998-02-24 Anelva Corporation Method of depositing titanium-containing conductive thin film
JP2000299198A (ja) * 1999-02-10 2000-10-24 Tokyo Electron Ltd プラズマ処理装置
EP1193746A1 (en) * 1999-05-06 2002-04-03 Tokyo Electron Limited Apparatus for plasma processing
US20010035132A1 (en) * 2000-04-27 2001-11-01 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US20030129835A1 (en) * 2002-01-07 2003-07-10 Applied Materials Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source

Also Published As

Publication number Publication date
TW201403709A (zh) 2014-01-16
KR20120009514A (ko) 2012-01-31
JP2015207790A (ja) 2015-11-19
EP2479783B1 (en) 2018-12-12
JP2015005755A (ja) 2015-01-08
KR100952521B1 (ko) 2010-04-12
KR101180125B1 (ko) 2012-09-05
KR20070022781A (ko) 2007-02-27
EP3128538B1 (en) 2019-12-04
EP2479783A2 (en) 2012-07-25
CN102263026B (zh) 2016-01-20
KR101270285B1 (ko) 2013-05-31
KR20120009512A (ko) 2012-01-31
CN102263026A (zh) 2011-11-30
KR101250717B1 (ko) 2013-04-03
JP5491358B2 (ja) 2014-05-14
JP2011097063A (ja) 2011-05-12
TWI358764B (zh) 2012-02-21
KR20120107520A (ko) 2012-10-02
TW200614367A (en) 2006-05-01
KR101248709B1 (ko) 2013-04-02
JP5714048B2 (ja) 2015-05-07
KR101248691B1 (ko) 2013-04-03
EP2479782A3 (en) 2014-08-27
JP2011049592A (ja) 2011-03-10
TWI447802B (zh) 2014-08-01
EP2479782A2 (en) 2012-07-25
CN102157372B (zh) 2012-05-30
JP2011049591A (ja) 2011-03-10
CN102256431B (zh) 2014-09-17
CN102263001A (zh) 2011-11-30
EP2479782B1 (en) 2018-12-19
CN102270577A (zh) 2011-12-07
EP1780777A1 (en) 2007-05-02
CN102256431A (zh) 2011-11-23
KR20070020142A (ko) 2007-02-16
JP5349445B2 (ja) 2013-11-20
KR20120009516A (ko) 2012-01-31
EP2479783A3 (en) 2014-09-03
JP5976898B2 (ja) 2016-08-24
KR101247857B1 (ko) 2013-03-26
EP2479784A2 (en) 2012-07-25
CN102157372A (zh) 2011-08-17
CN102256432A (zh) 2011-11-23
KR101247833B1 (ko) 2013-03-26
CN102256432B (zh) 2014-10-29
KR20120009513A (ko) 2012-01-31
EP2479784B1 (en) 2018-12-19
JP6029623B2 (ja) 2016-11-24
CN102270577B (zh) 2014-07-23
JP5491359B2 (ja) 2014-05-14
KR20120009515A (ko) 2012-01-31
EP1780777A4 (en) 2009-12-16
EP3128538A1 (en) 2017-02-08
WO2005124844A1 (ja) 2005-12-29
CN102263001B (zh) 2016-08-03
EP2479784A3 (en) 2014-09-03
JP2013191857A (ja) 2013-09-26
TW200612488A (en) 2006-04-16
TW200614368A (en) 2006-05-01
TWI574318B (zh) 2017-03-11

Similar Documents

Publication Publication Date Title
TWI447803B (zh) A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium
US10529539B2 (en) Plasma processing apparatus and method
JP5036143B2 (ja) プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP4827081B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP4672456B2 (ja) プラズマ処理装置
JP4672455B2 (ja) プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
TWI544546B (zh) Plasma processing device and plasma processing method
US7740737B2 (en) Plasma processing apparatus and method
TWI424792B (zh) Plasma processing device and plasma processing method
KR101916459B1 (ko) 플라즈마 에칭 방법 및 기억 매체
KR20140051282A (ko) 플라즈마 에칭 방법
JP2008078515A (ja) プラズマ処理方法
JP5674280B2 (ja) プラズマ処理装置
JP2007234770A (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2003068709A (ja) ドライエッチング方法
JP2024017869A (ja) エッチング方法及び基板処理装置