JP6029623B2 - プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 - Google Patents
プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 Download PDFInfo
- Publication number
- JP6029623B2 JP6029623B2 JP2014148506A JP2014148506A JP6029623B2 JP 6029623 B2 JP6029623 B2 JP 6029623B2 JP 2014148506 A JP2014148506 A JP 2014148506A JP 2014148506 A JP2014148506 A JP 2014148506A JP 6029623 B2 JP6029623 B2 JP 6029623B2
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- electrode
- voltage
- upper electrode
- etching
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3342—Resist stripping
Description
また、エッチストップ層としての下地SiC層に対して高いエッチング選択比でLow−k膜のエッチングを行なうことができるプラズマ処理方法を提供することを目的とする。
図1は、本発明の実施形態1に係るプラズマエッチング装置を示す概略断面図である。
を用いた場合に、プラズマからウエハに入射するイオン電流量Iionとすると、IDC>(1/2)Iionを満たすことが好ましい。Iion=Zρvione(ただし、Z:荷数、ρ:流速密度、vion:イオン速度、e:電子の電荷量1.6×10−19C)であり、ρは電子密度Neに比例するからIionはNeに比例する。
図1の装置において、半導体ウエハをチャンバ内に装入してサセプタ上に載置し、BARC(有機反射防止膜)およびエッチング対象膜のエッチングを行った。BARCのエッチングの際には、第1の高周波電力を2500W、第2の高周波電力を2000Wとし、処理ガスとしてCH2F2、CHF3、Ar、O2を用いた。また、エッチング対象膜のエッチングの際には、第1の高周波電力を1500W、第2の高周波電力を4500Wとし、処理ガスとしてCH4F6、CF4、Ar、O2を用い、ホールのエッチングを行った。その際に、上部電極に印加する直流電圧を−800V、−1000V、−1200Vと変化させた。その際の電子密度(プラズマ密度)の径方向の分布を図9に示す。この図に示すように、−800Vから−1200Vへと直流電圧の絶対値が増加するほどセンターの電子密度が上昇し、プラズマ密度が均一になる傾向が見られる。この際の、センターとエッジにおけるエッチング形状を模式的に図10に示す。この図から、直流電圧が−800Vから−1000Vとなることによりエッチングの均一性が増加することがわかる。一方、−1000Vから−1200Vになることにより、電子密度の均一性は増加するが、センターにおいてエッチング性が高くなりすぎ、かえってエッチング均一性は低下する。このことから−1000Vがエッチングの均一性が最もよいことが確認された。いずれにしても、直流電圧を調整することにより、均一なエッチングを行うことができることがわかる。
図11は、第1の高周波電源48からプラズマ生成用の高周波電力(60MHz)のパワーを変えて上部電極34に印加した場合に、上部電極34の表面に発生する自己バイアス電圧Vdcと、上部電極34に印加する直流電圧との関係を示すグラフである。ここでは、チャンバ内圧力=2.7Pa、上部電極34に650W、1100Wまたは2200Wの高周波電力、下部電極としてのサセプタ16に2100Wの高周波電力を印加し、処理ガス流量 C4F6/Ar/O2=25/700/26mL/min、上下部電極間距離=25mm、バックプレッシャー(センター部/エッジ部)=1333/4666Pa、上部電極34の温度=60℃、チャンバ10側壁の温度=50℃、サセプタ16の温度=0℃の条件でプラズマを生成させ、上部電極34表面の自己バイアス電圧Vdcを測定した。
チャンバ内圧力=6.7Pa;
高周波電力(上部電極/下部電極)=400W/1500W;
処理ガス流量 C4F8/Ar/N2=6/1000/180mL/min;
上下部電極間距離=35mm;
処理時間=25〜35秒
バックプレッシャー(ヘリウムガス:センター部/エッジ部)=2000/5332Pa;
上部電極34の温度=60℃;
チャンバ10側壁の温度=60℃;
サセプタ16の温度=0℃
高周波電力(上部電極/下部電極)を800W/2500Wに変更した以外はエッチング条件1と同様とした。
また、ビア頂部のCD(Critical Dimension)の拡大を抑制しながら、エッチングレートを大きく改善できることも確認された。エッチングレートの向上とCDの制御(CD拡大の抑制)とは、従来のエッチング技術では両立させることが困難であったが、直流電圧を印加することにより、両者を両立させ得ることが示された。
表2は、エッチング条件1を基準に、上部電極34への高周波電力を変化させた場合のエッチング特性である。この表2から、上部電極34へ供給する高周波電力を大きくするとエッチングレートは向上するが、対SiC選択比は小さくなる傾向が示された。一方、この条件では、上部電極34へ供給する高周波電力の変化がCDに与える影響は少なく、また、対レジスト選択比は高周波パワー400Wが突出して優れていた。以上の結果から、上部電極34への高周波パワーとしては、概ね200〜800Wの範囲が好ましいことが示された。
チャンバ内圧力=26.7Pa;
高周波電力(上部電極/下部電極)=300W/1000W;
処理ガス流量 CF4/N2/Ar/CHF3=180/100/180/50mL/min;
上下部電極間距離=35mm;
処理時間=10秒
バックプレッシャー(センター部/エッジ部)=2000/5332Pa;
上部電極34の温度=60℃;
チャンバ10側壁の温度=60℃;
サセプタ16の温度=20℃
チャンバ内圧力=4.0Pa;
高周波電力(上部電極/下部電極)=1000W/1000W;
処理ガス流量 C4F8/N2/Ar=6/260/1000mL/min;
オーバーエッチ量:30%
上下部電極間距離=35mm
※他の条件は、上記メインエッチング条件と同様とした。
また、上記エッチング条件の下では、上部電極34に−900Vの直流電圧を印加することにより、対SiC選択比だけでなく、表6に示すように、対レジスト選択比も改善された。さらに、溝の幅に相当するCDを大きくせずに制御しながら、SiOC系膜302のエッチングレートを大幅に向上させることが可能であった。そして、エッチング後の溝を構成するラインの粗さ(ラインエッチングラフネス;LER)についても、大幅に低減することができた。
図34は、本発明の実施形態2に係るプラズマエッチング装置を示す概略断面図である。なお、図34において、図1と同じものには同じ符号を付して説明を省略する。
Lo=K・ln(b/ao) ‥‥‥(1)
ただし、Kは導波路の移動度および誘電率で決まる定数である。
Li=K・ln(b/ai) ‥‥‥(2)
Pmax/Eomax 2=ao2[ln(b/ao)]2/2Zo ‥‥(3)
ただし、Zoは整合器46側からみた当該同軸線路の入力インピーダンスであり、EomaxはRF伝送系の最大電界強度である。
なお、実施形態3において、第1、第2の実施形態と共通するものには同じ符号を付すことにする。
周波電源89から高い周波数領域(例えば、10MHz以上)の高周波電力を供給しているので、プラズマを好ましい状態で高密度化することができ、より低圧の条件下でも高密度プラズマを形成することができる。
を用いた場合に、プラズマからウエハに入射するイオン電流量Iionとすると、IDC>(1/2)Iionを満たすことが好ましい。Iion=Zρvione(ただし、Z:荷数、ρ:流速密度、vion:イオン速度、e:電子の電荷量1.6×10−19C)であり、ρは電子密度Neに比例するからIionはNeに比例する。
図43は、下部電極であるサセプタ16に印加する第1の高周波電力の周波数を40MHz、第2の高周波電力の周波数を3.2MHzとし、圧力:4PaとしたHARCエッチングの条件で、上部電極に印加する負の直流電圧の絶対値を0V、300V、600V、900Vと変化させた際における、各高周波電力の出力と電子密度分布との関係を示す図である。また、図44は、同様の周波数の2つの高周波電力を印加し、圧力を6.7PaのViaエッチングの条件で、同様に上部電極に印加する直流電圧の絶対値を0V、300V、600V、900Vと変化させた際における、各高周波電力の出力と電子密度分布との関係を示す図である。これらの図に示すように、印加する直流電圧の絶対値が大きくなるに従って、電子密度(プラズマ密度)が上昇しているのがわかる。図45は、上記HARCエッチングで、第1の高周波電力を3000W、第2の高周波電力を4000Wにした場合のウエハ径方向の電子密度分布を示す図である。この図に示すように、印加する直流電圧の絶対値が大きくなるほど電子密度が高くなることがわかる。
図41の装置において、半導体ウエハをチャンバ内に装入してサセプタ上に載置し、処理ガスとしてCF4ガス、CHF3ガス、Arガス、N2ガスをチャンバ内に導入し、チャンバ内の圧力を26.6Paとし、第1の高周波電力を40MHzで300W、第2の高周波電力を3.2MHzで1000Wとして下部電極であるサセプタに印加するというトレンチエッチングの条件で、上部電極への直流電圧を印加しない場合と−600W印加した場合とでウエハ径方向の電子密度(プラズマ密度)分布を測定した。その結果を図46に示す。この図に示すように、直流電圧を印加しない場合には、ウエハ中心部の電子密度が他の部分よりも低いのに対し、直流電圧を印加することにより、ウエハ中心部の電子密度を上昇させて電子密度が均一化されていることが確認された。また、直流電圧を印加することにより、電子密度が全体的に上昇した。
に、適宜の手段により直流電圧に重畳して実施形態1における図13に示すような極短い逆極性のパルスを周期的に与えて電子を中和する方法も有効である。
図58は、本発明の実施形態4に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。なお、図58において、図1と同じものには同じ符号を付して説明を省略する。
図59は、本発明の実施形態5に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図59においても、図1と同じものには同じ符号を付して説明を省略する。
図60は、本発明の実施形態6に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図60においても、図1と同じものには同じ符号を付して説明を省略する。
図61は、本発明の実施形態7に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図61において、図1および図60と同じものには同じ符号を付して説明を省略する。
図62は、本発明の実施形態8に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図62において、図1および図60と同じものには同じ符号を付して説明を省略する。
図63は、本発明の実施形態9に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図63においても、図1と同じものには同じ符号を付して説明を省略する。
図64は、本発明の実施形態10に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図64においては、図1および図63と同じものには同じ符号を付して説明を省略する。
図65は、本発明の実施形態11に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図65において、図1および図63と同じものには同じ符号を付して説明を省略する。
図66は、本発明の実施形態12に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図66においては、図1と同じものには同じ符号を付して説明を省略する。
図67は、本発明の実施形態13に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図67においては、図1と同じものには同じ符号を付して説明を省略する。
プラズマ処理装置においては、通常、図68に示すようにウエハWの外周に隣接してフォーカスリング24が設けられているが、プラズマ処理中にはフォーカスリング24の温度が上昇し、そのためウエハWのエッジ部および裏面にデポ173が付着する。デポの付着を防止するためにフォーカスリング24を冷却するとこのようなデポの付着は減少するが、ウエハWのエッジ部におけるエッチング特性(特にレジストのエッチングレート等)が悪化し、デポ付着とエッチング特性とがトレードオフの関係になってしまう。
図74は、本発明の実施形態14に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図74においては、図1と同じものには同じ符号を付して説明を省略する。
図76は、本発明の実施形態15に係るプラズマ処理装置の要部を簡略化して示す概略断面図である。図76においては、図1および図74と同じものには同じ符号を付して説明を省略する。
図79は、本発明の実施形態16に係るプラズマエッチング装置を簡略化して示す概略断面図である。この装置は、下部電極であるサセプタ16に第1の高周波電源200からプラズマ生成用の例えば13.56MHzの高周波(RF)電力を印加する下部RF1周波印加タイプのプラズマエッチング装置であって、図示のように上部電極234´に可変直流電源204を接続して所定の直流(DC)電圧が印加されるプラズマエッチング装置である。図79は詳細を省略した図であるが、本実施形態のプラズマエッチング装置は、下部に高周波電力を1周波のみ印加する点以外は、実施形態3の下部RF2周波印加タイプのプラズマエッチング装置と同じである。
図80は、本発明の実施形態17に係るプラズマエッチング装置を簡略化して示す概略断面図である。この装置は、下部電極であるサセプタ16に第1の高周波電源89から整合器87を介して第1の高周波(RF)電力を印加するとともに第2の高周波電源90から整合器88を介して第2の高周波(RF)電力を印加し、さらに第3の高周波電源224から第3の高周波電力を整合器225を介して上部電極34に印加する上部RF1周波下部RF2周波タイプのプラズマエッチング装置であって、図示のように上部電極34に可変直流電源50を接続して所定の直流(DC)電圧が印加されるプラズマエッチング装置である。なお、このプラズマエッチング装置は、プラズマ形成用の高周波電力を出力する高周波電源が第3の高周波電源であることが好ましく、イオン引き込み用の高周波電力を出力する高周波電源が第1の高周波電源および第2の高周波電源であることが好ましい。
16…サセプタ(下部電極)
34,34′…上部電極
44…給電棒
46,88…整合器
48…第1の高周波電源
50…可変直流電源
51…コントローラ
52…オン・オフスイッチ
66…処理ガス供給源
84…排気装置
90…第2の高周波電源
91…GNDブロック
W…半導体ウエハ(被処理基板)
Claims (12)
- 被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第1電極および被処理基板を支持する第2電極と、
前記第2の電極にプラズマ形成用の第1の高周波電力を印加する第1の高周波電力印加ユニットと、
前記第2電極に第2の高周波電力を印加する第2の高周波電力印加ユニットと、
前記第1電極に直流電圧を印加する直流電源と、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと、
前記第1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、前記第1電極の近傍に設置され常時接地されている導電性部材と
を具備し、前記導電性部材は、前記処理容器内に形成されるプラズマに面するように設けられていることを特徴とするプラズマ処理装置。 - 前記第1電極の周囲には、導電性材料に絶縁材料を被覆してなる被覆部材を有し、
前記導電性部材は、前記被覆部材に支持され、プラズマに露出しており、前記被覆部材の前記導電性材料を通して接地されることを特徴とする請求項1に記載のプラズマ処理装置。 - 前記導電性部材は、前記第1電極の外側にリング状に配置されることを特徴とする請求項2に記載のプラズマ処理装置。
- 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有していることを特徴とする請求項1から請求項3のいずれか1項に記載のプラズマ処理装置。
- 前記直流電源は、前記第1電極への印加電圧、印加電流および印加電力のいずれかが可変であることを特徴とする請求項1から請求項4のいずれか1項に記載のプラズマ処理装置。
- 前記第1電極の前記第2電極との対向面は、シリコン含有物質で形成されていることを特徴とする請求項1から請求項5のいずれか1項に記載のプラズマ処理装置。
- 前記導電性部材は、シリコン含有物質で形成されていることを特徴とする請求項1から請求項6のいずれか1項に記載のプラズマ処理装置。
- 処理容器内に、第1電極および被処理基板を支持する第2電極を対向して配置し、前記第2の電極にプラズマ形成用の第1の高周波電力を印加し、前記第2電極に第2の高周波電力を印加しながら、前記処理容器内に処理ガスを供給し、該処理ガスのプラズマを生成させて、前記第2電極に支持された被処理基板にプラズマ処理を施すプラズマ処理方法であって、
前記第1電極に直流電圧を印加する工程と、前記第1電極に直流電圧を印加しながら、前記被処理基板にプラズマ処理を施す工程と、常時接地されている導電性部材を、前記処理容器内に形成されるプラズマに面するように、前記第1電極近傍に設置し、前記第1電極に印加された直流電圧に基づく電流をプラズマを介して逃がす工程とを有することを特徴とするプラズマ処理方法。 - 前記第1電極の周囲には、導電性材料に絶縁材料を被覆してなる被覆部材を有し、
前記導電性部材は、前記被覆部材に支持され、プラズマに露出しており、前記被覆部材の前記導電性材料を通して接地されることを特徴とする請求項8に記載のプラズマ処理方法。 - 前記導電性部材は、前記第1電極の外側にリング状に配置されることを特徴とする請求項9に記載のプラズマ処理方法。
- 前記導電性部材は、シリコン含有物質で形成されていることを特徴とする請求項8から請求項10のいずれか1項に記載のプラズマ処理方法。
- コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であって、
前記制御プログラムは、実行時に、請求項8から請求項11のいずれか1項に記載のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特徴とするコンピュータ読み取り可能な記憶媒体。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2014148506A JP6029623B2 (ja) | 2004-06-21 | 2014-07-22 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2004183093 | 2004-06-21 | ||
JP2004183093 | 2004-06-21 | ||
JP2005013912 | 2005-01-21 | ||
JP2005013912 | 2005-01-21 | ||
JP2005045095 | 2005-02-22 | ||
JP2005045095 | 2005-02-22 | ||
JP2014148506A JP6029623B2 (ja) | 2004-06-21 | 2014-07-22 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013089519A Division JP5714048B2 (ja) | 2004-06-21 | 2013-04-22 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015145789A Division JP5976898B2 (ja) | 2004-06-21 | 2015-07-23 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2015005755A JP2015005755A (ja) | 2015-01-08 |
JP6029623B2 true JP6029623B2 (ja) | 2016-11-24 |
Family
ID=35509997
Family Applications (6)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010249960A Active JP5491358B2 (ja) | 2004-06-21 | 2010-11-08 | プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体 |
JP2010249961A Active JP5491359B2 (ja) | 2004-06-21 | 2010-11-08 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
JP2010249962A Active JP5349445B2 (ja) | 2004-06-21 | 2010-11-08 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
JP2013089519A Active JP5714048B2 (ja) | 2004-06-21 | 2013-04-22 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
JP2014148506A Active JP6029623B2 (ja) | 2004-06-21 | 2014-07-22 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
JP2015145789A Active JP5976898B2 (ja) | 2004-06-21 | 2015-07-23 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
Family Applications Before (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010249960A Active JP5491358B2 (ja) | 2004-06-21 | 2010-11-08 | プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体 |
JP2010249961A Active JP5491359B2 (ja) | 2004-06-21 | 2010-11-08 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
JP2010249962A Active JP5349445B2 (ja) | 2004-06-21 | 2010-11-08 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
JP2013089519A Active JP5714048B2 (ja) | 2004-06-21 | 2013-04-22 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015145789A Active JP5976898B2 (ja) | 2004-06-21 | 2015-07-23 | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 |
Country Status (6)
Country | Link |
---|---|
EP (5) | EP2479783B1 (ja) |
JP (6) | JP5491358B2 (ja) |
KR (8) | KR101248709B1 (ja) |
CN (6) | CN102256431B (ja) |
TW (4) | TWI447803B (ja) |
WO (1) | WO2005124844A1 (ja) |
Families Citing this family (378)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2479783B1 (en) * | 2004-06-21 | 2018-12-12 | Tokyo Electron Limited | Plasma processing apparatus and method |
JP4911982B2 (ja) * | 2006-02-06 | 2012-04-04 | 東京エレクトロン株式会社 | ガス供給装置,基板処理装置,ガス供給方法及びガス供給制御方法 |
JP4911984B2 (ja) * | 2006-02-08 | 2012-04-04 | 東京エレクトロン株式会社 | ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド |
JP2007234770A (ja) * | 2006-02-28 | 2007-09-13 | Tokyo Electron Ltd | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 |
JP2007250967A (ja) * | 2006-03-17 | 2007-09-27 | Tokyo Electron Ltd | プラズマ処理装置および方法とフォーカスリング |
JP5461759B2 (ja) * | 2006-03-22 | 2014-04-02 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
JP5638682B2 (ja) * | 2006-03-22 | 2014-12-10 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
US7883632B2 (en) * | 2006-03-22 | 2011-02-08 | Tokyo Electron Limited | Plasma processing method |
JP4972327B2 (ja) * | 2006-03-22 | 2012-07-11 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4884047B2 (ja) * | 2006-03-23 | 2012-02-22 | 東京エレクトロン株式会社 | プラズマ処理方法 |
JP4885585B2 (ja) * | 2006-03-23 | 2012-02-29 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
US8104428B2 (en) | 2006-03-23 | 2012-01-31 | Tokyo Electron Limited | Plasma processing apparatus |
US8141514B2 (en) | 2006-03-23 | 2012-03-27 | Tokyo Electron Limited | Plasma processing apparatus, plasma processing method, and storage medium |
JP4885586B2 (ja) * | 2006-03-23 | 2012-02-29 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2007258500A (ja) * | 2006-03-24 | 2007-10-04 | Hitachi High-Technologies Corp | 基板支持装置 |
US20080006205A1 (en) * | 2006-07-10 | 2008-01-10 | Douglas Keil | Apparatus and Method for Controlling Plasma Potential |
JP5323306B2 (ja) * | 2006-07-12 | 2013-10-23 | 東京エレクトロン株式会社 | プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
US8129282B2 (en) | 2006-07-19 | 2012-03-06 | Tokyo Electron Limited | Plasma etching method and computer-readable storage medium |
JP2008028022A (ja) * | 2006-07-19 | 2008-02-07 | Tokyo Electron Ltd | プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
US8083961B2 (en) * | 2006-07-31 | 2011-12-27 | Tokyo Electron Limited | Method and system for controlling the uniformity of a ballistic electron beam by RF modulation |
JP2008078515A (ja) | 2006-09-25 | 2008-04-03 | Tokyo Electron Ltd | プラズマ処理方法 |
JP5192209B2 (ja) | 2006-10-06 | 2013-05-08 | 東京エレクトロン株式会社 | プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
KR100898165B1 (ko) * | 2006-11-24 | 2009-05-19 | 엘지전자 주식회사 | 플라즈마 발생장치 및 방법 |
US7829469B2 (en) * | 2006-12-11 | 2010-11-09 | Tokyo Electron Limited | Method and system for uniformity control in ballistic electron beam enhanced plasma processing system |
US8222156B2 (en) * | 2006-12-29 | 2012-07-17 | Lam Research Corporation | Method and apparatus for processing a substrate using plasma |
JP5371238B2 (ja) * | 2007-12-20 | 2013-12-18 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
KR100920187B1 (ko) * | 2007-12-31 | 2009-10-06 | 네스트 주식회사 | 플라즈마 챔버내의 플라즈마 밀도 분포 제어 방법 |
JP2009193989A (ja) * | 2008-02-12 | 2009-08-27 | Tokyo Electron Ltd | プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体 |
KR100911327B1 (ko) * | 2008-09-26 | 2009-08-07 | 주식회사 테스 | 플라즈마 발생 장치 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5554047B2 (ja) * | 2009-10-27 | 2014-07-23 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR101214758B1 (ko) * | 2010-02-26 | 2012-12-21 | 성균관대학교산학협력단 | 식각 방법 |
CN103189957B (zh) | 2010-10-05 | 2016-01-20 | 欧瑞康先进科技股份公司 | 用于真空加工聚合物基板的原位调节 |
JP5759718B2 (ja) * | 2010-12-27 | 2015-08-05 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5690596B2 (ja) * | 2011-01-07 | 2015-03-25 | 東京エレクトロン株式会社 | フォーカスリング及び該フォーカスリングを備える基板処理装置 |
JP2012204644A (ja) * | 2011-03-25 | 2012-10-22 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
CN103985659A (zh) * | 2011-07-26 | 2014-08-13 | 中微半导体设备(上海)有限公司 | 一种mocvd半导体处理装置及制作方法 |
US20140256147A1 (en) * | 2011-09-26 | 2014-09-11 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
TWI762170B (zh) | 2011-10-05 | 2022-04-21 | 美商應用材料股份有限公司 | 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件 |
TWI585837B (zh) * | 2011-10-12 | 2017-06-01 | 歐瑞康先進科技股份有限公司 | 濺鍍蝕刻室及濺鍍方法 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9396900B2 (en) * | 2011-11-16 | 2016-07-19 | Tokyo Electron Limited | Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties |
JP5848140B2 (ja) * | 2012-01-20 | 2016-01-27 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5951324B2 (ja) * | 2012-04-05 | 2016-07-13 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
KR102120628B1 (ko) * | 2012-09-26 | 2020-06-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 폐쇄 루프 제어를 갖는 바닥 및 측부 플라즈마 튜닝 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP2014082228A (ja) | 2012-10-12 | 2014-05-08 | Tokyo Electron Ltd | プラズマエッチング方法 |
KR101375742B1 (ko) * | 2012-12-18 | 2014-03-19 | 주식회사 유진테크 | 기판처리장치 |
CN103903945B (zh) * | 2012-12-24 | 2016-04-20 | 中微半导体设备(上海)有限公司 | 一种稳定脉冲射频的方法 |
JP6144917B2 (ja) * | 2013-01-17 | 2017-06-07 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理装置の運転方法 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
CN104051212B (zh) * | 2013-03-12 | 2016-08-31 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理装置 |
US9053908B2 (en) * | 2013-09-19 | 2015-06-09 | Lam Research Corporation | Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10047438B2 (en) * | 2014-06-10 | 2018-08-14 | Lam Research Corporation | Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas |
JP6327970B2 (ja) * | 2014-06-19 | 2018-05-23 | 東京エレクトロン株式会社 | 絶縁膜をエッチングする方法 |
JP6357436B2 (ja) * | 2014-07-25 | 2018-07-11 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9578731B2 (en) | 2014-10-16 | 2017-02-21 | Advanced Energy Industries, Inc. | Systems and methods for obtaining information about a plasma load |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
TWI632607B (zh) | 2015-01-26 | 2018-08-11 | 東京威力科創股份有限公司 | 基板之高精度蝕刻用方法及系統 |
JP6488150B2 (ja) * | 2015-02-27 | 2019-03-20 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP2016178222A (ja) * | 2015-03-20 | 2016-10-06 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP6424120B2 (ja) * | 2015-03-23 | 2018-11-14 | 東京エレクトロン株式会社 | 電源システム、プラズマ処理装置及び電源制御方法 |
US9799494B2 (en) | 2015-04-03 | 2017-10-24 | Tokyo Electron Limited | Energetic negative ion impact ionization plasma |
JP2016207788A (ja) * | 2015-04-20 | 2016-12-08 | 東京エレクトロン株式会社 | 上部電極の表面処理方法、プラズマ処理装置及び上部電極 |
JP2017010993A (ja) | 2015-06-17 | 2017-01-12 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
JP2016096342A (ja) * | 2015-11-26 | 2016-05-26 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6556046B2 (ja) * | 2015-12-17 | 2019-08-07 | 東京エレクトロン株式会社 | プラズマ処理方法およびプラズマ処理装置 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
JP6643950B2 (ja) * | 2016-05-23 | 2020-02-12 | 東京エレクトロン株式会社 | プラズマ処理方法 |
JP6541618B2 (ja) * | 2016-05-25 | 2019-07-10 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US9852889B1 (en) * | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR101909479B1 (ko) * | 2016-10-06 | 2018-10-19 | 세메스 주식회사 | 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP6709293B2 (ja) * | 2016-12-14 | 2020-06-10 | 株式会社アルバック | 成膜装置及び成膜方法 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
EP3563402B1 (en) | 2016-12-27 | 2021-01-27 | Evatec AG | Rf capacitive coupled etch reactor and method of etching |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
TWI620228B (zh) * | 2016-12-29 | 2018-04-01 | 財團法人工業技術研究院 | 電漿處理裝置與電漿處理方法 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10354844B2 (en) * | 2017-05-12 | 2019-07-16 | Asm Ip Holding B.V. | Insulator structure for avoiding abnormal electrical discharge and plasma concentration |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
EP3616235A4 (en) | 2017-07-07 | 2021-02-24 | Advanced Energy Industries, Inc. | INTER-PERIODIC CONTROL SYSTEM FOR PLASMA POWER SUPPLY SYSTEM AND ITS OPERATING PROCESS |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
JP6836976B2 (ja) * | 2017-09-26 | 2021-03-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
KR102063108B1 (ko) * | 2017-10-30 | 2020-01-08 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR101967436B1 (ko) | 2017-11-10 | 2019-04-10 | 에스엠에이치 주식회사 | 벨트 컨베이어 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7055054B2 (ja) * | 2018-04-11 | 2022-04-15 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
JP7094154B2 (ja) * | 2018-06-13 | 2022-07-01 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
JP7203531B2 (ja) * | 2018-08-08 | 2023-01-13 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
JP6833784B2 (ja) * | 2018-09-28 | 2021-02-24 | 芝浦メカトロニクス株式会社 | プラズマ処理装置 |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
JP7145041B2 (ja) * | 2018-11-08 | 2022-09-30 | 東京エレクトロン株式会社 | 基板支持器、プラズマ処理装置、及びフォーカスリング |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11562887B2 (en) * | 2018-12-10 | 2023-01-24 | Tokyo Electron Limited | Plasma processing apparatus and etching method |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN111354672B (zh) * | 2018-12-21 | 2023-05-09 | 夏泰鑫半导体(青岛)有限公司 | 静电卡盘及等离子体加工装置 |
US11804362B2 (en) | 2018-12-21 | 2023-10-31 | Advanced Energy Industries, Inc. | Frequency tuning for modulated plasma systems |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
WO2020154310A1 (en) | 2019-01-22 | 2020-07-30 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
JP7462383B2 (ja) | 2019-04-15 | 2024-04-05 | 東京エレクトロン株式会社 | クリーニング方法及びプラズマ処理装置 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
JP2021019198A (ja) | 2019-07-19 | 2021-02-15 | エーエスエム・アイピー・ホールディング・ベー・フェー | トポロジー制御されたアモルファスカーボンポリマー膜の形成方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11462389B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Pulsed-voltage hardware assembly for use in a plasma processing system |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
CN114256046A (zh) * | 2020-09-22 | 2022-03-29 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置及其工作方法 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
CN115247257B (zh) * | 2021-04-25 | 2024-01-23 | 广东聚华印刷显示技术有限公司 | 成膜装置及膜层的制备方法 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JP2024013548A (ja) | 2022-07-20 | 2024-02-01 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
Family Cites Families (60)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS60245213A (ja) * | 1984-05-21 | 1985-12-05 | Hitachi Ltd | プラズマ処理装置 |
JPS61199078A (ja) * | 1985-02-28 | 1986-09-03 | Anelva Corp | 表面処理装置 |
JPH06104898B2 (ja) * | 1988-01-13 | 1994-12-21 | 忠弘 大見 | 減圧表面処理装置 |
JP2574838B2 (ja) * | 1988-01-18 | 1997-01-22 | 株式会社日立製作所 | Alのスパッタエッチング装置 |
JPH04279044A (ja) * | 1991-01-09 | 1992-10-05 | Sumitomo Metal Ind Ltd | 試料保持装置 |
JPH06279044A (ja) * | 1993-03-29 | 1994-10-04 | Fujikura Ltd | 光ファイバ母材の製造方法 |
JP3247491B2 (ja) * | 1993-05-19 | 2002-01-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3236724B2 (ja) * | 1993-11-30 | 2001-12-10 | 東京エレクトロン株式会社 | 真空処理装置 |
JPH07211489A (ja) * | 1994-01-21 | 1995-08-11 | Sumitomo Metal Ind Ltd | マイクロ波プラズマ処理装置及び該装置のクリーニング方法 |
JP3438003B2 (ja) * | 1994-04-20 | 2003-08-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3210207B2 (ja) * | 1994-04-20 | 2001-09-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3520577B2 (ja) * | 1994-10-25 | 2004-04-19 | 株式会社日立製作所 | プラズマ処理装置 |
JPH07207471A (ja) * | 1994-12-05 | 1995-08-08 | Hitachi Ltd | プラズマエッチング装置 |
JP3257328B2 (ja) * | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
JPH09111460A (ja) * | 1995-10-11 | 1997-04-28 | Anelva Corp | チタン系導電性薄膜の作製方法 |
JP3319285B2 (ja) * | 1996-06-05 | 2002-08-26 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
JPH08319588A (ja) * | 1996-06-17 | 1996-12-03 | Hitachi Ltd | プラズマエッチング装置 |
JPH1012597A (ja) * | 1996-06-20 | 1998-01-16 | Hitachi Ltd | プラズマエッチング装置及びプラズマエッチング方法 |
US6500314B1 (en) * | 1996-07-03 | 2002-12-31 | Tegal Corporation | Plasma etch reactor and method |
JP3220394B2 (ja) * | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3582287B2 (ja) * | 1997-03-26 | 2004-10-27 | 株式会社日立製作所 | エッチング装置 |
JP4008077B2 (ja) * | 1997-10-01 | 2007-11-14 | キヤノンアネルバ株式会社 | プラズマ処理装置及び静電吸着機構 |
JP2000164583A (ja) * | 1998-06-24 | 2000-06-16 | Hitachi Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP4151749B2 (ja) * | 1998-07-16 | 2008-09-17 | 東京エレクトロンAt株式会社 | プラズマ処理装置およびその方法 |
JP4066214B2 (ja) * | 1998-07-24 | 2008-03-26 | 財団法人国際科学振興財団 | プラズマプロセス装置 |
JP4230029B2 (ja) | 1998-12-02 | 2009-02-25 | 東京エレクトロン株式会社 | プラズマ処理装置およびエッチング方法 |
JP2000299198A (ja) * | 1999-02-10 | 2000-10-24 | Tokyo Electron Ltd | プラズマ処理装置 |
JP2000306891A (ja) * | 1999-04-22 | 2000-11-02 | Hitachi Ltd | プラズマ処理装置 |
KR100880767B1 (ko) * | 1999-05-06 | 2009-02-02 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
JP4831853B2 (ja) * | 1999-05-11 | 2011-12-07 | 東京エレクトロン株式会社 | 容量結合型平行平板プラズマエッチング装置およびそれを用いたプラズマエッチング方法 |
JP2000328248A (ja) * | 1999-05-12 | 2000-11-28 | Nissin Electric Co Ltd | 薄膜形成装置のクリーニング方法及び薄膜形成装置 |
US6232236B1 (en) * | 1999-08-03 | 2001-05-15 | Applied Materials, Inc. | Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system |
JP3400770B2 (ja) * | 1999-11-16 | 2003-04-28 | 松下電器産業株式会社 | エッチング方法、半導体装置及びその製造方法 |
JP4454781B2 (ja) * | 2000-04-18 | 2010-04-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4592916B2 (ja) * | 2000-04-25 | 2010-12-08 | 東京エレクトロン株式会社 | 被処理体の載置装置 |
US6779481B2 (en) * | 2000-04-27 | 2004-08-24 | Tokyo Electron Limited | Electrical coupling between chamber parts in electronic device processing equipment |
JP3920015B2 (ja) * | 2000-09-14 | 2007-05-30 | 東京エレクトロン株式会社 | Si基板の加工方法 |
US6872281B1 (en) * | 2000-09-28 | 2005-03-29 | Lam Research Corporation | Chamber configuration for confining a plasma |
US6806201B2 (en) * | 2000-09-29 | 2004-10-19 | Hitachi, Ltd. | Plasma processing apparatus and method using active matching |
JP2002110650A (ja) * | 2000-10-03 | 2002-04-12 | Tokyo Electron Ltd | プラズマエッチング方法およびプラズマエッチング装置 |
US6716303B1 (en) * | 2000-10-13 | 2004-04-06 | Lam Research Corporation | Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same |
JP4602532B2 (ja) * | 2000-11-10 | 2010-12-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4877884B2 (ja) * | 2001-01-25 | 2012-02-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4213871B2 (ja) * | 2001-02-01 | 2009-01-21 | 株式会社日立製作所 | 半導体装置の製造方法 |
JP2002270586A (ja) | 2001-03-08 | 2002-09-20 | Tokyo Electron Ltd | 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス |
WO2002097855A1 (en) * | 2001-05-29 | 2002-12-05 | Tokyo Electron Limited | Plasma processing apparatus and method |
US6984288B2 (en) * | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
JP2003077896A (ja) * | 2001-08-31 | 2003-03-14 | Tokyo Electron Ltd | エッチング方法 |
US6887340B2 (en) * | 2001-11-13 | 2005-05-03 | Lam Research Corporation | Etch rate uniformity |
US6828241B2 (en) * | 2002-01-07 | 2004-12-07 | Applied Materials, Inc. | Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source |
JP4326746B2 (ja) * | 2002-01-07 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US6744212B2 (en) * | 2002-02-14 | 2004-06-01 | Lam Research Corporation | Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions |
US20040025791A1 (en) * | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
JP4071069B2 (ja) * | 2002-08-28 | 2008-04-02 | 東京エレクトロン株式会社 | 絶縁膜のエッチング方法 |
JP2004095663A (ja) * | 2002-08-29 | 2004-03-25 | Tokyo Electron Ltd | プラズマ処理装置およびプラズマ処理方法 |
CN1228820C (zh) * | 2002-09-04 | 2005-11-23 | 东京毅力科创株式会社 | 等离子体处理装置以及等离子体处理方法 |
JP4141234B2 (ja) * | 2002-11-13 | 2008-08-27 | キヤノンアネルバ株式会社 | プラズマ処理装置 |
JP4584565B2 (ja) * | 2002-11-26 | 2010-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US20050051273A1 (en) * | 2003-09-04 | 2005-03-10 | Kenji Maeda | Plasma processing apparatus |
EP2479783B1 (en) * | 2004-06-21 | 2018-12-12 | Tokyo Electron Limited | Plasma processing apparatus and method |
-
2005
- 2005-06-21 EP EP12159427.9A patent/EP2479783B1/en active Active
- 2005-06-21 KR KR1020117031587A patent/KR101248709B1/ko active IP Right Grant
- 2005-06-21 KR KR1020117031578A patent/KR101248691B1/ko active IP Right Grant
- 2005-06-21 EP EP16189429.0A patent/EP3128538B1/en active Active
- 2005-06-21 KR KR1020117031581A patent/KR101247833B1/ko active IP Right Grant
- 2005-06-21 CN CN201110206162.7A patent/CN102256431B/zh active Active
- 2005-06-21 CN CN2011100927521A patent/CN102157372B/zh active Active
- 2005-06-21 CN CN201110206176.9A patent/CN102270577B/zh active Active
- 2005-06-21 WO PCT/JP2005/011333 patent/WO2005124844A1/ja active Application Filing
- 2005-06-21 KR KR1020117031575A patent/KR101247857B1/ko active IP Right Grant
- 2005-06-21 TW TW094120663A patent/TWI447803B/zh active
- 2005-06-21 CN CN201110206223.XA patent/CN102256432B/zh active Active
- 2005-06-21 CN CN201110206125.6A patent/CN102263026B/zh active Active
- 2005-06-21 KR KR1020127021941A patent/KR101270285B1/ko active IP Right Grant
- 2005-06-21 TW TW094120653A patent/TWI447802B/zh active
- 2005-06-21 EP EP12159425.3A patent/EP2479782B1/en active Active
- 2005-06-21 CN CN201110206202.8A patent/CN102263001B/zh active Active
- 2005-06-21 TW TW102126893A patent/TWI574318B/zh active
- 2005-06-21 EP EP12159428.7A patent/EP2479784B1/en active Active
- 2005-06-21 EP EP20050753490 patent/EP1780777A4/en not_active Withdrawn
- 2005-06-21 KR KR1020077001688A patent/KR100952521B1/ko active IP Right Grant
- 2005-06-21 KR KR1020067026949A patent/KR101180125B1/ko active IP Right Grant
- 2005-06-21 TW TW094120664A patent/TW200612488A/zh unknown
- 2005-06-21 KR KR1020117031569A patent/KR101250717B1/ko active IP Right Grant
-
2010
- 2010-11-08 JP JP2010249960A patent/JP5491358B2/ja active Active
- 2010-11-08 JP JP2010249961A patent/JP5491359B2/ja active Active
- 2010-11-08 JP JP2010249962A patent/JP5349445B2/ja active Active
-
2013
- 2013-04-22 JP JP2013089519A patent/JP5714048B2/ja active Active
-
2014
- 2014-07-22 JP JP2014148506A patent/JP6029623B2/ja active Active
-
2015
- 2015-07-23 JP JP2015145789A patent/JP5976898B2/ja active Active
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5976898B2 (ja) | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 | |
JP4672456B2 (ja) | プラズマ処理装置 | |
JP4672455B2 (ja) | プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体 | |
JP4827081B2 (ja) | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 | |
JP5036143B2 (ja) | プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体 | |
KR100971799B1 (ko) | 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20150518 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20150526 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150723 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20160126 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20160328 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20160920 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20161018 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6029623 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |