TWI447802B - A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium - Google Patents

A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium Download PDF

Info

Publication number
TWI447802B
TWI447802B TW094120653A TW94120653A TWI447802B TW I447802 B TWI447802 B TW I447802B TW 094120653 A TW094120653 A TW 094120653A TW 94120653 A TW94120653 A TW 94120653A TW I447802 B TWI447802 B TW I447802B
Authority
TW
Taiwan
Prior art keywords
plasma
electrode
voltage
upper electrode
variable
Prior art date
Application number
TW094120653A
Other languages
English (en)
Other versions
TW200614367A (en
Inventor
Akira Koshiishi
Masaru Sugimoto
Kunihiko Hinata
Noriyuki Kobayashi
Chishio Koshimizu
Ryuji Ohtani
Kazuo Kibi
Masashi Saito
Naoki Matsumoto
Yoshinobu Ooya
Manabu Iwata
Daisuke Yano
Yohei Yamazawa
Hidetoshi Hanaoka
Toshihiro Hayami
Hiroki Yamazaki
Manabu Sato
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200614367A publication Critical patent/TW200614367A/zh
Application granted granted Critical
Publication of TWI447802B publication Critical patent/TWI447802B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Description

電漿處理裝置,電漿處理方法及電腦可讀取的記錄媒體
本發明是有關對半導體基板等的被處理基板施以電漿處理之電漿處理裝置,電漿處理方法,及電腦可讀取記憶媒體。
例如在半導體裝置的製程中,為了在形成於被處理基板的半導體晶圓的所定的層形成所定的圖案,大多使用以阻絕層(resist)作為光罩,藉由電漿來蝕刻之電漿蝕刻處理。
供以進行如此的電漿蝕刻之電漿蝕刻裝置,可使用各種裝置,其中又以電容耦合型平行平板電漿處理裝置為主流。
電容耦合型平行平板電漿蝕刻裝置是在處理室內配置一對的平行平板電極(上部及下部電極),將處理氣體導入處理室內,且對電極的一方施加高頻,在電極間形成高頻電場,藉由此高頻電場來形成處理氣體的電漿,對半導體晶圓的所定的層施以電漿蝕刻。
具體而言,在上部電極施加電漿形成用的高頻,而形成電漿,在下部電極施加離子引入用的高頻,藉此形成適當的電漿狀態之電漿蝕刻裝置,藉此,能以高選擇比來進行再現性高的蝕刻處理(例如,日本特開2000-173993號公報(專利文獻1))。
但,近年來因應微細加工的要求,作為光罩使用光阻劑(Photoresist)的膜厚會變薄,所使用的光阻劑亦由KrF光阻劑(亦即,使用以KrF氣體作為發光源的雷射光來進行曝光的光阻劑),移轉至能夠形成約0.13μm以下的圖案開口之ArF光阻劑(亦即,使用以ArF氣體作為發光源之更短波長的雷射光來進行曝光的光阻劑)。
但,因為ArF光阻劑耐電漿性低,所以會有在KrF光阻劑幾乎不會發生的問題,亦即在蝕刻途中產生表面粗糙。因此,在開口部的內壁面形成縱條紋(條紋狀(Striation)),開口部擴大(CD的擴大)等的問題發生,且隨著光阻劑的膜厚形成薄,無法以良好的蝕刻選擇比來形成蝕刻孔。
另一方面,在此種的蝕刻裝置中,當供給至上部電極的電漿生成用的高頻電力的功率小時,在蝕刻終了後,在上部電極會有堆積物附著,導致有製程特性的變化或粒子之虞。又,當功率大時,電極會發生切削,與功率小時同樣製程特性會變化。由於來自高頻電源的功率是依照製程來決定適當的範圍,因此期望無論是如何的功率,製程皆不會變動。並且,在蝕刻時,在處理室壁會有堆積物產生,在連續蝕刻製程時,前處理的影響會殘留,對其次的處理造成不良影響,亦即產生記憶效應,因此對處理室壁之堆積物的附著也會被要求解除。
此外,在如此之平行平板型電容耦合型的蝕刻裝置中,當處理室內的壓力高且使用的蝕刻氣體為負性氣體(例如,CxFy,O2 等)時,處理室中心部的電漿密度會變低,此情況會難以控制電漿密度。
另一方面,在半導體裝置中,隨著配線的微細化或高速化的要求高漲,而利用低介電常數的層間絶縁膜,進而得以謀求配線寄生電容的低減之目的。在如此的低介電常數膜(Low-k膜)中,特別是SiOC系膜最受注目。
在SiOC系膜等有機系的Low-k膜進行電漿蝕刻時,重要的是充分確保與氮化矽等的基底膜或光罩層的選擇比。通常,與基底膜的選擇性較高的處理氣體為使用氟碳(fluorocarbon)氣體系的混合氣體,但僅靠此難以取得充分的選擇比。於是,提案一蝕刻方法(例如,日本特開2002-270586號公報(專利文獻2)),亦即在SiOC系膜的蝕刻中,以Cu配線的阻擋層亦即氮化矽膜作為基底蝕刻終止層,對SiOC系層間絶縁膜進行電漿蝕刻時,為了提高與該基底膜的選擇比,以Ar的流量比能夠形成80%以上之方式,使用C4 F8 /Ar/N2 來作為處理氣體,提高與氮化矽膜的選擇比。
並且,與上述專利文獻2同樣,以氮化矽膜作為基底蝕刻終止層,對SiOC系層間絶縁膜進行電漿蝕刻時,進行使用CHF3 /Ar/N2 作為處理氣體之第1步驟的蝕刻、及使用C4 F8 /Ar/N2 作為處理氣體之第2步驟的蝕刻,提高對光罩與氮化矽膜雙方的選擇比之蝕刻方法亦被提案(例如,日本特開2004-87875號公報(專利文獻3))。
但,如上述作為Cu配線的阻擋層使用的氮化矽,係阻擋性良好者其比介電常數高(7.0),因此為了充分活用SiOC系膜等的Low-k膜的低介電常數特性,而比介電常數更低的阻擋層會被要求,其一有比介電常數為3.5的碳化矽(SiC)。
即使使用如此低介電常數阻擋層的SiC來作為基底蝕刻終止層,蝕刻上層的被蝕刻層的Low-k膜時,還是必須確保充分的蝕刻選擇比。但,在使用記載於上述專利文獻2及專利文獻3的氟碳系的處理氣體之電漿蝕刻中,無法充分確保Low-k膜與SiC層的蝕刻選擇比。
本發明是有鑑於上述情事而研發者,其目的是在於提供一種可使光阻劑層等的有機光罩層的耐電漿性維持較高,以高選擇比來蝕刻,或可有效解除堆積物附著於電極,或可高速的蝕刻,或可對被處理基板進行均一的蝕刻之電漿處理裝置及電漿處理方法。
又,其目的是在於提供一種以高蝕刻選擇比來對作為蝕刻終止層的基底SiC層進行Low-k膜的蝕刻之電漿處理方法。
本發明之第1觀點的電漿處理裝置,係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置;第1高頻電力供給單元,其係供給電漿形成用的第1高頻電力於上述第1電極或第2電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體;且在上述第1電極及第2電極之間產生處理氣體的電漿,而對被處理基板的所定層進行電漿處理,其特徵為更具備:對上述第1電極或第2電極施加直流電壓或交流電壓之電源,以其表面的自我偏壓電壓Vd c 的絶對值能夠變大成可對施加電極的表面取得所定的濺射效果的程度之方式,或,以使施加電極之電漿外皮的厚度擴大,而能夠在上述施加電極的對向電極側形成縮小的電漿之方式,或,以使在施加電極近傍所生成的電子能夠照射於上述被處理基板上之方式,或,以電漿電位能夠控制成所望的值之方式,或,以能夠使電漿密度上昇之方式,或,以電漿密度的分布能夠均一地形成可取得所望的蝕刻的均一性的程度之方式,控制來自上述電板的施加電壓,施加電流及施加電力的其中一個。
此情況,最好上述直流電壓或交流電壓為脈衝狀或被調變者。
並且,可更具備控制裝置,其係控制來自上述電源的施加電壓,施加電流及施加電力的其中之一。
而且,可更具備檢測器,其係檢測所被產生的電漿的狀態,上述控制裝置會根據該檢測器的資訊來控制來自上述電源的施加電壓,施加電流及施加電力的其中之一。
本發明之第2觀點的電漿處理裝置,係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置;第1高頻電力供給單元,其係供給電漿形成用的第1高頻電力於上述第1電極或第2電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體;且在上述第1電極及第2電極之間產生處理氣體的電漿,而對被處理基板的所定層進行電漿處理,其特徵係更具備:對上述第1電極或第2電極施加直流電壓或交流電壓之電源,上述電源的一方的極會被連接至上述第1電極或第2電極,另一方的極會被連接至上述處理容器內的所定構件,控制來自上述電源的施加電壓,施加電流及施加電力的其中之一。
此情況中,最好上述所定的構件,係埋設於處理容器內所存在的絶縁構件之導體,或構成處理容器的壁部之構件,或載置於上述第2電極上的被處理基板周緣之修正環。
並且,可更具有其他的直流電源,上述其他的直流電源的一方的極會被連接至上述第1電極及第2電極的其中未連接上述直流電源的電極,另一方的極會被連接至上述所定的構件或從上述所定的構件絶縁的其他所定的構件。
而且,最好上述其他的直流電源所連接的上述其他所定的構件,係埋設於處理容器內所存在的絶縁構件之導體,或構成處理容器的壁部之構件,或載置於上述第2電極上的被處理基板周縁之修正環。
本發明之第3觀點的電漿處理裝置,係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置;第1高頻電力供給單元,其係供給電漿形成用的第1高頻電力於上述第1電極或第2電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體;且在上述第1電極及第2電極之間產生處理氣體的電漿,而對被處理基板的所定層進行電漿處理,其特徵係更具備:對上述處理容器內的所定構件施加直流電壓或交流電壓之電源。
在此情況中,上述直流電壓或交流電壓可為脈衝狀或被調變者。
並且,最好上述所定的構件,係埋設於處理容器內所存在的絶縁構件之導體,或構成處理容器的壁部之構件。
而且,可將上述電源的極連接至上述所定的構件,將另一方的極連接至從上述處理容器內的上述所定的構件絶縁的其他所定的構件。
又,最好上述所定的構件及上述其他所定的構件,係埋設於處理容器內所存在的絶縁構成之導體,或構成處理容器的壁部之構件。
在本發明的第3觀點中,更具有其他的電源,上述其他的電源係連接至從上述處理容器內的上述所定的構件絶縁的其他所定的構件,而施加直流電壓或交流電壓。
在此情況中,施加於上述其他所定的構件之直流電壓或交流電壓可為脈衝狀或被調變者。
在本發明的第3觀點中,最好上述電源所連接之上述所定的構件係配置於上述第1電極近傍,上述其他的直流電源所連接之上述其他所定的構件係配置於上述第2電極近傍。
在此情況中,最好上述所定的構件及上述其他的所定構件,係埋設於處理容器內所存在的絶縁構件之導體,或構成處理容器的壁部之構件。
在本發明的第3觀點中,上述第1電極為上部電極,上述第2電極為載置被處理體的下部電極,具有設置在鄰接於上述第2電極上方的被處理基板的外周部的被處理基板的位置之可冷卻的冷卻環、及設置於其外側或上側的修正環,上述修正環會作為被施加直流電壓或交流電壓的上述所定的構件之機能。
在此情況中,最好上述冷卻環係藉由在上述冷卻環與上述第2電極之間配置放熱性良好的構件,或在上述冷卻環與上述第2電極之間流動熱傳達氣體來進行冷卻。
並且,最好更具備:溫度計測機構,其係計測上述冷卻環的溫度;冷卻部,其係冷卻上述冷卻環;及冷卻控制部,其係控制冷卻部之上述內側環的冷卻。
而且,可在上述第2電極供給高頻電力,往上述修正環的給電係經由上述第2電極來進行,在上述冷卻環與上述第2電極之間設有介電質構件。
在本發明的第3觀點中,上述第1電極為上部電極,上述第2電極為載置被處理體的下部電極,具有配置在鄰接於上述第2電極上方的被處理基板的外周部的被處理基板的位置之第1修正環、及設置於其外側或上側的第2修正環,上述第1修正環及第2修正環會作為被施加直流電壓或交流電壓的上述所定的構件之機能。
在此情況中,施加於上述第1修正環及上述第2修正環的電壓係可使分別獨立變化。
並且,在上述第1修正環及上述第2修正環,可分別從相異的電源施加電壓。
而且,在上述第1修正環及上述第2修正環,可分別連接單一電源的一方的極及另一方的極。
又,上述第1修正環可被冷卻。
本發明之第4觀點的電漿處理裝置,其特徵係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置,且第2電極係支持被處理基板;第1高頻電力施加單元,其係施加電漿形成用的第1高頻電力於上述第2電極;直流電源,其係施加直流電壓於上述第1電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體。
本發明之第5觀點的電漿處理裝置,其特徵係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置,且第2電極係支持被處理基板;第1高頻電力施加單元,其係施加電漿形成用的高頻電力於上述第1電極;第2高頻電力施加單元,其係施加第2高頻電力於上述第2電極;第3高頻電力施加單元,其係施加第3高頻電力於上述第2電極;直流電源,其係施加直流電壓於上述第1電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體。
本發明之第6觀點的電漿處理方法,係利用電漿處理裝置的電漿處理方法,該電漿處理裝置係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置;高頻電力供給單元,其係供給電漿形成用的高頻電力於上述第1電極或第2電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體;且在上述第1電極及第2電極之間產生處理氣體的電漿,而對被處理基板的所定層進行電漿處理,其特徵為:在形成電漿時,對上述第1電極或第2電個施加直流電壓或交流電壓,此刻,以其表面的自我偏壓電壓Vd c 的絶對值能夠變大成可對施加電極的表面取得所定的濺射效果的程度之方式,或,以使施加電極之電漿外皮的厚度擴大,而能夠在上述施加電極的對向電極側形成縮小的電漿之方式,或,以使在施加電極近傍所生成的電子能夠照射於上述被處理基板上之方式,或,以電漿電位能夠控制成所望的值之方式,或,以能夠使電漿密度上昇之方式,或,以電漿密度的分布能夠均一地形成可取得所望的蝕刻的均一性的程度之方式,控制來自上述電板的施加電壓,施加電流及施加電力的其中一個。
本發明之第7觀點的電漿處理方法,係利用電漿處理裝置的電漿處理方法,該電漿處理裝置係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置;高頻電力供給單元,其係供給電漿形成用的高頻電力於上述第1電極或第2電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體;且在上述第1電極及第2電極之間產生處理氣體的電漿,而對被處理基板的所定層進行電漿處理,其特徵為:在形成電漿時,對上述處理容器內的所定構件施加直流電壓或交流電壓。
本發明之第8觀點的電漿處理方法,係於處理容器內對向配置第1電極及支持被處理基板的第2電極,一邊對上述第2電極施加電漿形成用的第1高頻電力,一邊在上述處理容器內供給處理氣體,使該處理氣體的電漿產生,而對支持於上述第2電極的被處理基板施以電漿處理,其特徵為具有:對上述第1電極施加直流電壓之工程;及一邊對上述第1電極施加直流電壓,一邊對上述被處理基板施以電漿處理之工程。
本發明之第9觀點的電漿處理方法,係於處理容器內對向配置第1電極及支持被處理基板的第2電極,一邊對上述第1電極施加電漿形成用的第1高頻電力,對上述第2電極施加第2高頻電力及第3高頻電力,一邊在上述處理容器內供給處理氣體,使該處理氣體的電漿產生,而對支持於上述第2電極的被處理基板施以電漿處理,其特徵為具有:對上述第1電極施加直流電壓之工程;及一邊對上述第1電極施加直流電壓,一邊對上述被處理基板施以電漿處理。
本發明之第10觀點的電腦記憶媒體,係記憶有在電腦上動作的控制程式,其特徵為:上述控制程式係控制電漿處理裝置,使於執行時能夠進行上述第6觀點的電漿處理方法。
本發明之第11觀點的電腦記憶媒體,係記憶有在電腦上動作的控制程式,其特徵為:上述控制程式係控制電漿處理裝置,使於執行時能夠進行上述第7觀點的電漿處理方法。
本發明之第12觀點的電腦記憶媒體,係記憶有在電腦上動作的控制程式,其特徵為:上述控制程式係控制電漿處理裝置,使於執行時能夠進行上述第8觀點的電漿處理方法。
本發明之第13觀點的電腦記憶媒體,係記憶有在電腦上動作的控制程式,其特徵為:上述控制程式係控制電漿處理裝置,使於執行時能夠進行上述第9觀點的電漿處理方法。
若根據本發明之第1,第2,第4~第6,第8~第10,第12,第13的觀點,則可發揮(1)增大第1電極的自我偏壓電壓的絶對值,對第1電極表面的濺射效果,(2)使第1電極的電漿外皮擴大,所形成的電漿會被縮小化的效果,(3)使產生於第1電極近傍的電子照射於被處理基板上的效果,(4)控制電漿電位的效果,(5)使電子(電漿)密度上昇的效果,(7)使中心部的電漿密度上昇的效果之至少一個。
利用上述(1)的效果,即使在第1電極的表面附著有製程氣體所引起的聚合物及來自光阻劑的聚合物時,還是可以濺射聚合物,而使電極表面清浄化。並且,可在基板上供給最適的聚合物,而解消光阻劑膜的粗糙。而且,藉由電極本身被濺射,可將電極材料供給於基板上,而來強化光阻劑膜等的有機光罩。
又,利用上述(2)的效果,被處理基板上的實效常駐時間(residence time)會減少,且電漿會集中於被處理基板上,擴散會抑止,排氣空間減少,因此氟碳系的處理氣體的解離會抑止,光阻劑膜等的有機光罩難以被蝕刻。又,利用上述(3)的效果,被處理基板上的光罩組成會被改質,可解消光阻劑膜的粗糙。又,由於高速的電子會被照射於被處理基板,因此遮掩效果會被壓制,被處理基板的微細加工性會提昇。
又,利用上述(4)的效果,可藉由適當控制電漿電位來抑止蝕刻副產物附著於電極,或處理室壁(堆積物屏蔽等),處理容器內的絶縁材等的處理容器內構件。
又,利用上述(5)的效果,可使對被處理基板的蝕刻速率(蝕刻速度)上昇。又,利用上述(6)的效果,即使處理容器內的壓力高,且所使用的蝕刻氣體為負性氣體,還是可以抑止處理容器內的中心部的電漿密度低於周邊(可抑止負離子的生成),可控制電漿密度,而使電漿密度能夠均一化。
藉此,可高度維持光阻劑層等的有機光罩層的耐電漿性,以高選擇比來蝕刻。或,可有效解消堆積物附著於電極。或可高速的蝕刻,或對被處理基板進行均一的蝕刻。若由本發明的第3,第7,第11的觀點來看,可發揮控制電漿電位的效果。藉此,可藉由適當控制電漿電位來抑止蝕刻副產物附著於電極,或處理室壁(堆積物屏蔽等),處理容器內的絶縁材等的處理容器內構件。
以下,參照圖面來具體說明有關本發明的實施形態。
<實施形態1>
首先,說明有關第1實施形態。圖1是表示本發明的第1實施形態之電漿蝕刻裝置的概略剖面圖。
此電漿蝕刻裝置是作為電容耦合型平行平板電漿蝕刻裝置來構成,例如具有表面被施以陽極氧化處理的鋁所構成的略圓筒狀處理室(處理容器)10。此處理室10會被安定接地。
在處理室10的底部,經由由陶瓷等所構成的絶縁板12來配置有圓柱狀的基座支持台14,此基座支持台14上,例如設置有由鋁所構成的基座16。基座16是構成下部電極,在其上載置有被處理基板的半導體晶圓W。
在基座16的上面設有以静電力來吸著保持半導體晶圓W的静電卡盤(chuck)18。此静電卡盤18具有以一對的絶縁層或絶縁薄板來夾持由導電膜所構成的電極20之構造者,在電極20電性連接直流電源22。然後,藉由來自直流電源22的直流電壓所產生的庫倫力等的静電力,使半導體晶圓W吸著保持於静電卡盤18。
在静電卡盤18(半導體晶圓W)的周圍,基座16的上面,配置有用以使蝕刻的均一性提昇之例如由矽所構成的導電性的調焦環(修正環)24。在基座16及基座支持台14的側面,設有例如由石英所構成的圓筒狀的內壁構件26。
在基座支持台14的內部,例如在圓周上設有冷媒室28。在此冷媒室中,可利用設置於外部之未圖示的冷卻單元,經由配管30a,30b來循環供給所定温度的冷媒,例如冷卻水,藉由冷媒的温度來控制基座上的半導體晶圓W的處理温度。
並且,來自未圖示的傳熱氣體供給機構的傳熱氣體,例如He氣體會經由氣體供給線32來供給至静電卡盤18的上面及半導體晶圓W的背面之間。
在下部電極的基座16的上方,以能夠和基座16呈對向之方式平行設有上部電極34。然後,上部及下部電極34,16間的空間會形成電漿生成空間。上部電極34是與下部電極之基座16上的半導體晶圓W呈對向,而形成與電漿生成空間接觸的面,亦即對向面。
此上部電極34是藉由電極板36及水冷構造的電極支持體38所構成。該電極板36是經由絶縁性遮蔽構件42來支持於處理室10的上部,構成與基座16的對向面,且具存多數個噴出孔37。該水冷構造的電極支持體38是可自由裝卸支持該電極板36,由導電性材料,例如表面被施以陽極氧化處理的鋁所構成者。電極板36最好是焦耳熱少的低電阻的導電體或半導體,且如後述,由強化光阻劑的觀點來看,最好為矽含有物質。由如此的觀點來看,電極板36最好是以矽或SiC來構成。在電極支持體38的內部設有氣體擴散室40,從該氣體擴散室40連通至氣體噴出孔37的多數個氣體通流孔41會延伸至下方。
在電極支持體38中形成有往氣體擴散室40導入處理氣體的氣體導入口62,在此氣體導入口62連接有氣體供給管64,在氣體供給管64連接處理氣體供給源66。在氣體供給管64,由上游側依次設有質量流量控制器(MFC)68及開閉閥70(亦可取代MFC,使用FCN)。然後,從處理氣體供給源66,作為供以蝕刻的處理氣體,例如C4 F8 氣體之類的氟碳(fluoro carbon)氣體(CxFy)會從氣體供給管64至氣體擴散室40,經由氣體通流孔41及氣體噴出孔37來以噴淋狀噴出至電漿生成空間。亦即,上部電極34具有用以供給處理氣體的蓮蓬頭之機能。
在上部電極34,經由整合器46及給電棒44來電性連接第1高頻電源48。第1高頻電源48是輸出13.56MHz以上的頻率,例如60MHz的高頻電力。整合器46是使負荷電阻整合於第1高頻電源48的內部(或輸出)電阻(impedance)者,在處理室10內產生電漿時,第1高頻電源48的輸出電阻與負荷電阻會看起來一致。整合器46的輸出端子是被連接至給電棒44的上端。
另一方面,在上述上部電極34,除了第1高頻電源48以外,還電性連接有可變直流電源50。可變直流電源50亦可為雙極電源。具體而言,此可變直流電源50是經由上述整合器46及給電棒44來連接至上部電極34,可藉由開啟.關閉開關52來進行給電的開啟.關閉。可變直流電源50的極性及電流.電壓以及開啟.關閉開關52的開啟.關閉是藉由控制器(控制裝置)51來控制。
整合器46,如圖2所示,具有從第1高頻電源48的給電線49分歧設置之第1可變電容器54、及設置於給電線49的分歧點的下流側之第2可變電容器56,藉由該等來發揮上述機能。並且,在整合器46,以直流電壓電流(以下簡稱為直流電壓)能夠有效地供給至上部電極34之方式,設有捕捉來自第1高頻電源48的高頻(例如60MHz)及來自後述第2高頻電源的高頻(例如2MHz)之過濾器58。亦即,來自可變直流電源50的直流電流會經由過濾器58來連接至給電線49。此過濾器58是由線圈59及電容器60所構成,藉由該等來捕捉來自第1高頻電源48的高頻及來自後述第2高頻電源的高頻。
以能夠從處理室10的側壁延伸至比上部電極34的高度位置更上方的方式,設有圓筒狀的接地導體10a,此圓筒狀接地導體10a的頂壁部分是藉由筒狀的絶縁構件44a來從上部給電棒44電性絶縁。
在下部電極的基座16,經由整合器88來電性連接第2高頻電源90。由此第2高頻電源90來供應高頻電力給下部電極基座16,藉此離子會被引入半導體晶圓W側。第2高頻電源90是輸出300kHz~13.56MHz範圍內的頻率,例如輸出2MHz的高頻電力。整合器88是供以使負荷電阻整合於第2高頻電源90的內部(或輸出)電阻者,在處理室10內產生電漿時,第2高頻電源90的內部電阻與負荷電阻會看起來一致。
在上部電極3,供以使來自第1高頻電源48的高頻(60MHz)不會通過,使來自第2高頻電源90的高頻(2MHz)通往接地的低通過濾器(LPF)92會被電性連接。此低通過濾器(LPF)92最好是以LR過濾器或LC過濾器所構成。另一方面,在下部電極的基座16,供以使來自第1高頻電源48的高頻(60MHz)通往接地的高通過濾器(HPF)94會被電性連接。
在處理室10的底部設有排氣口80,在此排氣口80經由排氣管82來連接排氣裝置84。排氣裝置84具有渦輪分子泵(turbo molecular pump)等的真空泵,可使處理室10內減壓至所望的真空度。並且,在處理室10的側壁設有半導體晶圓W的搬入出口85,此搬入出口85可藉由閘閥86來開閉。而且,沿著處理室10的內壁可自由裝卸地設有供以防止在處理室10附著蝕刻副產物(堆積物)的堆積物屏蔽11。亦即,堆積物屏蔽11構成處理室壁。並且,堆積物屏蔽11亦設置於內壁構件26的外周。在處理室10的底部的處理室壁側的堆積物屏蔽11與內壁構件26側的堆積物屏蔽11之間設有排氣板83。堆積物屏蔽11及排氣板83可適用在鋁材覆蓋Y2 O3 等的陶瓷者。
在與構成與堆積物屏蔽11的處理室內壁的部分的晶圓W大致相同高度的部分,設有DC連接至接地的導電性構件(GND區塊)91,藉此發揮後述的異常放電防止效果。
電漿蝕刻裝置的各構成部是形成被連接至控制部(全體控制裝置)95而被控制的構成。並且,在控制部95連接使用者介面96,該使用者介面96是由:工程管理者為了管理電漿蝕刻裝置而進行指令的輸入操作等的鍵盤,及使電漿處理裝置的作動狀況可視化顯示的顯示器等所構成。
另外,在控制部95連接記憶部97,該記憶部97儲存有供以藉由控制部95的控制來實現執行於電漿蝕刻裝置的各種處理之控制程式,或供以按照處理條件來使處理執行於電漿蝕刻裝置的各構成部之程式亦即方法(recipe)。方法可記憶於硬碟或半導體記憶體,或收容於CDROM,DVD等可藉由可搬性的電腦來讀取的記憶媒體之狀態下設置於記憶部97的所定位置。
然後,因應所需,根據來自使用者介面96的指示等,從記憶部97呼叫出任意的方法,使執行於控制部95,在控制部95的控制下,進行電漿蝕刻裝置的所望處理。另外,本發明的實施形態所述的電漿處理裝置(電漿蝕刻裝置)是包含此控制部95者。
在如此構成的電漿蝕刻裝置中進行蝕刻處理時,首先閘閥86為開狀態,經由搬入出口85來將蝕刻對象的半導體晶圓W搬入處理室10內,載置於基座16上。然後,從處理氣體供給源66以所定的流量來將蝕刻用的處理氣體供給至氣體擴散室40,一面經由氣體通流孔41及氣體噴出孔37來供給至處理室10內,一面藉由排氣裝置84來對處理室10內進行排氣,其中的壓力例如設為0.1~150Pa的範圍內的設定值。在此,處理氣體可採用以往所被使用的各種氣體,例如以C4 F8 氣體之類的氟碳氣體(CxFy)為代表之含有鹵元素的氣體。又,亦可含Ar氣體或O2 氣體等其他的氣體。
在如此將蝕刻氣體導入處理室10內的狀態下,由第1高頻電源48以所定的功率來對上部電極34施加電漿生成用的高頻電力,且由第2高頻電源90以所定的功率來對下部電極的基座16施加離子引入用的高頻。然後,由可變直流電源50來對上部電極34施加所定的直流電壓。又,由静電卡盤18用的直流電源22來對静電卡盤18的電極20施加直流電壓,而使半導體晶圓W固定於基座16。
自形成於上部電極34的電極板36的氣體噴出孔37所噴出的處理氣體是在利用高頻電力所產生之上部電極34與下部電極(亦即基座16)間的輝光放電中電漿化,藉由以該電漿所生成的游離基或離子來蝕刻半導體晶圓W的被處理面。並且,如此對上部電極34供給電漿形成用的第1高頻電力,而來調節電漿密度,對下部電極的基座16供給離子引入用的第2高頻電力,而來調節電壓,因此可擴大電漿的控制範圍。
在本實施形態中,如此形成電漿時,由於是對上部電極34供給高頻區域(例如,10MHz以上)的高頻電力,因此可在較佳的狀態下使電漿高密度化,即使在更低壓的條件下,照樣能夠形成高密度電漿。
並且,在如此形成電漿時,由可變直流電源50來對上部電極34施加所定的極性及大小的直流電壓。此刻,最好是以能夠取得對施加電極的上部電極34的表面亦即電極板36的表面之所定的(適度的)濺射效果的程度使其表面的自我偏壓電壓Vd c 變深之方式,亦即在上部電極34表面的Vd c 的絶對值變大之方式,藉由控制器51來控制來自可變直流電源50的施加電壓。當自第1高頻電源48所施加的高頻功率低時,雖於上部電極34附著有聚合物,但藉由自可變直流電源50施加適當的直流電壓,可濺射附著於上部電極34的聚合物,使上部電極34的表面清浄化。同時,可在半導體晶圓W上供給最適量的聚合物,解除光阻劑膜的表面粗糙。並且,調整來自可變直流電源50的電壓,濺射上部電極34本身,而使能夠在半導體晶圓W表面供給電極材料本身,藉此於光阻劑膜表面形成碳化物,強化光阻劑膜,且所被濺射的電極材料會與氟碳系的處理氣體中的F反應,然後排氣,藉此電漿中的F比率會減少,光阻劑膜會難以被蝕刻。當電極板36為矽或SiC等的矽含有物質時,被濺射於電極板36表面的矽會與聚合物反應,而於光阻劑膜表面形成有SiC,光阻劑膜會形成極強固者,且因為Si容易與F反應,所以上述效果特別大。因此,電極板36的材料較理想為矽含有物質。另外,此情況,亦可取代控制來自可變直流電源50的施加電壓,而控制施加電流或施加電力。
如此在上部電極34施加直流電壓,而自我偏壓電壓Vd c 變深時,如圖3所示,形成於上部電極34側的電漿外皮的厚度會變大。然後,一旦電漿外皮變厚,則該部份電漿會被縮小化。例如,在上部電極34不施加直流電壓時,上部電極側的Vd c 例如為-300V,如圖4A所示,電漿為具有較薄的外皮厚do 的狀態。但,若在上部電極34施加-900V的直流電壓,則上部電極側的Vd c 會形成-900V,電漿外皮的厚度為Vd c 的絶對值的3/4比例,如圖4B所示,更厚的電漿外皮d1 會被形成,該部份電漿會縮小化。藉由如此形成較厚的電漿外皮,而使電漿適當地縮小化,半導體晶圓W上的實效常駐時間會減少,且電漿會集中於晶圓W上,擴散會被抑止,解離空間會減少。藉此,氟碳系的處理氣體的解離會被抑止,光阻劑膜難以被蝕刻。因此,來自可變直流電源50的施加電壓,最好藉由控制器51來控制上部電極34之電漿外皮的厚度能夠形成所望縮小化的電漿程度之厚度。此情況,亦可取代控制來自可變直流電源50的施加電壓,而控制施加電流或施加電力。
並且,在電漿被形成時,電子會被產生於上部電極34近傍。若從可變直流電源50來對上部電極34施加可變直流電源50,則藉由所施加後的直流電壓值與電漿電位的電位差,電子會往處理空間的鉛直方向加速。藉由使可變直流電源50的極性、電壓值、電流值形成所望者,電子會被照射於半導體晶圓W。所被照射的電子可使作為光罩的光阻劑膜的組成改質,光阻劑膜會被強化。因此,藉由控制可變直流電源50的施加電壓值及根據施加電流值而產生於上部電極34近傍的電子的量、及如此的電子往晶圓W的加速電壓,可謀求對光阻劑膜之所定的強化。
特別是,半導體晶圓W上的光阻劑膜為AR F準分子雷射(波長193nm)用的光阻劑膜(以下既為ArF光阻劑膜)時,ArF光阻劑膜的聚合物構造是經由以下的化學式(1)、(2)所示的反應,被電子照射後形成化學式(3)的右邊那樣的構造。亦即,一旦被電子照射、則如化學式(3)的d部所示,會發生AR F光阻劑膜的組成的改質(光阻劑的架橋反應)。由於此d部具有非常強的蝕刻耐性(電漿耐性),因此ArF光阻劑膜的蝕刻耐性會飛躍地増大。所以,可抑止ArF光阻劑膜的表面粗糙,可提高蝕刻對象層對ArF光阻劑膜的蝕刻選擇比。
因此,來自可變直流電源50的施加電壓值.電流值,最好是藉由控制器51來控制成光阻劑膜(特別是ArF光阻劑膜)的蝕刻耐性能藉電子的照射而變強。
又,如上述,若對施加上部電極34,則在電漿被形成時產生於上部電極34近傍的電子會往處理空間的鉛直方向加速,但藉由使可變直流電源50的極性、電壓值、電流值形成所望者,可使電子到達半導體晶圓W的孔內,抑止遮掩(shading)效果、可取得無波音之良好的加工形狀,可使加工形狀的均一性佳。
被控制加速電壓的電子射入晶圓W的電子量為使用根據直流電壓的電子電流量ID c 時,若由電漿射入晶圓的離子電流量為Ii o n ,則最好符合ID C >(1/2)Ii o n 。Ii o n =Zρvi o n e(Z:荷數,ρ:流速密度,Vi o n :離子速度,e:電子的電荷量1.6×10 1 9 C),由於ρ是與電子密度Ne成比例,所以Ii o n 會與Ne成比例。
如此,控制施加於上部電極34的直流電壓,而使發揮上述上部電極34的濺射機能或電漿的縮小化機能,以及產生於上述上部電極34的多量電子之往半導體晶圓W的供給機能,藉此可謀求光阻劑膜的強化或最適聚合物的供給,處理氣體的解離抑止等,可抑止光阻劑的表面粗糙等,且能提高蝕刻對象層對光阻劑膜的蝕刻選擇比。同時,可抑止光阻劑的開口部之CD的擴展,可實現更高精度的圖案形成。特別是控制直流電壓,而使能夠適當地發揮濺射機能、電漿的縮小化機能及電子的供給機能等3個,藉此可更為提高如此的效果。
另外,上述各機能中哪個優先產生會依處理條件等而有所不同,最好是藉由控制器51來控制從可變直流電源50所被施加的電壓,而使該等機能的一個以上發揮,有效發揮上述效果。
說明有關利用如此的機能來改善蝕刻對象膜的SiO2 膜對光阻劑膜的選擇比之結果。在此,使用矽作為上部電極34的電極板36,由第1高頻電源48來對上部電極34供給頻率60MHz,100~3000W的高頻電力,由第2高頻電源90來對下部電極的基座16供給頻率2MHz,4500W的高頻電力,然後使用C4 F6 /Ar/O2 作為蝕刻氣體,掌握使來自可變直流電源50的施加電壓變化時之光阻劑膜及SiO2 膜的蝕刻速率的變化及SiO2 膜對光阻劑膜的選擇比的變化。將其結果顯示於圖5。如該圖所示,可知在上部電極34施加負的直流電壓,隨著其絶對值上昇,SiO2 膜對光阻劑膜的選擇比會上昇,若超過-600V,而其絶對值變大,則選擇比會顯著上昇。亦即,若在上部電極34施加比-600V更高絶對值的負直流電壓,則可大幅度改善SiO2 膜對光阻劑膜的選擇比。
又,藉由調整施加於上部電極34的直流電壓,可控制電漿電位。藉此,具有抑止蝕刻副產物的附著於上部電極34或構成處理室壁的堆積物屏蔽11,內壁構件26,絶縁性遮蔽構件42 之機能。
若蝕刻副產物附著於上部電極34或構成處理室壁的堆積物屏蔽11等,則會有製程特性的變化或粒子之虞。特別是在連續蝕刻多層膜時,例如連續蝕刻依次將圖6所示的Si系有機膜(SiOC)101,SiN膜102,SiO2 膜103,光阻劑104積層於半導體晶圓W上的多層膜時,由於蝕刻條件會依各膜而有所不同,因此前處理的影響會殘留,而對其次的處理造成不良影響,亦即產生記憶效應。
由於如此之蝕刻副產物的附著是依電漿電位與上部電極34或處理室壁等之間的電位差而影響,因此若能夠控制電漿電位,則可抑止如此之蝕刻生成物的附著。
圖7是表示在上部電極34施加直流電壓時之電漿電位波形的變化。圖8是表示供給至上部電極的直流電壓的值與電漿電位的最大值之關係。如該等的圖所示,若在上部電極34施加負的直流電壓,則其絶對值越大,電漿電位的最大值會越低。亦即,可藉由施加於上部電極34的直流電壓來控制電漿電位。這是因為藉由在上部電極34施加比施加於上部電極34的高頻電力的自我偏壓(Vd c )的絶對值更高的直流電壓,Vd c 的絶對值會變大,電漿電位會降低所致。更詳細說明,電漿電位的值是根據上部電極之電漿電位的推上而定。但,若將絶對值高的負電壓施加於上部電極,則上部電極的電壓振幅會全以負的電位來進行,因此電漿電位是形成以壁的電位而定。所以,電漿電位會降低。
如此,藉由控制從可變直流電源50施加於上部電極34的電壓,可使電漿電位降低,可抑止蝕刻副產物的附著於上部電極34或構成處理室壁的堆積物屏蔽11,甚至處理室10內的絶縁材(構件26,42)。電漿電位Vp的值,最好為80V≦Vp≦200V的範圍。
又,藉由控制施加於上部電極34的直流電壓,可使如此的電漿電位控制機能、上述上部電極34的濺射機能及電漿的縮小化機能及電子的供給機能有效發揮。
又,藉由在上部電極34施加直流電壓的其他效果,可舉藉由施加後的直流電壓來形成電漿,藉此提高電漿密度,而使蝕刻速率提昇者。
這是因為若在上部電極施加負的直流電壓,則電子會難以進入上部電極,電子的消滅會被抑止,以及若離子被加速而進入上部電極,則電子可從電極出去,該電子會以電漿電位與施加電壓值的差來高速地加速,使中性氣體成電離(電漿化),藉此電子密度(電漿密度)會増加。
又,當電漿被形成時,若由可變直流電源50來施加直流電壓於上部電極34,則會因為電漿擴散,使比較中心部的電漿密度上昇。當處理室10內的壓力高且使用的蝕刻氣體為負性氣體時,雖處理室10內的中心部的電漿密度會有變低的傾向,但藉由在上部電極34施加直流電壓,可使中心部的電漿密度上昇,控制電漿密度,而使能夠進行均一的蝕刻。但,由於蝕刻特性是僅以電漿密度來規定,因此並非電漿密度越均一,蝕刻就會形成均一。
藉由實驗來說明此情況。
在圖1的裝置中,將半導體晶圓裝入處理室內,載置於基座上,進行BARC(有機反射防止膜)及蝕刻對象膜的蝕刻。在BARC的蝕刻時,第1高頻電力為2500W,第2高頻電力為2000W,處理氣體為使用CH2 F2 ,CHF3 ,Ar,O2 。並且,在蝕刻對象膜的蝕刻時,第1高頻電力為1500W,第2高頻電力為4500W,處理氣體為使用CH4 F6 ,CF4 ,Ar,O2 ,進行孔的蝕刻。此刻,使施加於上部電極的直流電壓變化成-800V,-1000V,-1200V。將此刻之電子密度(電漿密度)的徑方向的分布顯示於圖9。如此圖所示,若從-800V往-1200V,則直流電壓的絶對值越増加,中心的電子密度越上昇,電漿密度會有形成均一的傾向。將此刻之中心與邊端的蝕刻形狀顯示於圖10A-C。由此圖可知,直流電壓從-800V形成-1000V,蝕刻的均一性會増加。另一方面,從-1000V形成-1200V,電子密度的均一性雖増加,但在中心蝕刻性過高,相反的蝕刻均一性會降低。由此可確認出,-1000V為蝕刻的均一性最佳者。總之,可藉由調整直流電壓來進行均一的蝕刻。
如以上所述,可藉由控制施加於上部電極34的直流電壓,有效地發揮上述上部電極34的濺射機能,電漿的縮小化機能,電子的供給機能,電漿電位控制機能,電子密度(電漿密度)上昇機能,及電漿密度控制機能的至少其中之一。
就可變直流電源50而言,可適用能夠施加-2000~+1000V的範圍的電壓者。而且,為了使以上所述的諸機能有效地發揮,最好來自可變直流電源50的直流電壓為絶對值500V以上。
又,最好所施加的直流電壓是比藉由施加於上部電極34的第1高頻電力來產生於上部電極表面的自我偏壓電壓更大絶對值的負電壓。
以下說明有關確認此情況的實験。圖11是表示由第1高頻電源48改變電漿生成用的高頻電力(60MHz)的功率來施加於上部電極34時,產生於上部電極34表面的自我偏壓電壓Vd c 與施加於上部電極34的直流電壓之關係圖表。在此,處理室內壓力=2.7Pa,在上部電極34施加650W,1100W或2200W的高頻電力,在作為下部電極的基座16施加2100W的高頻電力,以處理氣體流量C4 F6 /Ar/O2 =25/700/26mL/min,上下部電極間距離=25mm,逆壓(Back Pressure)(中心部/邊端部)=1333/4666Pa,上部電極34的溫度=60℃,處理室10側壁的温度=50℃,基座16的温度=0℃的條件來產生電漿,測定上部電極34表面的自我偏壓電壓Vd c
由圖11的圖表可知,施加後的直流電壓在比上部電極34的自我偏壓電壓Vd c 更大時,其效果會呈現,且供給至上部電極34的高頻電力越大,所發生的負的自我偏壓電壓Vd c 也越會變大。因此,在施加直流電壓時,必須施加比利用高頻電力之自我偏壓電壓Vd c 更大絶對值的負電壓。由此可確認出,對上部電極34施加之直流電壓的絶對值最好是設定成比發生於上部電極的自我偏壓電壓Vd c 更大。
又,如圖12所示,設置一例如由電漿檢測窗10a來檢測電漿的狀態之檢測器55,控制器51可根據其檢測信號來控制可變直流電源50,藉此可將有效發揮上述機能的直流電壓予以自動地施加於上部電極34。又,亦可設置檢測外皮厚的檢測器或檢測電子密度的檢測器,控制器51可根據其檢測信號來控制可變直流電源50。
在此,於本實施形態的電漿蝕刻裝置中,在蝕刻形成於晶圓W上的絶縁膜(例如Low-k膜)時,作為處理氣體使用之特別較佳的氣體組合,如以下所示的例子。
在孔蝕刻的條件之過蝕刻時,所使用較理想的處理氣體組合,可舉C5 F8 ,Ar,N2 。藉此,可取較大絶縁膜對基底膜(SiC,SiN等)的選擇比。
又,就溝蝕刻的條件而言,所使用較理想的處理氣體組合,可舉CF4 或(C4 F8 ,CF4 ,Ar,N2 ,O2 )。藉此,可取較大絶縁膜對光罩的選擇比。
又,就HARC蝕刻的條件而言,所使用較理想的處理氣體組合,可舉(C4 F6 ,CF4 ,Ar,O2 )或(C4 F6 ,C3 F8 ,Ar,O2 )或(C4 F6 ,CH2 F2 ,Ar,O2 )。藉此,可增大絶縁膜的蝕刻速度。
另外,並非限於上述,可使用(CxHyFz的氣體/N2 ,O2 等的添加氣體/稀釋氣體的組合)。
但,若對上部電極34施加直流電壓,則電子會在上部電極34積存,在與處理室10的內壁之間等會有發生異常放電之虞。為了抑止如此的異常放電,本實施形態是將DC性接地的零件亦即GND區塊(導電性構件)91設置於處理室壁側的堆積物屏蔽11。此GND區塊91是露出於電漿面,在堆積物屏蔽11的內部的導電部電性連接,由可變直流電源50來施加於上部電極34的直流電壓電流是經由處理空間來到達GND區塊91,且經由堆積物屏蔽11來接地。GND區塊91為導電體,較理想為Si,SiC等的矽含有物質。亦可適用C。藉由此GND區塊91,可使積存於上述上部電極34的電子逃離,防止異常放電。GND區塊91的突出長度最好為10mm以上。
又,為了防止異常放電,其有效的方法,例如在上部電極34施加直流電壓時,可藉由適當的手段重疊於直流電壓來周期性賦予第1實施形態之圖13所示極短的逆極性的脈衝,而中和電子。
若上述GND區塊91設置於電漿形成區域,則該位置並非限於圖1的位置,例如圖14所示,亦可設置於基座16的周圍等,設置於基座16側,且如圖15所示,亦可環狀設置於上部電極34的外側等,設置於上部電極34。但,在形成電漿時,覆蓋於堆積物屏蔽11等的Y2 O3 或聚合物會飛翔,一旦附著於GND區塊91,則不會被DC性接地,難以發揮異常放電防止效果,因此使難以附著是件重要的事。因應於此,最好GND區塊91是離開被Y2 O3 等所覆蓋的構件的位置,就隣接零件而言,最好為Si或石英(SiO2 )等的Si含有物質。例如,圖16A所示,最好在GND區塊91的周圍設置Si含有構件93。此情況,最好Si含有構件93的GND區塊91之下的部分的長度L為GND區塊91的突出長度M以上。又,為了抑止Y2 O3 或聚合物的附著所造成的機能低下,如圖16B所示,其有效的方法是設置一飛翔物難以附著的凹所91a來作為GND區塊91。又,亦可擴大GND區塊91的表面積,使難以被Y2 O3 或聚合物所覆蓋。又,為了抑止附著物,温度高有效,但因為在上部電極34會被供給電漿形成用的高頻電力,其近傍的温度會上昇,所以由使温度上昇來不使附著物附著的觀點來看,最好如上述圖15所示設置於上部電極34的近傍。此情況,特別是如上述圖15所示,最好環狀設置於上部電極34的外側。
為了更具效果地排除隨著覆蓋於堆積物屏蔽11等之Y2 O3 或聚合物的飛翔而對GND區塊91之附著物的影響,如圖17所示,可在GND區塊91施加負的直流電壓。亦即,藉由在GND區塊91施加負的直流電壓,附著於此的附著物會被濺射或蝕刻,可清潔GND區塊91的表面。在圖17的構成中設置切換機構53,其係於可變直流電源50側與接地線切換GND區塊91的連接,而使能夠由可變直流電源50來對GND區塊91施加電壓,且設有被接地的導電性輔助構件91b,其係使負的直流電壓被施加於GND區塊91時所發生的直流電子電流流入。切換機構53具有:在整合器46側與GND區塊91側之間切換可變直流電源50的連接之第1開關53a,及開啟.關閉往GND區塊91的接地線的連接之第2開關53b。另外,在圖17的例中,GND區塊91會被環狀設置於上部電極34的外側,導電性輔助構件91b會被設置於基座16的外周,此配置雖理想,但並非一定要如此的配置。
在圖17之構成的裝置中,電漿蝕刻時,通常如圖18A所示,切換機構53的第1開關53a會被連接至上部電極34側,可變直流電源50會形成連接至上部電極34側的狀態,且第2開關53b會被開啟,GND區塊91會被連接至接地線側。在此狀態中,由第1高頻電源48及可變直流電源50來給電至上部電極34,而形成電漿,直流電子電流是經由電漿來從上部電極34流入所被接地的GND區塊91及導電性輔助構件91b(與正離子電流的流向呈相反)。此刻,GND區塊91的表面是被上述Y2 O3 或聚合物等的附著物所覆蓋。
因此,會清潔如此的附著物。在進行如此的清潔時,如圖18B所示,將切換機構53的第1開關53a切換至GND區塊91側,關閉第2開關53b。在此狀態中,由第1高頻電源48來給電至上部電極34,而形成清潔電漿,由可變直流電源50來對GND區塊91施加負的直流電壓。藉此,直流電子電流是由GND區塊91來流入導電性輔助構件91b。相反的,正離子是流入GND區塊91。因此,可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而得以離子濺射GND區塊91表面,藉此能夠去除GND區塊91表面的附著物。
此外,在電漿蝕刻時的部份期間,如圖19所示,亦可關閉第2開關53b,使GND區塊91成為浮動狀態。此刻,直流電子電流是經由電漿來從上部電極34流入導電性輔助構件91a(與正離子電流的流向呈相反)。此刻在GND區塊91中施以自我偏壓電壓,以部份的能量來射入正離子,可在電漿蝕刻時清潔GND區塊91。
另外,在上述清潔時,所施加的直流電壓可為較小,此刻的直流電子電流小。因此,在圖17的構成中,可藉洩漏電流在GND區塊91中電荷不會積存時,並非一定要導電性輔助構件91b。
在上述圖17的例子中,清潔時,將可變直流電源50的連接從上部電極34側切換至GND電極91側,施加直流電壓時的直流電子電流會從GND區塊91來流至導電性輔助構件91b,但亦可將可變直流電源50的正極連接至上部電極34,將負極連接至GND區塊91,在施加直流電壓時的直流電子電流會從GND區塊91來流至上部電極34。此情況,不需要導電性輔助構件。將如此的構成顯示於圖20。在圖20的構成中,設有連接切換機構57,其係於電漿蝕刻時,可變直流電源50的負極會被連接至上部電極34,且GND區塊91會被連接至接地線,清潔時,可變直流電源50的正極會被連接至上部電極34,負極會被連接至GND區塊91。
此連接切換機構57具有:第1開關57a,其係於正極與負極之間切換對上部電極34之可變直流電源50的連接;第2開關57b,其係於正極與負極之間切換對GND區塊91之可變直流電源50的連接;及第3開關57c,其係用以使可變直流電源50的正極或負極接地。
又,第1開關57a與第2開關57b是構成連動開關,亦即當第1開關57a連接至可變直流電源50的正極時,第2開關57b會被連接至直流電源的負極,當第1開關57a連接至可變直流電源50的負極時,第2開關57b會形成關閉。
在圖20之構成的裝置中,在電漿蝕刻時,如圖21A所示,連接切換機構57的第1開關57a會被連接至可變直流電源50的負極側,可變直流電源50的負極會形成連接至上部電極34側的狀態,且第2開關57b會被連接至可變直流電源50的正極側,第3開關57c會被連接至可變直流電源50的正極側(使可變直流電源50的正極接地),GND區塊91會被連接至接地線側。在此狀態中,由第1高頻電源48及可變直流電源50來給電至上部電極34而形成電漿,直流電子電流是經由電漿來從上部電極34流入被接地的GND區塊91(與正離子電流的流向呈相反)。此刻,GND區塊91的表面是被上述Y2 O3 或聚合物等的附著物所覆蓋。
另一方面,在清潔時,如圖21B所示,將連接切換機構57的第1開關57a切換至可變直流電源50的正極側,將第2開關57b切換至可變直流電源50的負極側,且使第3開關57c成為未連接狀態。在此狀態中,從第1高頻電源48來給電至上部電極34而形成清潔電漿,在GND區塊91中從可變直流電源50的負極來施加直流電壓,在上部電極34中從可變直流電源50的正極來施加直流電壓,藉由該等之間的電位差,直流電子電流會從GND區塊91來流入上部電極34,相反的,正離子會流入GND區塊91。因此,可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而使能夠離子濺射GND區塊91表面,藉此可去除GND區塊91表面的附著物。另外,此情況,雖可變直流電源50看起來為浮動狀態,但一般在電源設有幀接地線,因此安全。
又,上述例中雖使第3開關57c成為未連接狀態,但亦可維持連接於可變直流電源50的正極側(使可變直流電源50的正極接地)。在此狀態中,從第1高頻電源48來給電至上部電極34而形成清潔電漿,在GND區塊91中從可變直流電源50的負極來施加直流電壓,直流電子電流會經由電漿來從GND區塊91流入上部電極34,相反的,正離子會流入GND區塊91。在此情況中,亦可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而使能夠離子濺射GND區塊91表面,藉此可去除GND區塊91表面的附著物。
另外,就圖17及圖20的例子而言,在清潔時,是在GND區塊91中施加直流電壓,但亦可施加交流電壓。並且,在圖17的例子中,是使用供以在上部電極施加直流電壓的可變直流電源50來對GND區塊91施加電壓,但亦可由別的電源來施加電壓。此外,就圖17及圖20的例子而言,在電漿蝕刻時,是使GND區塊91接地,在清潔時,是在GND區塊91施加負的直流電壓,但並非限於此。例如,在電漿蝕刻時,亦可在GND區塊91施加負的直流電壓。又,亦可將上述清潔時置換成灰化時。又,使用雙極電源來作為可變直流電源50時,不需要上述連接切換機構57那樣複雜的開關動作。
圖17之例的切換機構53,圖20之例的連接切換機構57的切換動作是根據來自控制部95的指令進行。
在形成電漿時,由簡易防止因Y2 O3 或聚合物附著於GND區塊91而造成無法DC性接地的觀點來看,其有效方法,是以其他的構件來覆蓋GND區塊91的一部份,使該等相對移動,而令GND區塊91的新的面能夠露出。具體而言,如圖22所示,GND區塊91為較大面積,以能夠移動於箭號方向的光罩材111來覆蓋GND區塊91所接觸電漿的表面一部份,可藉由移動該保護板111來改變GND區塊91表面所暴露於電漿的部分。此情況,若將驅動機構設置於處理室10內,則會有引起粒子發生之虞,但由於使用頻度少,百小時使用一次,因此不會發生大問題。並且,如圖23所示,例如將圓柱狀的GND區塊191設成可旋轉,以只有GND區塊191的外周面的一部份能夠露出之方式,以光罩材112來覆蓋,可藉由旋轉GND區塊191來改變暴露於電漿的部分。此情況,驅動機構可設置於處理室10外。光罩材111,112可使用耐電漿性高,例如溶射Y2 O3 等的陶瓷的鋁板。
又,同樣用以簡易防止GND區塊91因附著物而無法DC性接地的其他有效手法,是以其他的構成來覆蓋GND區塊91的一部份,其他的構件為使用藉由電漿而慢慢地被蝕刻者,使GND區塊91一直未失去導電性的面能夠露出。例如圖24A所示,以附階差的保護膜113來覆蓋GND區塊91表面的一部份,使初期露出面91c具有接地機能。若在此狀態下例如進行200小時的電漿處理,則如圖24B所示,雖GND區塊91的初期露出面91c會失去導電性,但此刻附階差的保護膜113的較薄部分會被蝕刻,而使GND區塊91的新露出面91d能夠出現。藉此新露出面91d可發揮接地機能。如此的保護膜113具有可防止壁面材料附著於GND區塊91的效果、及減少離子流入GND區塊91,而來防止汚染的效果。
在實際的使用中,如圖25所示,最好多數積層較薄的層114,使用各層錯開少些的保護膜113a。此情況,若將1個層114藉由電漿的蝕刻而消失的時間設為Te,將GND區塊91的露出表面被汚染而消失導電性為止的時間設為Tp,則以一定能夠滿足Te<Tp的方式來設定層114的厚度,可在GND區塊91中經常確保保持導電性的表面。就層114的數量而言,最好是以GND區塊91的壽命比維修的周期更長的方式來選擇。又,為了提高維修性,如圖示,可事先設置1層與其他不同顏色的層114a,例如在該膜114a形成一定面積以上的時間點進行交換,而使能夠掌握交換時期。
就保護膜113,113a而言,最好為電漿所能適度蝕刻者,例如可適用光阻劑膜。
又,用以簡易防止GND區塊91因附著物而無法DC性接地的其他方法,可舉一設置複數個GND區塊91,依次切換其中可使接地機能奏效者。例如圖26所示,設置3個GND區塊91,以只能夠使該等的一個接地之方式來設置切換開關115。並且,在共通的接地線116事先設置電流感測器117,監控流動於此的直流電流。以電流感測器117來監控所被接地之GND區塊91的電流,在其電流值低於所定值的時間點,切換成別的GND區塊91。另外,GND區塊91的數量,只要在3~10個程度的範圍內選擇適當的數量即可。
在以上的例子中,未被接地的GND區塊是形成電性浮動狀態,但由保護未使用的GND區塊的觀點來看,亦可取代設置切換開關115,而施加保護用的電位。將該例顯示於圖27。就圖27而言,是在個別連接於各GND區塊91的接地線118分別設置可變直流電源119。藉此,以使應發揮接地機能的GND區塊91的電壓能夠形成0V之方式來控制所對應之可變直流電源119的電壓,有關其他的GND區塊91,則是以能夠形成電流未流動的電壓,例如形成100V之方式來控制所對應之可變直流電源119的電壓。而且,在連接於應使發揮接地機能的GND區塊91的接地線118所被設置的電流感測器117的電流值形成比所定值更低的時間點,判斷成接地機能未奏效,而將對應於別的GND區塊91的可變直流電源119的電壓值控制成該GND區塊可發揮接地機能的值。
另外,藉由使如此來自直流電源119的施加電壓形成-1kV程度的負值,可使所連接的GND區塊91具有作為用以對電漿賦予直流電壓的電極之機能。但,若該值太大,則亦會對電漿造成不良影響。並且,藉由控制施加於GND區塊91的電壓,可發揮對GND區塊119的清潔效果。
其次,更詳細說明有關本實施形態那樣使上部電極34的高頻電力與直流電壓重疊時的電漿。
圖28是橫軸取電子温度,縱軸取其強度,顯示電漿的電子温度分布。所欲取得高密度電漿時,如上述,使用13.56MHz以上之離子不會追從之較高的高頻電力為有效,但在施加高頻電力時之電漿(RF電漿)的電子温度分布,如圖28的曲線A(caseA)所示,在電子温度低的激勵區域有強度的峰值,若所欲取得更高的電漿密度,而提高功率,則電子温度為中間水準的解離區域的強度會變高,因此蝕刻用的處理氣體,亦即C4 F8 氣體之類的氟碳氣體(CxFy)的解離不會進展,造成蝕刻特性降低。
相對的,圖28的曲線B(caseB)是藉由施加直流電壓來產生的電漿(DC電漿)時,雖與曲線A(caseA)同等的電漿密度,但此情況是在電子温度高的離子化區域中存在強度的峰值,激勵區域或解離區域幾乎不存在。因此,藉由使直流電壓重疊於13.56MHz以上的高頻電力,可在不提昇高頻電力的功率之下取得高電漿密度,且如此形成的電漿是形成電子温度在激勵區域及離子化區域具有強度峰值的2極化者,即使相同電漿密度,亦可形成處理氣體的解離少之理想的電漿。
參照圖29來更具體說明。圖29是表示比較電漿的電子温度分布在僅高頻電力時和使直流電壓重疊時。圖29的曲線C是在上部電極34供給頻率60MHz的高頻電力,在下部電極的基座16供給離子引入用的頻率2MHz的高頻電力時,往上部電極34的高頻功率為2400W,往下部電極的基座16的高頻功率為1000W時,曲線D是同樣在上部電極34及基座16分別施加60MHz及2MHz,且在上部電極34施加直流電壓時,以能夠和曲線C時同電漿密度之方式,設定高頻功率及直流電壓的值者,使往上部電極34的高頻功率下降至300W,使直流電壓形成-900V時。如圖29所示,使直流電壓重疊,藉此在同電漿密度中,可形成電子温度為解離區域者幾乎不存在之2極化後的高密度電漿。此情況,可藉由改變供給至上部電極34的高頻電力的頻率及功率,以及直流電壓的值,來控制電子温度分布,進而能夠取得更適當的電漿狀態。
如上述,施加於上部電極34的高頻電力的頻率越小,越會形成高能量電漿,Vd c 也會變高,而使得處理氣體的解離會更被促進,施加直流電壓之控制範圍會變得狹窄,但當施加於上部電極34的高頻電力的頻率為40MHz以上,例如60MHz時,因為電漿的能量低,所以施加直流電壓之控制範圍會變廣。因此,最好施加於上部電極34的高頻電力的頻率為40MHz以上。
其次,說明有關供給至下部電極的基座16之離子引入用的偏壓高頻電力。供給至基座16之來自第2高頻電源90的高頻電力雖是離子引入用的偏壓高頻電力,但其頻率(RF施加頻率)為未滿10MHz或10MHz以上時,其作用會有所不同。亦即,當RF施加頻率的頻率未滿10MHz,例如2MHz時,一般離子可追從該RF施加頻率,因此如圖30A所示,射入晶圓的離子能量是對應於按照高頻電力電壓波形而變化的晶圓電位者。另一方面,當偏壓高頻的頻率為10MHz以上,例如13.56MHz時,一般離子無法追從該RF施加頻率,因此如圖30B所示,射入晶圓的離子能量不為晶圓電位所左右,而是依存於Vd c 。當圖30A的離子為追從的頻率(例如2MHz)時,離子的最大能量是形成對應於Vpp者,且就電漿電位與晶圓電位的差較小的部分而言,由於離子能量會變小,因此如圖31的離子能量分布圖的曲線E所示,晶圓上的離子能量會形成2極分化後的寬廣者。另一方面,當圖30B的離子為不追從的頻率(例如13.56MHz)時,與晶圓電位無關,離子能量會形成對應於Vd c 者,如圖31所示,晶圓上的離子能量是在對應於Vd c 的部分附近顯示最大值,比Vd c 更高能量的離子幾乎不存在。
由此可知,未滿10MHz的離子所能追從的頻率是適於想要藉由較大的離子能量來提高生產性時,10MHz以上的離子所無法追從的頻率是適用光罩的表面粗糙臨界時等被要求離子能量低時。因此,最好偏壓用的高頻電力的頻率是按照用途來適當選擇。
另外,以上所示例子雖是為了發揮上述上部電極34的濺射機能,電漿的縮小化機能,及電子的供給機能等,而施加直流電壓,但即使為交流電壓,還是可以取得同樣的效果。但,其頻率是比電漿生成用的高頻電力的頻率更小者。又,無論是直流電壓或交流電壓時,電壓可為脈衝狀,或AM調變,FM調變等之調變者。
但,有時會使用低介電常數阻擋層的SiC作為基底蝕刻終止層(Etch Stop Layer)來蝕刻上層的被蝕刻層的Low-k膜,以往此刻難以確保充分的蝕刻選擇比。相對的,使用本實施形態的電漿蝕刻裝置,在上部電極34一邊重疊施加直流電壓於第1高頻電力,一邊進行蝕刻,而有效發揮上述機能,藉此能以較高的蝕刻選擇比(對蝕刻終止層的基底膜)來蝕刻絶縁膜的SiOC膜等的Low-k膜。
圖32A-B是表示進行如此的蝕刻時之典型的蝕刻對象的晶圓W的剖面構造。此晶圓W,如圖32A所示,由下依次積層作為基底膜的SiC層201,絶縁膜的SiOC系膜202,SiO2 膜203,反射防止膜的BARC204,且於其上層形成有所定的圖案形狀的蝕刻光罩,亦即ArF光阻劑205。SiOC系膜202是構成成分中含Si,O,C及H的Low-k膜,例如SiLK(商品名;Dow Chemical公司製),SOD-SiOCH的MSQ,CVD-SiOCH的CORAL[商品名;Novellus System公司製]或Black Diamond[商品名;Applied Materials公司製]等。又.亦可改變SiOC系膜202,以其他的Low-k膜,例如,PAE系膜,HSQ膜,PCB系膜,CF系膜等的有機Low-k膜,或SiOF系膜等的無機Low-k膜作為對象。
又,作為基底膜的SiC層101,例如可舉BLOk[商品名;Applied Materials公司製]等。
對該晶圓W,如圖32B所示,藉由氟碳(CF系)氣體的電漿來進行蝕刻,形成對應於ArF光阻劑105的光罩圖案的凹部(溝或孔)211。在此電漿蝕刻中,藉由在上部電極34重疊直流電壓,可充分確保基底的SiC層201與被蝕刻層的SiOC系膜202的選擇比。此情況,由可變直流電源50來對上部電極34施加的直流電壓,最好為0~-1500V,又,蝕刻條件,例如為處理室內壓力=1.3~26.7Pa,高頻電力(上部電極/下部電極)=0~3000W/100-5000W,處理氣體為使用C4 F8 及N2 及Ar的混合氣體,其流量比為C4 F8 /N2 /Ar=4~20/100~500/500~1500mL/min。
其次,調製與圖6同樣積層構造的樣品,實際藉由圖1的裝置來進行蝕刻。以形成有孔圖案的ArF光阻劑205作為光罩,一直到基底膜的SiC層201露出為止,對SiOC系膜202實施蝕刻,形成孔。蝕刻是由以下所示的蝕刻條件1,2來實施,針對在上部電極34施加-900V的直流電壓時(實施例1,2)與未施加直流電壓時(比較例1,2)進行蝕刻特性的比較。將其結果顯示於表1。
<蝕刻條件1>
處理室內壓力=6.7Pa;高頻電力(上部電極/下部電極)=400W/1500W;處理氣體流量C4 F8 /Ar/N2 =6/1000/180mL/min;上下部電極間距離=35mm;處理時間=25~35秒;逆壓(氦氣體:中心部/邊端部)=2000/5332Pa;上部電極34的温度=60℃;處理室10側壁的温度=60℃;基座16的温度=0℃
<蝕刻條件2>
除了將高頻電力(上部電極/下部電極)變更成800W/2500W以外,其餘則與蝕刻條件1同樣。
根據表1,在蝕刻條件1及蝕刻條件2下,皆對上部電極施加-900V的直流電壓之實施例1,2與以同條件未施加直流電壓的比較例1,2相較之下,對SiC選擇比,對阻絕層選擇比皆會大幅度提昇。
又,可一邊抑止孔頂部的CD(Critica Dimension)擴大,一邊大幅度改善蝕刻速率。雖然蝕刻速率的提昇與CD的控制(抑止CD擴大),就以往的蝕刻技術而言是難以兼顧,但藉由施加直流電壓,可使兩者兼得。
由表1的條件1與條件2的比較可明確得知,利用在上部電極34重疊直流電壓之對SiC選擇比的提昇效果,高頻電力(上部電極/下部電極)小更能顯著取得。
其次,以上述蝕刻條件1或蝕刻條件2為基準,比較使其中的某條件變化時的蝕刻特性。
表2是以蝕刻條件1為基準,使往上部電極34的高頻電力變化時的蝕刻特性。由表2可知,若增大供給至上部電極34的高頻電力,則蝕刻速率會提昇,但對SiC選擇比會有變小的傾向。另一方面,在此條件下,供給至上部電極34的高頻電力的變化影響CD少,且對阻絕層選擇比在高頻功率400W時出奇佳。由以上的結果可知,最好往上部電極34的高頻功率,大概為200~800W的範圍。
表3是以蝕刻條件2為基準,使往作為下部電極的基座16的高頻電力變化時的蝕刻特性。由此表3可知,藉由增大供給至下部電極(基座16)的高頻電力,雖蝕刻速率會大幅度提昇,但對SiC選擇比的改善效果有變少的傾向。另一方面,在此條件下,供給至下部電極的高頻電力的變化影響CD少,且對阻絕層選擇比會隨著高頻功率變大而提昇。由該等情況可知,最好往下部電極的高頻功率,大概為1500~3800W的範圍。
表4是以蝕刻條件2為基準,使處理壓力變化時的蝕刻特性。由該表4可知,在高頻電力(上部電極/下部電極)為較大800/2500W的蝕刻條件2時,若將處理壓力設定成高於必要以上,則蝕刻速率會降低,發生蝕刻終止。因此,最好處理壓力為4Pa以上,未滿20Pa。
又,若考量表4的結異與上述表2及表3的結果,則最好重疊直流電壓時的蝕刻速率或對SiC選擇比的控制,是藉由使高頻電力變化來進行控制。
表5是以蝕刻條件2為基準,使Ar流量變化時的蝕刻特性。由該表5可知,在高頻電力(上部電極/下部電極)為較大800/2500W的蝕刻條件2時,雖Ar流量比的變化所產生的影響不明確,但添加一定量的Ar較能夠提昇對SiC選擇比,最好至少添加1000mL/min以下的Ar。
其次,調製與圖6同樣積層構造的樣品,以形成有線&空間的溝圖案之ArF光阻劑205作為光罩,一直到基底SiC層201露出為止,實施對SiOC系膜202的蝕刻,形成溝。蝕刻為主蝕刻及過蝕刻的2步驟蝕刻,由以下所示的蝕刻條件來實施有關在上部電極34施加-900V的直流電壓時(實施例3)與未施加直流電壓時(比較例3),比較蝕刻特性。將其結果顯示於表6。
<主蝕刻條件>
處理室內壓力=26.7Pa;高頻電力(上部電極/下部電極)=300W/1000W;處理氣體流量CF4 /N2 /Ar/CHF3 =180/100/180/50mL/min;上下部電極間距離=35mm;處理時間=10秒;逆壓(中心部/邊端部)=2000/5332Pa;上部電極34的温度=60℃;處理室10側壁的温度=60℃;基座16的温度=20℃
<過蝕刻條件>
處理室內壓力=4.0Pa;高頻電力(上部電極/下部電極)=1000W/1000W;處理氣體流量C4 F8 /N2 /Ar=6/260/1000mL/min;過蝕刻量:30%;上下部電極間距離=35mm※其他的條件是與上述主蝕刻條件同樣。
由表6可知,在上部電極34施加-900V的直流電壓之實施例3中,對SiC選擇比為15,相較於未施加電壓的比較例3之對SiC選擇比11.7,可大幅度提昇。
並且,在上述蝕刻條件之下,藉由在上部電極34施加-900V的直流電壓,不僅對SiC選擇比,如表6所示,對阻絕層選擇比也會被改善。而且,可不必擴大相當於溝的寬度的CD來進行,一邊大幅度提昇SiOC系膜102的蝕刻速率。又,有關構成蝕刻後的溝之線的粗度(線蝕刻粗度;LER)亦可大幅度降低。
另外,以上雖是舉一以基底為SiC層101,對其上的SiOC系膜102進行蝕刻的例子說明,但並非限於此,即使是其他的蝕刻對象,亦可取得同樣的效果。例如圖33A所示,在矽基板206上形成有矽氮化膜(SiN)207,及以TEOS(四乙氧基矽烷)為原料,藉由CVD法來成膜的SiO2 膜108,反射防止膜(BARC)209,在具有被圖案化的ArF等的光阻劑光罩210之剖面構造中,如圖33B所示,以矽氮化膜207為基底,蝕刻SiO2 膜108時,如上述,可藉由在上部電極34施加直流電壓來取得同樣的效果。
又,上述例中,雖是以SiOC系膜202的蝕刻(主蝕刻,或主蝕刻與過蝕刻)為對象,但由於在此是藉由直流電壓的施加來提昇與基底的選擇比,因此可藉由通常條件的主蝕刻,只在凹部到達基底附近的段階進行過蝕刻之2步驟處理的過蝕刻施加直流電壓。
<實施形態2>
其次,說明有關本發明的第2實施形態。
圖34是表示本發明的第2實施形態之電漿蝕刻裝置的概略剖面圖。並且,在圖34中,賦予和圖1相同者同樣的符號,而省略其說明。
就本實施形態而言,是取代第1實施形態的上部電極34,而設置具有以下構造的上部電極34'。上部電極34'是由:取所定的間隔來與基座16對向配置之環狀或甜甜圈狀的外側上部電極34a、及在絕縁的狀態下被配置於該外側上部電極34a的半徑方向內側之圓板狀的內側上部電極34b所構成。有關電漿生成方面,該等是具有以外側上部電極34a為主,內側上部電極34b為輔的關係。
如圖35擴大該電漿蝕刻裝置的要部所示,在外側上部電極34a與內側上部電極34b之間,例如形成有0.25~2.0mm的環狀空隙(間隙),在此空隙設有例如由石英所構成的介電質72。在此空隙更設有陶瓷構件73。陶瓷構件73亦可省略。夾持該介電質72在兩電極34a與34b之間形成有電容器。此電容器的電容C7 2 是按照空隙的大小及介電質72的介電常數來選定或調整成所望的值。在外側上部電極34a與處理室10的側壁之間,例如由氧化鋁(Al2 O3 )所構成的環形狀絶縁性遮蔽構件42會被氣密安裝。
外側上部電極34a具有:電極板36a、及可裝卸支持於該電極板36a,由導電材料例如表面被施以陽極氧化處理的鋁所構成的電極支持體38a。最好電極板36a是由焦耳熱少的低電阻的導電體或半導體,例如矽域SiC所構成。在外側上部電極34a經由與實施形態1同樣的整合器46,上部給電棒74,連接器98及給電筒100來電性連接與實施形態1同樣的第1高頻電源48。整合器46的輸出端子是被連接至上部給電棒74的上端。
給電筒100是由圓筒狀或圓錐狀或近似該等形狀的導電板,例如鋁板或銅板所構成,下端會在周方向連續地連接至外側上部電極34a,上端會藉由連接器98來電性連接至上部給電棒74的下端部。在給電簡100的外側,處理室10的側壁會延伸至比上部電極34'的高度位置更上方,而構成圓筒狀的接地導體10a。此圓筒狀接地導體10a的上端部是藉由筒狀的絶縁構件74a來從上部給電棒74電性絶縁。在該構成中,由連接器98來看的負荷電路中,以給電筒100及外側上部電極34a和圓筒狀接地導體10a來形成以給電筒100及外側上部電極34a作為導波路的同軸線路。
如圖34所示,內側上部電極34b具備:具有多數個氣體噴出孔37b的電極板36b、及可裝卸支持於該電極板36b,由導電材料例如表面被施以陽極氧化處理的鋁所構成的電極支持體38b。在電極支持體38b的內部,設有例如以由O型環所構成的環狀隔壁構件43來分割的中心氣體擴散室40a及周邊氣體擴散室40b。從中心氣體擴散室40a及周邊氣體擴散室40b連通至氣體噴出孔37b的多數個氣體通流孔41b會延伸至下方。而且,以中心氣體擴散室40a及設置於其下面的多數個氣體通流孔41b及連通於該等的多數個氣體噴出孔37b來構成中心蓮蓬頭,且以周邊氣體擴散室40b及設置於其下面的多數個氣體通流孔41b及連通於該等的多數個氣體噴出孔37b來構成周邊蓮蓬頭。
在2個氣體擴散室40a,40b中,由共通的處理氣體供給源66以所望的流量比來供給處理氣體。亦即,來自處理氣體供給源66的氣體供給管64會在途中分歧成2個分歧管64a,64b,連接至形成於電極支持體38b的氣體導入口62a,62b,來自氣體導入口62a,62b的處理氣體會至氣體導入室40a,40b。在各個分歧管64a,64b設有流量控制閥71a,71b,由於從處理氣體供給源66到氣體擴散室40a,40b的流路傳導相等,因此可藉由流量控制閥71a,71b來任意調整供給至中心氣體導入室40a及周邊氣體導入室40b的處理氣體的流量比。在氣體供給管64設有與實施形態1同樣質量流量(MASS FLOW)控制器(MFC)68及開閉閥70。如此,可藉由調整導入中心氣體擴散室40a及周邊氣體擴散室40b的處理氣體的流量比,來任意調整從中心蓮蓬頭所噴出的氣體流量FC 與從周邊蓮蓬頭所噴出的氣體流量FE 的比率(FC /FE )。另外,亦可使藉由中心蓮蓬頭及周邊蓮蓬頭所分別噴出的處理氣體的每單位面積的流量有所不同。又,亦可獨立或個別選定由中心蓮蓬頭及周邊蓮蓬頭所分別噴出的處理氣體的氣體種類或氣體混合比。
在內側上部電極34b的電極支持體38b中,經由整合器46,上部給電棒74,連接器98及下部給電棒76來電性連接與實施形態1同様的第1高頻電源90。在下部給電棒76的途中設有可調變電容的可變電容器78。此可變電容器78,如後述,具有調整外側電場強度與內側電場強度的平衡之機能。
另一方面,在上述上部電極34',與實施形態1同様,連接可變直流電源50。具體而言,可變直流電源50會經由過濾器58來連接至外側上部電極34a及內側上部電極34b。可變直流電源50的極性,電壓,電流,及開啟.關閉開關52的開啟.關閉,可與實施形態1同樣,藉由控制器51來控制。另外,在實施形態1中,過濾器78是內藏於整合器46,但在本實施形態中,是與整合器46個別設置。
在如此構成的電漿蝕刻裝置中進行蝕刻處理時,與實施例1同様,首先,將蝕刻對象的半導體晶圓W搬入處理室10內,載置於基座16上。然後,從處理氣體供給源66以所定的流量及流量比來將蝕刻用的處理氣體供給至中心氣體擴散室40a及周邊氣體擴散重40b,經由氣體噴出孔37b來使噴出至處理室10內,且與實施形態1同様,藉由排氣裝置84來使處理室10內排氣,而維持於設定壓力。
在如此導入蝕刻氣體於處理室10內的狀態下,從第1高頻電源48以所定的功率來將電漿生成用的高頻電力(60MHz)施加於上部電極34,且從第2高頻電源90以所定的功率來將離子引入用的高頻電力(2MHz)施加於下部電極的基座16。然後,從可變直流電源50來將所定的電壓施加於外側上部電極34a及內側上部電極34b。又,由直流電源22來將直流電壓施加於靜電卡盤18的電極20,而使半導體晶圓W固定於基座16。
從內側上部電極34b的氣體噴出孔37b所噴出的蝕刻氣體是在藉由高頻電力而產生的上部電極34與下部電極的基座16之間的輝光放電(glow discharge)中電漿化,藉由以該電漿所生成的游離基或離子來蝕刻半導體晶圓W的被處理面。
在此電漿蝕刻裝置中,因為在上部電極34'供給高頻區域(離子不動的5~10MHz以上)的高頻電力,所以與實施形態1同樣,可在理想的解離狀態下使電漿高密度化,即使在更低壓的條件下,照樣可以形成高密度電漿。
並且,在上部電極34'中,可將與半導體晶圓W正對向的內側上部電極34b作為蓮蓬頭兼用,以中心蓮蓬頭與周邊蓮蓬頭來任意調整氣體噴出流量的比率,因此可在徑方向控制氣體分子或游離基的密度的空間分布,可任意控制游離基空間之蝕刻特性的空間分布特性。
另一方面,在上部電極34'中,如後述,作為電漿生成用的高頻電極,是以外側上部電極34a為主,內側上部電極34b為副,可藉由該等電極34a,34b來調整賦予該等的正下面的電子的電場強度的比率,因此可在徑方向控制電漿密度的空間分布,可任意且精細地控制反應性離子蝕刻的空間性的特性。
在此,藉由在外側上部電極34a與內側上部電極34b之間可改變電場強度或投入電力的比率來進行的電漿密度空間分布的控制,是實質上不會影響藉由在中心蓮蓬頭與周邊蓮蓬頭之間可改變處理氣體的流量或氣體密度或氣體混合比的比率來進行的游離基密度空間分布的控制。亦即,從中心蓮蓬頭及周邊蓮蓬頭所噴出的處理氣體的解離是在內側上部電極34b正下面的區域內進行,因此即使在內側上部電極34b與外側上部電極34a之間改變電場強度的平衡,還是會因為中心蓮蓬頭與周邊蓮蓬頭位於內側上部電極34b內同一區域內,所以不太會影響該等之間的游離基生成量乃至密度的平衡。因此,可實質獨立控制電漿密度的空間分布與游離基密度的空間分布。
又,本實施形態的電漿蝕刻裝置是以外側上部電極34a為主,在其正下面生成電漿的大部分乃至過半,而使擴散於內側上部電極34b的正下面。
因此,在兼具蓮蓬頭的內側上部電極34b中,自電漿的離子接受的衝擊少,所以可有效抑止交換零件的電極板36b的氣體噴出口37b的濺射進行,大副度延長電極板36b的壽命。另一方面,由於生成電漿的大部分乃至過半的外側上部電極34a是具有電場的集中之氣體噴出口,因此離子的衝擊少,壽命不會變短。
其次,參照圖35及圖36來詳細說明有關藉由改變外側上部電極34a與內側上部電極34b之間電場強度或投入電力來進行的電漿密度空間分布的控制。圖35是表示本實施形態的電漿蝕刻裝置的要部,特別是構成電漿生成手段的要部構成。圖36是表示電漿生成手段的要部等效電路。另外,在圖35中省略蓮蓬頭部的構造,在圖36中省略各部的電阻。
如上述,在由連接器98來看的負荷電路中,由外側上部電極34a及給電筒100和圓筒狀接地導體10a來形成以外側上部電極34a及給電筒100作為導波路Jo的同軸線路。在此,若給電筒100的半徑(外徑)為ao,圓筒狀接地導體10a的半徑為b,則該同軸線路的特性電阻或電感Lo可近似以下的(1)式。Lo=K.1n(b/ao)………(1)
在此,K是以導波路的移動度及介電常數所決定的定數。
另一方面,在由連接器98來看的負荷電路中,於下部給電棒76與圓筒狀接地導體10a之間亦形成有以下部給電棒76作為導波路Ji的同軸線路。內側上部電極34b亦位於下部給電棒76的延長上,但由於直徑極端不同,因此下部給電棒76的電阻會形成支配性。在此,若下部給電棒76的半徑(外徑)為ai,則該同軸線路的特性電阻或電感Li可近似於以下的(2)式。Li=K.1n(b/ai)………(2)
由上述(1),(2)式可理解,對內側上部電極34b傳達高頻的內側導波路Ji是賦予和以往的一般高頻系統同樣的電感Li,相對的,對外側上部電極34a傳達高頻的外側導波路Jo是徑大,可賦予顯著小的電感Lo。藉此,由整合器46來看,在比連接器98更前面的負荷電路中,在低電阻的外側導波路Jo容易傳播高頻(電壓降下小),在外側上部電極34a供給相對大的高頻電力Po,而使能夠在外側上部電極36的下面(電漿接觸面)取得強電場強度Eo。另一方面,在高電阻的內側導波路Ji中難以傳播高頻(電壓降下大),在內側上部電極34b供給比供給至外側上部電極34a的高頻電力Po更小的高頻電力Pi,可使在內側上部電極34b的下面(電漿接觸面)所取得的電場強度Ei比外側上部電極34a側的電場強度Eo更小。
如此,上部電極34'是在外側上部電極34a的正下面以相對強的電場Eo來使電子加速的同時,在內側上部電極34b的正下面以相對弱的電場Ei來使電子加速,藉此在外側上部電極34a的正下面,產生電漿P的大部分乃至過半,在內側上部電極34b的正下面,輔助性產生電漿P的一部份。而且,在外側上部電極34a的正下面所被產生的高密度的電漿會擴散於徑方向的內側及外側,藉此在上部電極34'與基座16之間的電漿處理空間中電漿密度會被平均於徑方向。
在外側上部電極34a及給電筒100與圓筒狀接地導體10a所形成的同軸線路之最大傳送電力Pm a x 是依存於給電筒100的半徑ao與圓筒狀接地導體10a的半徑b,如以下的式(3)所示者。Pm a x /Eom a x 2 =ao2 [1n(b/ao)]2 /2Zo………(3)
在此,Zo是由整合器46側來看之該同軸線路的輸入電阻,Eom a x 是RF傳送系的最大電場強度。
在上述(3)式中,最大傳送電力Pm a x 是在b/ao≒1.65形成極大值。由此可知,為了提高外側導波路Jo的電力傳送效率,最好圓筒狀接地導體10a的徑大小對給電筒50的徑大小的比(b/ao)約形成1.65,至少要進入1.2~2.0的範圍內。更理想為1.5~1.7的範圍。
為了任意且精細地控制電漿密度的空間分布,最好調整外側上部電極34a正下面的外側電場強度Eo(或往外側上部電極34a側的投入電力Po)與內側上部電極34b正下面的內側電場強度Ei(或往內側上部電極34b側的投入電力Pi)的比率,亦即平衡,該手段會在下部給電棒76的途中插入可變電容器78。此可變電容器78的電容C7 8 與對全體的投入電力之往內側上部電極34b的投入電力Pi的比率關係如圖37所示。由該圖可明確得知,可藉由改變可變電容器78的電容C7 8 ,使內側導波路Ji的電阻或電抗増減,改變外側導波路Jo的電壓降下與內側導波路Ji的電壓降下的相對比率,進而能夠調整外側電場強度Eo(外側投入電力Po)與內側電場強度Ei(內側投入電力Pi)的比率。
另外,賦予電漿的電位降下之離子外皮的電阻,一般為電容性。在圖36的等效電路中,將外側上部電極34a正下面的外皮電阻的電容擬定為CP o ,將內側上部電極34b正下面之外皮電阻的電容擬定為Cp i 。並且,形成於外側上部電極34a與內側上部電極34b之間的電容器的電容C7 2 最好是與可變電容器78的電容C7 8 組合,而來左右上述外側電場強度Eo(外側投入電力Po)與內側電場強度Ei(內側投入電力Pi)的平衡,選定或調整成能夠使可變電容器78的電場強度(投入電力)平衡調整機能最適化之值。
另一方面,來自與第1實施形態同様的可變直流電壓50之直流電壓,在經過過濾器58之後,也會被施加於外側上部電極34a及內側上部電極34b。藉此,以上所述電漿密度空間分布控制會被進行的同時,與第1實施形態同様,利用Vd c 變深之濺射機能、利用電漿外皮厚變厚之電漿縮小化機能、對晶圓W之電子的供給機能、電漿電位調整機能、及電漿密度上昇機能會被發揮,可取得與第1實施形態同様的效果。
而且,如此,藉由組合利用分割成外側上部電極34a及內側上部電極34b的上部電極34'之效果、及對上部電極34'施加所定的直流電壓之效果,可實現更佳的電漿控制。
在圖34的例子中,雖是將直流電壓施加於外側上部電極34a及內側上部電極34b的雙方,但亦可施加於其中任一方。
又,圖34的例子中,雖是由1個可變直流電源50來對外側上部電極34a及內側上部電極34b施加直流電壓,但亦可如圖38所示,設置2個可變直流電源50a,50b,從該等的可變直流電源50a,50b經由各個開關52a,52b及過濾器58a,58b來對外側上部電極34a及內側上部電極34b施加直流電壓。此情況,可個別控制在外側上部電極34a與內側上部電極34b所施加的直流電壓,因此可進行更佳的電漿控制。
又,如圖39所示,使可變直流電源50'介在於外側上部電極34a與內側上部電極34b之間,將其一方的極連接至外側上部電極34a,將另一方的極連接至內側上部電極34b,藉此除了上述的效果以外,還附加可更詳細設定在內側上部電極34b與外側上部電極34a所生成的電漿密度比,能使晶圓面內的蝕刻特性的控制提昇之效果。另外,符號52'為開啟.關閉開關,58a',58b'為過濾器。
在此,於第2實施形態的電漿蝕刻裝置中,蝕刻形成於晶圓W上的絶縁膜(例如Low-k膜)時,作為處理氣體使用之特別較佳的氣體組合,如以下所示的例子。
在孔蝕刻的條件之過蝕刻時,所使用較理想的處理氣體組合,可舉C5 F8 ,Ar,N2 。藉此,可取較大絶縁膜對基底膜(SiC,SiN等)的選擇比。
並且,在溝蝕刻的條件中,所使用較理想的處理氣體組合,可舉CF4 或(C4 F8 ,CF4 ,Ar,N2 ,O2 )。藉此,可取較大絶縁膜對光罩的選擇比。
而且,在HARC蝕刻的條件中,所使用較理想的處理氣體組合,可舉(C4 F6 ,CF4 ,Ar,O2 )或(C4 F6 ,C3 F8 ,Ar,O2 )或(C4 F6 ,CH2 F2 ,Ar,O2 )。藉此,可增大絶縁膜的蝕刻速度。
另外,並非限於上述,可使用(CxHyFz的氣體/N2 ,O2 等的添加氣體/稀釋氣體的組合)。
又,上述第1實施形態及第2實施形態中,若舉例顯示上述第1高頻電力及第2高頻電力所能採取的頻率,則第1高頻電力可舉13.56MHz,27MHz,40MHz,60MHz’80MHz,100MHz,160MHz,第2高頻電力可舉380kHz,800kH z,1MHz,2MHz,3.2MHz,13.56MHz,可按照製程以適當的組合使用。
又,以上雖是以電漿蝕刻裝置為例來進行說明,但亦可適用於其他使用電漿來處理半導體基板的裝置。例如可舉電漿成膜裝置。
<實施形態3>
其次,說明有關本發明的第3實施形態。並且,在第3實施形態中,賦予和第1,第2實施形態共通者同樣的符號。
圖40是表示本發明的第3實施形態的電漿蝕刻裝置的概略剖面圖。
亦即,在下部電極的基座16,由第1高頻電源88來施加電漿生成用例如40MHz的高頻(RF)電力,且由第2高頻電源90來施加離子引入用例如2MHz的高頻(RF)電力之下部RF2頻率施加型態的電漿蝕刻裝置,如圖示所示,在上部電極34連接可變直流電源50,而施加所定的直流(DC)電壓之電漿蝕刻裝置。有關此電漿蝕刻裝置會使用圖41來更詳述。
此電漿蝕刻裝置是作為電容耦合型平行平板電漿蝕刻裝置來構成,例如具有表面被施以陽極氧化處理的鋁所構成的略圓筒狀處理室(處理容器)10。此處理室10會被安定接地。
在處理室10的底部,經由由陶瓷等所構成的絶縁板12來配置有圓柱狀的基座支持台14,此基座支持台14上,例如設置有由鋁所構成的基座16。基座16是構成下部電極,在其上載置有被處理基板的半導體晶圓W。
在基座16的上面設有以静電力來吸著保持半導體晶圓W的静電卡盤(chuck)18。此静電卡盤18具有以一對的絶縁層或絶縁薄板來夾持由導電膜所構成的電極20之構造者,在電極20電性連接直流電源22。然後,藉由來自直流電源22的直流電壓所產生的庫倫力等的静電力,使半導體晶圓W吸著保持於静電卡盤18。
在静電卡盤18(半導體晶圓W)的周圍,基座16的上面,配置有用以使蝕刻的均一性提昇之例如由矽所構成的導電性的調焦環(修正環)24。在基座16及基座支持台14的側面,設有例如由石英所構成的圓筒狀的內壁構件26。
在基座支持台14的內部,例如在圓周上設有冷媒室28。在此冷媒室中,可利用設置於外部之未圖示的冷卻單元,經由配管30a,30b來循環供給所定温度的冷媒,例如冷卻水,藉由冷媒的温度來控制基座上的半導體晶圓W的處理温度。
並且,來自未圖示的傳熱氣體供給機構的傳熱氣體,例如He氣體會經由氣體供給線32來供給至静電卡盤18的上面及半導體晶圓W的背面之間。
在下部電極的基座16的上方,以能夠和基座16呈對向之方式平行設有上部電極34。然後,上部及下部電極34,16間的空間會形成電漿生成空間。上部電極34是與下部電極之基座16上的半導體晶圓W呈對向,而形成與電漿生成空間接觸的面,亦即對向面。
此上部電極34是藉由電極板36及水冷構造的電極支持體38所構成。該電極板36是經由絶縁性遮蔽構件42來支持於處理室10的上部,構成與基座16的對向面,且具有多數個噴出孔37。該水冷構造的電極支持體38是可自由裝卸支持該電極板36,由導電性材料,例如表面被施以陽極氧化處理的鋁所構成者。電極板36最好是焦耳熱少的低電阻的導電體或半導體,且如後述,由強化光阻劑的觀點來看,最好為矽含有物質。由如此的觀點來看,電極板36最好是以矽或SiC來構成。在電極支持體38的內部設有氣體擴散室40,從該氣體擴散室40連通至氣體噴出孔37的多數個氣體通流孔41會延伸至下方。
在電極支持體38中形成有往氣體擴散室40導入處理氣體的氣體導入口62,在此氣體導入口62連接有氣體供給管64,在氣體供給管64連接處理氣體供給源66。在氣體供給管64,由上游側依次設有質量流量控制器(MFC)68及開閉閥70。然後,從處理氣體供給源66,作為供以蝕刻的處理氣體,例如C4 F8 氣體之類的氟碳(fluoro carbon)氣體(CxFy)會從氣體供給管64至氣體擴散室40,經由氣體通流孔41及氣體噴出孔37來以噴淋狀噴出至電漿生成空間。亦即,上部電極34具有用以供給處理氣體的蓮蓬頭之機能。
在上述上部電極34,經由低通過濾器(LPF)48來電性連接可變直流電源50。可變直流電源50亦可為雙極電源。此可變直流電源50可藉由開啟.關閉開關52來進行給電的開啟.關閉。可變直流電源50的極性及電流.電壓、以及開啟.關閉開關52的開啟.關閉是藉由控制器(控制裝置)51來控制。
低通過濾器(LPF)48是供以捕捉來自後述的第1及第2高頻電源的高頻者,最好是以LR過濾器或LC過濾器來構成。
以能夠從處理室10的側壁延伸至比上部電極34的高度位置更上方之方式,設有圓筒狀的接地導體10a。該圓筒狀接地導體10a是在其上部具有頂壁。
在下部電極的基座16,經由整合器87來電性連接第1高頻電源88,且經由整合器89來連接第2高頻電源90。第1高頻電線88是在於輸出27MHz以上的頻率,例如40MHz的高頻電力。第2高頻電源90是在於輸出13.56MHz以下的頻率,例如2MHz的高頻電力。
整合器87,89是分別供以使負荷電阻整合於第1及第2高頻電源88,90的內部(或輸出)電阻者,在處理室10內產生電漿時,使第1及第2高頻電源88,90的內部電阻與負荷電阻看起來一致。
在處理室10的底部設有排氣口80,在此排氣口80經由排氣管82來連接排氣裝置84。排氣裝置84具有渦輪分子泵(turbo molecular pump)等的真空泵,可使處理室10內減壓至所望的真空度。並且,在處理室10的側壁設有半導體晶圓W的搬入出口85,此搬入出口85可藉由閘閥86來開閉。而且,沿著處理室10的內壁可自由裝卸地設有供以防止在處理室10附著蝕刻副產物(堆積物)的堆積物屏蔽11。亦即,堆積物屏蔽11構成處理室壁。並且,堆積物屏蔽11亦設置於內壁構件26的外周。在處理室10的底部的處理室壁側的堆積物屏蔽11與內壁構件26側的堆積物屏蔽11之間設有排氣板83。堆積物屏蔽11及排氣板83可適用在鋁材覆蓋Y2 O3 等的陶瓷者。
在與構成與堆積物屏蔽11的處理室內壁的部分的晶圓W大致相同高度的部分,設有DC連接至接地的導電性構件(GND區塊)91,藉此發揮後述的異常放電防止效果。
電漿蝕刻裝置的各構成部是形成被連接至控制部(全體控制裝置)95而被控制的構成。並且,在控制部95連接使用者介面96,該使用者介面96是由:工程管理者為了管理電漿蝕刻裝置而進行指令的輸入操作等的鍵盤,及使電漿處理裝置的作動狀況可視化顯示的顯示器等所構成。
另外,在控制部95連接記憶部97,該記憶部97儲存有供以藉由控制部95的控制來實現執行於電漿蝕刻裝置的各種處理之控制程式,或供以按照處理條件來使處理執行於電漿蝕刻裝置的各構成部之程式亦即方法(recipe)。方法可記憶於硬碟或半導體記憶體,或收容於CDROM,DVD等可藉由可搬性的電腦來讀取的記憶媒體之狀態下設置於記憶部97的所定位置。
然後,因應所需,根據來自使用者介面96的指示等,從記憶部97呼叫出任意的方法,使執行於控制部95,在控制部95的控制下,進行電漿蝕刻裝置的所望處理。另外,本發明的實施形態所述的電漿處理裝置(電漿蝕刻裝置)是包含此控制部95者。
在如此構成的電漿蝕刻裝置中進行蝕刻處理時,首先閘閥86為開狀態,經由搬入出口85來將蝕刻對象的半導體晶圓W搬入處理室10內,載置於基座16上。然後,從處理氣體供給源66以所定的流量來將蝕刻用的處理氣體供給至氣體擴散室40,一面經由氣體通流孔41及氣體噴出孔37來供給至處理室10內,一面藉由排氣裝置84來對處理室10內進行排氣,其中的壓力例如設為0.1~150Pa的範圍內的設定值。在此,處理氣體可採用以往所被使用的各種氣體,例如以C4 F8 氣體之類的氟碳氣體(CxFy)為代表之含有鹵元素的氣體。又,亦可含Ar氣體或O2 氣體等其他的氣體。
在如此將蝕刻氣體導入處理室10內的狀態下,由第1高頻電源88以所定的功率來對下部電極的基座16施加電漿生成用的高頻電力,且由第2高頻電源90以所定的功率來施加離子引入用的高頻電力。然後,由可變直流電源50來對上部電極34施加所定的直流電壓。又,由静電卡盤18用的直流電源22來對静電卡盤18的電極20施加直流電壓,而使半導體晶圓W固定於基座16。
自形成於上部電極34的電極板36的氣體噴出孔37所噴出的處理氣體是在利用高頻電力所產生之上部電極34與下部電極(亦即基座16)間的輝光放電中電漿化,藉由以該電漿所生成的游離基或離子來蝕刻半導體晶圓W的被處理面。
此電漿蝕刻裝置中,因為是由第1高頻電源來對下部電極亦即基座16供給高頻區域(例如,10MHz以上)的高頻電力,所以可在較佳的狀態下使電漿高密度化,即使在更低壓的條件下,照樣能夠形成高密度電漿。
本實施形態,在如此形成電漿時,由可變直流電源50來對上部電極34施加所定的極性及大小的直流電壓。此刻,最好是以能夠取得對施加電極的上部電極34的表面亦即電極板36的表面之所定的(適度的)濺射效果的程度使其表面的自我偏壓電壓Vd c 變深之方式,亦即在上部電極34表面的Vd c 的絕對值變大之方式,藉由控制器51來控制來自可變直流電源50的施加電壓。當自第1高頻電源88所施加的高頻功率低時,雖於上部電極34附著有聚合物,但藉由自可變直流電源50施加適當的直流電壓,可濺射附著於上部電極34的聚合物,使上部電極34的表面清浄化。同時,可在半導體晶圓W上供給最適量的聚合物,解除光阻劑膜的表面粗糙。並且,調整來自可變直流電源50的電壓,濺射上部電極34本身,而使能夠在半導體晶圓W表面供給電極材料本身,藉此於光阻劑膜表面形成碳化物,強化光阻劑膜,且所被濺射的電極材料會與氟碳系的處理氣體中的F反應,然後排氣,藉此電漿中的F比率會減少,光阻劑膜會難以被蝕刻。當電極板36為矽或SiC等的矽含有物質時,被濺射於電極板36表面的矽會與聚合物反應,而於光阻劑膜表面形成有SiC,光阻劑膜會形成極強固者,且因為Si容易與F反應,所以上述效果特別大。因此,電極板36的材料較理想為矽含有物質。另外,此情況,亦可取代控制來自可變直流電源50的施加電壓,而控制施加電流或施加電力。
如此在上部電極34施加直流電壓,而自我偏壓電壓Vd c 變深時,如圖42A-B所示,形成於上部電極34側的電漿外皮的厚度會變大。然後,一旦電漿外皮變厚,則該部份電漿會被縮小化。例如,在上部電極34不施加直流電壓時,上部電極側的Vd c 例如為-100V,如圖42A所示,電漿為具有較薄的外皮厚do 的狀態。但,若在上部電極34施加-900V的直流電壓,則上部電極側的Vd c 會形成-900V,電漿外皮的厚度為Vd c 的絶對值的3/4比例,如圖42B所示,更厚的電漿外皮d1 會被形成,該部份電漿會縮小化。藉由如此形成較厚的電漿外皮,而使電漿適當地縮小化,半導體晶圓W上的實效常駐時間會減少,且電漿會集中於晶圓W上,擴散會被抑止,解離空間會減少。藉此,氟碳系的處理氣體的解離會被抑止,光阻劑膜難以被蝕刻。因此,來自可變直流電源50的施加電壓,最好藉由控制器51來控制上部電極34之電漿外皮的厚度能夠形成所望縮小化的電漿程度之厚度。此情況,亦可取代控制來自可變直流電源50的施加電壓,而控制施加電流或施加電力。
並且,在電漿被形成時,電子會被產生於上部電極34近傍。若從可變直流電源50來對上部電極34施加可變直流電源50,則藉由所施加後的直流電壓值與電漿電位的電位差,電子會往處理空間的鉛直方向加速。藉由使可變直流電源50的極性、電壓值、電流值形成所望者,電子會被照射於半導體晶圓W。所被照射的電子可使作為光罩的光阻劑膜的組成改質,光阻劑膜會被強化。因此,藉由控制可變直流電源50的施加電壓值及根據施加電流值而產生於上部電極34近傍的電子的量、及如此的電子往晶圓W的加速電壓,可謀求對光阻劑膜之所定的強化。
特別是,半導體晶圓W上的光阻劑膜為AR F準分子雷射(波長193nm)用的光阻劑膜(以下既為ArF光阻劑膜)時,ArF光阻劑膜的聚合物構造是經由第1實施形態說明過的化學式(1)、(2)所示的反應,被電子照射後形成化學式(3)的右邊那樣的構造。亦即,一旦被電子照射、則如化學式(3)的d部所示,會發生AR F光阻劑膜的組成的改質(光阻劑的架橋反應)。由於此d部具有非常強的蝕刻耐性(電漿耐性),因此ArF光阻劑膜的蝕刻耐性會飛躍地増大。所以,可抑止ArF光阻劑膜的表面粗糙,可提高蝕刻對象層對ArF光阻劑膜的蝕刻選擇比。
因此,來自可變直流電源50的施加電壓值.電流值,最好是藉由控制器51來控制成光阻劑膜(特別是ArF光阻劑膜)的蝕刻耐性能藉電子的照射而變強。
又,如上述,若對施加上部電極34,則在電漿被形成時產生於上部電極34近傍的電子會往處理空間的鉛直方向加速,但藉由使可變直流電源50的極性、電壓值、電流值形成所望者,可使電子到達半導體晶圓W的孔內,抑止遮掩(shading)效果、可取得無波音之良好的加工形狀,可使加工形狀的均一性佳。
被控制加速電壓的電子射入晶圓W的電子量為使用根據直流電壓的電子電流量ID C 時,若由電漿射入晶圓的離子電流量為Ii o n ,則最好符合ID C >(1/2)Ii o n 。Ii o n =Zρvi o n e(Z:荷數,ρ:流速密度,Vi o n :離子速度,e:電子的電荷量1.6×10 1 9 C),由於ρ是與電子密度Ne成比例,所以Ii o n 會與Ne成比例。
如此,控制施加於上部電極34的直流電壓,而使發揮上述上部電極34的濺射機能或電漿的縮小化機能,以及產生於上述上部電極34的多量電子之往半導體晶圓W的供給機能,藉此可謀求光阻劑膜的強化或最適聚合物的供給,處理氣體的解離抑止等,可抑止光阻劑的表面粗糙等,且能提高蝕刻對象層對光阻劑膜的蝕刻選擇比。同時,可抑止光阻劑的開口部之CD的擴展,可實現更高精度的圖案形成。特別是控制直流電壓,而使能夠適當地發揮濺射機能、電漿的縮小化機能及電子的供給機能等3個,藉此可更為提高如此的效果。
另外,上述各機能中哪個優先產生會依處理條件等而有所不同,最好是藉由控制器51來控制從可變直流電源50所被施加的電壓,而使該等機能的一個以上發揮,有效發揮上述效果。
又,藉由調整施加於上部電極34的直流電壓,可控制電漿電位。藉此,具有抑止蝕刻副產物的附著於上部電極34或構成處理室壁的堆積物屏蔽11,內壁構件26,絶縁性遮蔽構件42之機能。
若蝕刻副產物附著於上部電極34或構成處理室壁的堆積物屏蔽11等,則會有製程特性的變化或粒子之虞。特別是在連續蝕刻多層膜時,例如連續蝕刻在半導體晶圓W上依次積層Si系有機膜(SiOC),SiN膜,SiO2 膜,光阻劑積層的多層膜時,由於蝕刻條件會依各膜而有所不同,因此前處理的影響會殘留,而對其次的處理造成不良影響,亦即產生記憶效應。
由於如此之蝕刻副產物的附著是依電漿電位與上部電極34或處理室壁等之間的電位差而影響,因此若能夠控制電漿電位,則可抑止如此之蝕刻生成物的附著。
以下,藉由控制從可變直流電源50施加於上部電極34的電壓,可使電漿電位降低,可抑止蝕刻副產物的附著於上部電極34或構成處理室壁的堆積物屏蔽11,甚至處理室10內的絶縁材(構件26,42)。電漿電位Vp的值,最好為80V≦Vp≦200V的範圍。
又,藉由在上部電極34施加直流電壓的其他效果,可舉藉由施加後的直流電壓來形成電漿,藉此提高電漿密度,而使蝕刻速率提昇者。
這是因為若在上部電極施加負的直流電壓,則電子會難以進入上部電極,電子的消滅會被抑止,以及若離子被加速而進入上部電極,則電子可從電極出去,該電子會以電漿電位與施加電壓值的差來高速地加速,使中性氣體成電離(電漿化),藉此電子密度(電漿密度)會増加。
根據實験結果來說明此情況。
圖43A-D是表示施加於下部電極的基座16之第1高頻電力的頻率為40MHz,第2高頻電力的頻率為3.2MHz,壓力為4Pa之HARC蝕刻的條件下,使施加於上部電極的負直流電壓的絶對值變化成0V,300V,600V,900V時之各高頻電力的輸出與電子密度分布的關係。又,圖44A-D是表示施加同樣的頻率的2個高頻電力,壓力為6.7Pa的Via蝕刻的條件下,同様地使施加於上部電極的直流電壓的絶對值變化成0V,300V,600V,900V時之各高頻電力的輸出與電子密度分布的關係。如該等的圖所示,可知隨著所施加的直流電壓的絶對值變大,電子密度(電漿密度)會上昇。圖45是表示使用上述HARC蝕刻,第1高頻電力為3000W,第2高頻電力為4000W時之晶圓徑方向的電子密度分布。如該圖所示,可知施加的直流電壓的絶對值越大,則電子密度會變高。
並且,在電漿被形成時,藉由自可變直流電源50施加直流電壓於上部電極34,可在溝蝕刻時特別使中心部的電漿密度上昇。當溝蝕刻時的條件之處理室10內的壓力高且所使用的蝕刻氣體為負性氣體時,雖處理室10內的中心部的電漿密度會有降低的傾向,但可藉由在上部電極34施加直流電壓來使中心部的電漿密度上昇,而以電漿密度能夠均一化之方式來控制電漿密度。
藉由實驗結果來說明該情況。
在圖41的裝置中,將半導體晶圓裝入處理室內,然後載置於基座上,將作為處理氣體的CF4 氣體,CHF3 氣體,Ar氣體,N2 氣體導入處理室內,以處理室內的壓力為26.6Pa,第1高頻電力為300W(在40MHz時),第2高頻電力為1000W(在3.2MHz),施加於下部電極的基座之溝蝕刻的條件下,在未對上部電極施加直流電壓時與施加-600W時測定晶圓徑方向的電子密度(電漿密度)分布。將其結果顯示於圖46。如該圖所示,未施加直流電壓時,晶圓中心部的電子密度會比其他的部分更低,相對的,藉由施加直流電壓,可使晶圓中心部的電子密度上昇,電子密度會被均一化。又,藉由施加直流電壓,電子密度會全體上昇。
如以上所述,藉由控制施加於上部電極34的直流電壓,可有效發揮上述上部電極34的濺射機能,電漿的縮小化機能,電子的供給機能,電漿電位控制機能,電子密度(電漿密度)上昇機能,及電漿密度控制機能的至少一個。
以上是說明有關對上部電極34施加直流(DC)電壓的作用效果。
在本實施形態中,對上部電極施加直流電壓的電漿蝕刻裝置,雖是使用對下部電極施加電漿形成用的第1高頻(RF)電力及離子引入用的第2高頻(RF)電力之下部RF二頻率施加型的電漿蝕刻裝置,但對於下部RF二頻率施加型的電漿蝕刻裝置以外的電容耦合型電漿蝕刻裝置的優點而言,如以下所述。
首先,如本實施形態那樣,藉由在下部電極施加電漿形成用的高頻電力,可在更接近晶圓的地方形成電漿,且可不使電漿擴散於廣區域抑制處理氣體的解離,因此即使為處理容器內的壓力高且電漿密度低的條件,照樣可以提昇對晶圓的蝕刻速率。並且,在電漿形成用的高頻電力的頻率高時,亦可確保較大的離子能量,所以高效率。相對的,在上部電極施加電漿形成用的高頻電力之型態的裝置中,因為電漿會被產生於上部電極近傍,所以在處理容器內的壓力高且電漿密度低的條件下,難以使對晶圓的蝕刻速率提昇。
又,如本實施形態那樣,藉由在下部電極分別施加電漿形成用的高頻電力及離子引入用的高頻電力,可獨立控制電漿蝕刻時所必要之電漿形成的機能及離子引入的機能。相對的,在下部電極施加一頻率的高頻電力之型態的裝置中,不可獨立控制電漿形成的機能及離子引入的機能,難以滿足要求高微細加工性的蝕刻條件。
如以上所述,可在接近晶圓處形成電漿,不使電漿擴散於廣區域,且可獨立控制電漿形成的機能及離子引入的機能之下部RF二頻率施加型的電漿蝕刻裝置中,藉由對上部電極施加直流電壓,可更具有上部電極的濺射機能,電漿的縮小化機能,往晶圓的電子供給機能,電漿電位的控制機能,電漿密度的上昇機能,電漿密度控制機能的至少一個,因此可提供一種適於近年來要求蝕刻微細加工之更具高性能的電漿蝕刻裝置。
另外,往上部電極34之直流電壓施加亦可為選擇性。往上部電極34之直流電壓施加為必要的蝕刻條件中,是開啟可變直流電源50及圖41所示的中繼開關52,往上部電極34之直流電壓施加為非特別必要的蝕刻條件中,只要關閉可變直流電源50及中繼開關52即可。
此外,對上部電極34施加直流電壓時,若上部電極34被接地,則直流電壓施加的機能會變無,因此上部電極34必須為DC性浮動。圖47為模式圖。在圖47中電性形成電容器501,502,503的地方,實際上有介電質進入,上部電極34是經由介電質來對處理容器10及接地導體10a形成DC性的浮動。另外,由高頻電線88,89來施加於下部電極16的高頻電力是經由處理空間來到達上部電極34,經由電容器501,502,503來到達被接地的處理容器10及接地導體10a。
然後,關閉可變直流電源50及中繼開關52,對上部電極34未施加直流電壓時,可改變上部電極34成接地狀態或DC性的浮動狀態。在圖48的例子中,未對上部電極34施加直流電壓時,雖是藉由開關(可變裝置)504來使接地導體10a及上部電極34短路,而使上部電極34形成接地狀態,但亦可關閉開關(可變裝置)504來使上部電極34成為DC性浮動狀態。
又,如圖49所示,亦可將電性形成電容器501之處構成能夠電性改變電容。藉此,可改變上部電極的電位。
又,如圖50所示,設置一例如由電漿檢測窗10a來檢測電漿的狀態之檢測器55,控制器51可根據其檢測信號來控制可變直流電源50,藉此可將有效發揮上述機能的直流電壓予以自動地施加於上部電極34。又,亦可設置檢測外皮厚的檢測器或檢測電子密度的檢測器,控制器51可根據其檢測信號來控制可變直流電源50。
在此,以下部RF二頻率施加型來對上部電極施加直流電壓的電漿蝕刻裝置中,蝕刻形成於晶圓W上的絶縁膜(例如Low-k膜)時,作為處理氣體使用之特別較佳的氣體組合,如以下所示的例子。
在孔蝕刻的條件之過蝕刻時,所使用較理想的處理氣體組合,可舉(C5 F8 ,Ar,N2 )或(C4 F8 ,Ar,N2 )或(C4 F8 ,Ar,N2 ,O2 )或(C4 F8 ,Ar,N2 ,CO)。藉此,可取較大絶縁膜對基底膜(SiC,SiN等)的選擇比。
並且,在蝕刻絶縁膜上的有機反射防止膜的條件中,所使用較理想的處理氣體組合,可舉CF4 或(CF4 ,C3 F8 )或(CF4 ,C4 F8 )或(CF4 ,C4 F6 )。
而且,在HARC蝕刻的條件中,所使用較理想的處理氣體組合,可舉(C4 F6 ,CF4 ,Ar,O2 )或(C4 F6 ,C3 F8 ,Ar,O2 )或(C4 F6 ,C4 F8 ,Ar,O2 )或(C4 F6 ,C2 F6 ,Ar,O2 )或(C4 F8 ,Ar,O2 )或(C4 F8 ,Ar,O2 )。藉此,可增大絶縁膜的蝕刻速度。
另外,並非限於上述,可使用(CxHyFz的氣體/N2 ,O2 等的添加氣體/稀釋氣體的組合)。
但,若對上部電極34施加直流電壓,則電子會在上部電極34積存,在與處理室10的內壁之間等會有發生異常放電之虞。為了抑止如此的異常放電,本實施形態是將DC性接地的零件亦即GND區塊(導電性構件)91設置於處理室壁側的堆積物屏蔽11。此GND區塊91是露出於電漿面,在堆積物屏蔽11的內部的導電部電性連接,由可變直流電源50來施加於上部電極34的直流電壓電流是經由處理空間來到達GND區塊91,且經由堆積物屏蔽11來接地。GND區塊91為導電體,較理想為Si,SiC等的矽含有物質。亦可適用C。藉由此GND區塊91,可使積存於上述上部電極34的電子逃離,防止異常放電。GND區塊91的突出長度最好為10mm以上。
又,為了防止異常放電,其有效的方法,例如在上部電極34施加直流電壓時,可藉由適當的手段重疊於直流電壓來周期性賦予第1實施形態之圖13所示極短的逆極性的脈衝,而中和電子。
若上述GND區塊91設置於電漿形成區域,則該位置並非限於圖1的位置,例如圖51所示,亦可設置於基座16的周圍等,設置於基座16側,且如圖52所示,亦可環狀設置於上部電極34的外側等,設置於上部電極34。但,在形成電漿時,覆蓋於堆積物屏蔽11等的Y2 O3 或聚合物會飛翔,一旦附著於GND區塊91,則不會被DC性接地,難以發揮異常放電防止效果,因此使難以附著是件重要的事。因應於此,最好GND區塊91是離開被Y2 O3 等所覆蓋的構件的位置,就隣接零件而言,最好為Si或石英(SiO2 )等的Si含有物質。例如,第1實施形態的圖15A所示,最好在GND區塊91的周圍設置Si含有構件93。此情況,最好Si含有構件93的GND區塊91之下的部分的長度L為GND區塊91的突出長度M以上。又,為了抑止Y2 O3 或聚合物的附著所造成的機能低下,如圖15B所示,其有效的方法是設置一飛翔物難以附著的凹所91a來作為GND區塊91。又,亦可擴大GND區塊91的表面積,使難以被Y2 O3 或聚合物所覆蓋。又,為了抑止附著物,温度高有效,但因為在上部電極34會被供給電漿形成用的高頻電力,其近傍的温度會上昇,所以由使温度上昇來不使附著物附著的觀點來看,最好如上述圖52所示設置於上部電極34的近傍。此情況,特別是如上述圖52所示,最好環狀設置於上部電極34的外側。
為了更具效果地排除隨著覆蓋於堆積物屏蔽11等之Y2 O3 或聚合物的飛翔而對GND區塊91之附著物的影響,如圖53所示,可在GND區塊91施加負的直流電壓。亦即,藉由在GND區塊91施加負的直流電壓,附著於此的附著物會被濺射或蝕刻,可清潔GND區塊91的表面。在圖53的構成中設置切換機構53,其係於可變直流電源50側與接地線切換GND區塊91的連接,而使能夠由可變直流電源50來對GND區塊91施加電壓,且設有被接地的導電性輔助構件91b,其係使負的直流電壓被施加於GND區塊91時所發生的直流電子電流流入。切換機構53具有:在整合器46側與GND區塊91側之間切換可變直流電源50的連接之第1開關53a,及開啟.關閉往GND區塊91的接地線的連接之第2開關53b。另外,在圖53的例中,GND區塊91會被環狀設置於上部電極34的外側,導電性輔助構件91b會被設置於基座16的外周,此配置雖理想,但並非一定要如此的配置。
在圖53之構成的裝置中,電漿蝕刻時,通常如圖54A所示,切換機構53的第1開關53a會被連接至上部電極34側,可變直流電源50會形成連接至上部電極34側的狀態,且第2開關53b會被開啟,GND區塊91會被連接至接地線側。在此狀態中,由第1高頻電源48及可變直流電源50來給電至上部電極34,而形成電漿,直流電子電流是經由電漿來從上部電極34流入所被接地的GND區塊91及導電性輔助構件91b(與正離子電流的流向呈相反)。此刻,GND區塊91的表面是被上述Y2 O3 或聚合物等的附著物所覆蓋。
因此,會清潔如此的附著物。在進行如此的清潔時,如圖54B所示,將切換機構53的第1開關53a切換至GND區塊91側,關閉第2開關53b。在此狀態中,由第1高頻電源48來給電至上部電極34,而形成清潔電漿,由可變直流電源50來對GND區塊91施加負的直流電壓。藉此,直流電子電流是由GND區塊91來流入導電性輔助構件91b。相反的,正離子是流入GND區塊91。因此,可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而得以離子濺射GND區塊91表面,藉此能夠去除GND區塊91表面的附著物。
此外,在電漿蝕刻時的部份期間,如圖55所示,亦可關閉第2開關53b,使GND區塊91成為浮動狀態。此刻,直流電子電流是經由電漿來從上部電極34流入導電性輔助構件91b(與正離子電流的流向呈相反)。此刻在GND區塊91中施以自我偏壓電壓,以部份的能量來射入正離子,可在電漿蝕刻時清潔GND區塊91。
另外,在上述清潔時,所施加的直流電壓可為較小,此刻的直流電子電流小。因此,在圖53的構成中,可藉洩漏電流在GND區塊91中電荷不會積存時,並非一定要導電性輔助構件91b。
在上述圖53的例子中,清潔時,將可變直流電源50的連接從上部電極34側切換至GND電極91側,施加直流電壓時的直流電子電流會從GND區塊91來流至導電性輔助構件91a,但亦可將可變直流電源50的正極連接至上部電極34,將負極連接至GND區塊91,在施加直流電壓時的直流電子電流會從GND區塊91來流至上部電極34。此情況,不需要導電性輔助構件。將如此的構成顯示於圖56。在圖56的構成中,設有連接切換機構57,其係於電漿蝕刻時,可變直流電源50的負極會被連接至上部電極34,且GND區塊91會被連接至接地線,清潔時,可變直流電源50的正極會被連接至上部電極34,負極會被連接至GND區塊91。
此連接切換機構57具有:第1開關57a,其係於正極與負極之間切換對上部電極34之可變直流電源50的連接;第2開關57b,其係於正極與負極之間切換對GND區塊91之可變直流電源50的連接;及第3開關57c,其係用以使可變直流電源50的正極或負極接地。
又,第1開關57a與第2開關57b是構成連動開關,亦即當第1開關57a連接至可變直流電源50的正極時,第2開關57b會被連接至直流電源的負極,當第1開關57a連接至可變直流電源50的負極時,第2開關57b會形成關閉。
在圖56之構成的裝置中,在電漿蝕刻時,如圖57A所示,連接切換機構57的第1開關57a會被連接至可變直流電源50的負極側,可變直流電源50的負極會形成連接至上部電極34側的狀態,且第2開關57b會被連接至可變直流電源50的正極側,第3開關57c會被連接至可變直流電源50的正極側(使可變直流電源50的正極接地),GND區塊91會被連接至接地線側。在此狀態中,由第1高頻電源48及可變直流電源50來給電至上部電極34而形成電漿,直流電子電流是經由電漿來從上部電極34流入被接地的GND區塊91(與正離子電流的流向呈相反)。此刻,GND區塊91的表面是被上述Y2 O3 或聚合物等的附著物所覆蓋。
另一方面,在清潔時,如圖57B所示,將連接切換機構57的第1開關57a切換至可變直流電源50的正極側,將第2開關57b切換至可變直流電源50的負極側,且使第3開關57c成為未連接狀態。在此狀態中,從第1高頻電源48來給電至上部電極34而形成清潔電漿,在GND區塊91中從可變直流電源50的負極來施加直流電壓,在上部電極34中從可變直流電源50的正極來施加直流電壓,藉由該等之間的電位差,直流電子電流會從GND區塊91來流入上部電極34,相反的,正離子會流入GND區塊91。因此,可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而使能夠離子濺射GND區塊91表面,藉此可去除GND區塊91表面的附著物。另外,此情況,雖可變直流電源50看起來為浮動狀態,但一般在電源設有幀接地線,因此安全。
又,上述例中雖使第3開關57c成為未連接狀態,但亦可維持連接於可變直流電源50的正極側(使可變直流電源50的正極接地)。在此狀態中,從第1高頻電源48來給電至上部電極34而形成清潔電漿,在GND區塊91中從可變直流電源50的負極來施加直流電壓,直流電子電流會經由電漿來從GND區塊91流入上部電極34,相反的,正離子會流入GND區塊91。在此情況中,亦可藉由調整直流電壓來控制往GND區塊91之正離子的射入能量,而使能夠離子濺射GND區塊91表面,藉此可去除GND區塊91表面的附著物。
另外,就圖53及圖56的例子而言,在清潔時,是在GND區塊91中施加直流電壓,但亦可施加交流電壓。並且,在圖53的例子中,是使用供以在上部電極施加直流電壓的可變直流電源50來對GND區塊91施加電壓,但亦可由別的電源來施加電壓。此外,就圖53及圖56的例子而言,在電漿蝕刻時,是使GND區塊91接地,在清潔時,是在GND區塊91施加負的直流電壓,但並非限於此。例如,在電漿蝕刻時,亦可在GND區塊91施加負的直流電壓。又,亦可將上述清潔時置換成灰化時。又,使用雙極電源來作為可變直流電源50時,不需要上述連接切換機構57那樣複雜的開關動作。
圖53之例的切換機構53,圖56之例的連接切換機構57的切換動作是根據來自控制部95的指令進行。
在形成電漿時,由簡易防止因Y2 O3 或聚合物附著於GND區塊91而造成無法DC性接地的觀點來看,其有效方法,是以其他的構件來覆蓋GND區塊91的一部份,使該等相對移動,而令GND區塊91的新的面能夠露出。具體而言,如在第1實施形態說明過的圖21A-B所示,GND區塊91為較大面積,以能夠移動於箭號方向的光罩材111來覆蓋GND區塊91所接觸電漿的表面一部份,可藉由移動該保護板111來改變GND區塊91表面所暴露於電漿的部分。此情況,若將驅動機構設置於處理室10內,則會有引起粒子發生之虞,但由於使用頻度少,百小時使用一次,因此不會發生大問題。並且,如在第1實施形態說明過的圖22所示,例如將圓柱狀的GND區塊191設成可旋轉,以只有GND區塊191的外周面的一部份能夠露出之方式,以光罩材112來覆蓋,可藉由旋轉GND區塊191來改變暴露於電漿的部分。此情況,驅動機構可設置於處理室10外。光罩材111,112可使用耐電漿性高,例如溶射Y2 O3 等的陶瓷的鋁板。
又,同様用以簡易防止GND區塊91因附著物而無法DC性接地的其他有效手法,是以其他的構成來覆蓋GND區塊91的一部份,其他的構件為使用藉由電漿而慢慢地被蝕刻者,使GND區塊91一直未失去導電性的面能夠露出。例如在第1實施形態說明過的圖23A所示,以附階差的保護膜113來覆蓋GND區塊91表面的一部份,使初期露出面91c具有接地機能。若在此狀態下例如進行200小時的電漿處理,則如在第1實施形態說明過的圖23B所示,雖GND區塊91的初期露出面91c會失去導電性,但此刻附階差的保護膜113的較薄部分會被蝕刻,而使GND區塊91的新露出面91d能夠出現。藉此新露出面91d可發揮接地機能。如此的保護膜113具有可防止壁面材料附著於GND區塊91的效果、及減少離子流入GND區塊91,而來防止汚染的效果。
在實際的使用中,如在第1實施形態說明過的圖24A-B所示,最好多數積層較薄的層114,使用各層錯開少些的保護膜113a。此情況,若將1個層114藉由電漿的蝕刻而消失的時間設為Te,將GND區塊91的露出表面被汚染而消失導電性為止的時間設為Tp,則以一定能夠滿足Te<Tp的方式來設定層114的厚度,可在GND區塊91中經常確保保持導電性的表面。就層114的數量而言,最好是以GND區塊91的壽命比維修的周期更長的方式來選擇。又,為了提高維修性,如圖示,可事先設置1層與其他不同顏色的層114a,例如在該膜114a形成一定面積以上的時間點進行交換,而使能夠掌握交換時期。
就保護膜113,113a而言,最好為電漿所能適度蝕刻者,例如可適用光阻劑膜。
又,用以簡易防止GND區塊91因附著物而無法DC性接地的其他方法,可舉一設置複數個GND區塊91,依次切換其中可使接地機能奏效者。例如在第1實施形態說明過的圖25所示,設置3個GND區塊91,以只能夠使該等的一個接地之方式來設置切換開關115。並且,在共通的接地線116事先設置電流感測器117,監控流動於此的直流電流。以電流感測器117來監控所被接地之GND區塊91的電流,在其電流值低於所定值的時間點,切換成別的GND區塊91。另外,GND區塊91的數量,只要在3~10個程度的範圍內選擇適當的數量即可。
在以上的例子中,未被接地的GND區塊是形成電性浮動狀態,但由保護未使用的GND區塊的觀點來看,亦可取代設置切換開關115,而施加保護用的電位。將該例顯示於在第1實施形態說明過的圖26。就圖26而言,是在個別連接於各GND區塊91的接地線118分別設置可變直流電源119。藉此,以使應發揮接地機能的GND區塊91的電壓能夠形成0V之方式來控制所對應之可變直流電源119的電壓,有關其他的GND區塊91,則是以能夠形成電流未流動的電壓,例如形成100V之方式來控制所對應之可變直流電源119的電壓。而且,在連接於應使發揮接地機能的GND區塊91的接地線118所被設置的電流感測器117的電流值形成比所定值更低的時間點,判斷成接地機能未奏效,而將對應於別的GND區塊91的可變直流電源119的電壓值控制成該GND區塊可發揮接地機能的值。
另外,藉由如此來自直流電源119的施加電壓形成-1kV程度的負值,可使連接的GND區塊119具有對電漿賦予直流電壓的電極機能。但,若該值太大,則會對電漿造成不良影響。並且,藉由控制施加於GND區塊119的電壓,可發揮對GND區塊119的清潔效果。
另外,若舉例顯示上述第1高頻電力及第2高頻電力所能採取的頻率,則第1高頻電力可舉13.56MHz,27MHz,40MHz,60MHz,80MHz,100MHz,160MHz,第2高頻電力可舉380kHz,800kHz,1MHz,2MHz,3.2MHz,13.56MHz,可按照製程以適當的組合使用。
又,以上雖是以電漿蝕刻裝置為例來進行說明,但亦可適用於其他使用電漿來處理半導體基板的裝置。例如可舉電漿成膜裝置。
<實施形態4>
其次,說明有關本發明的實施形態4。
圖58是簡略化顯示本發明的實施形態4之電漿蝕刻裝置的要部概略剖面圖。並且,在圖58中,賦予和圖1相同者同樣的符號,而省略其說明。
本實施形態是在上部電極34與處理室10的壁(接地電位)連接可變直流電源110,在基座16與處理室10的壁連接可變直流電源114。亦即,將可變直流電源110的一方的極連接至上部電極34,將另一方的極連接至處理室10的壁,將可變直流電源114的一方的極連接至基座16,將另一的極連接至處理室10的壁。該等可變直流電源110,114可分別以開啟.關閉開關112,116來形成開啟.關閉。
另外,該等可變直流電源110,114,開啟.關閉開關112,116是使用未圖示的控制器來控制。並且,在整合器88中內藏有與整合器46的過濾器58同様的過濾器,可變直流電源114可經由此過濾器來連接至基座16。
在如此構成的電漿蝕刻裝置中,與實施形態1同様地形成電漿時,由可變直流電源110及可變直流電源114來分別對上部電極34及基座16施加所定的直流電壓。此情況,由於直流電壓會被施加於上部電極34,因此可取得實施形態1之直流電壓施加的效果,且在對基座16施加直流電壓之下,電漿電位與晶圓的電位差會擴大,離子能量會増大,因此可取得高蝕刻速率。並且,使晶圓外部的調焦環24,DC性導通於基座16,使DC施加於基座16的量最適化,藉此可修正晶圓邊端的蝕刻速率的掉落,在晶圓面內進行均一性佳的蝕刻,可使由1片晶圓取得的晶片數増加。
另外,在本實施形態中,可變直流電源110,114的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態5>
其次,說明有關本發明的實施形態5。
圖59是簡略化顯示本發明的實施形態5之電漿蝕刻裝置的要部概略剖面圖。並且,在圖59中,賦予和圖1相同者同樣的符號,而省略其說明。
本實施形態是在上部電極34與下部電極的基座16,分別經由整合器46及88內的過濾器來連接可變直流電源118。亦即,將可變直流電源118的一方的極連接至上部電極34,將另一方的極連接至下部電極的基座16。此可變直流電源118可藉由開啟.關閉開關120來開啟.關閉。另外,可變直流電源118及開啟.關閉開關120是以未圖示的控制器來進行控制。
在如此構成的電漿蝕刻裝置中,與實施形態1同樣地形成電漿時,由直流電源118來對上部電極34及基座16施加所定的直流電壓。此情況,由於直流電壓會被施加於上部電極34,因此可取得實施形態1之直流電壓施加的效果,且從上部電極34到晶圓W為止,電場會直線的進入,因此可效率佳地加速電極上的電子至晶圓。又,由於無論哪個電極皆對處理室壁呈DC性浮起,因此即使在電極間賦予電位差,還是不會直接對電漿電位造成影響。所以,不會在處理室壁等發生異常放電。並且,不必在處理室壁設置GND區塊。
另外,在本實施形態中,可變直流電源118的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態6>
其次,說明有關本發明的實施形態6。
圖60是簡略化顯示本發明的實施形態6之電漿蝕刻裝置的要部概略剖面圖。並且,在圖60中,賦予和圖1相同者同樣的符號,而省略其說明。
本實施形態是在絶縁性遮蔽構件42中埋入導體42a,在上部電極34與導體42a連接可變直流電源122。並且,在絶縁體的內壁構件26中埋入導體26a,在基座16與導體26a連接可變直流電源126。亦即,將可變直流電源122的一方的極連接至上部電極34,將另一方的極連接至導體42a,將可變直流電源126的一方的極連接至基座16,將另一方的極連接至導體26a。該等可變直流電源122,126可分別使用開啟.關閉開關124,128來開啟.關閉。
另外,該等可變直流電源122,126,開啟.關閉開關124,128是以未圖示的控制器來控制。並且,與實施形態4同様,直流電源126是經由內藏於整合器88的過濾器來連接至基座16。
在如此構成的電漿蝕刻裝置中,與實施形態1同様形成電漿時,由直流電源122來對上部電極34及導體42a施加所定的直流電壓,由直流電源126來對基座16及導體26a施加所定的直流電壓。此情況,由於直流電壓會被施加於上部電極34,因此可取得實施形態1之直流電壓施加的效果,且在更使施加電壓最適化之下,可藉由滲出於絶縁性遮蔽構件42及內壁構件26的電位與電漿電位的差,產生離子的加速,抑止堆積物附著於絶縁性遮蔽構件42及絶縁體的內壁構件26。
另外,在本實施形態中,可變直流電源122,126的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態7>
其次,說明有關本發明的實施形態7。
圖61是簡略化顯示本發明的實施形態7之電漿蝕刻裝置的要部概略剖面圖。並且,在圖61中,賦予和圖1及圖60相同者同樣的符號,而省略其說明。
本實施形態與實施形態6同様,在絶縁性遮蔽構件42中埋入導體42a,在內壁構件26中埋入導體26a。然後,在導體42a與處理室10的壁(接地電位)連接可變直流電源130,在導體26a與處理室10的壁(接地電位)連接可變直流電源134。亦即,將可變直流電源130的一方的極連接至導體42a,將另一方的極連接至處理室10的壁,將可變直流電源134的一方的極連接至導體26a,將另一方的極連接至處理室10的壁。該等可變直流電源130,134可分別藉由開啟.關閉開關132,136來開啟.關閉。另外,該等可變直流電源130,134,開啟.關閉開關132,136是以未圖示的控制器來控制。
在如此構成的電漿蝕刻裝置中,與實施形態1同様,在形成電漿時,由可變直流電源130來對導體42a施加所定的直流電壓,由可變直流電源134來對導體26a施加所定的直流電壓。此刻,在使施加電壓最適化之下,可改變滲出於絶縁性遮蔽構件42及內壁構件26的表面之電位。藉此,利用與電漿電位的電位差來產生離子的加速電壓,而使能夠藉由射入絶縁性遮蔽構件42及內壁構件26的離子能量來抑止堆積物附著於絶縁性遮蔽構件42及絶縁體的內壁構件26。
另外,在本實施形態中,可變直流電源132,134的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態8>
其次,說明有關本發明的實施形態8。
圖62是簡略化顯示本發明的實施形態8之電漿蝕刻裝置的要部概略剖面圖。並且,在圖62中,賦予和圖1及圖60相同者同樣的符號,而省略其說明。
本實施形態與實施形態7同樣,在絶縁性遮蔽構件42中埋入導體42a,在內壁構件26中埋入導體26a。然後,在導體42a與導體26a連接可變直流電源138。亦即,將可變直流電源138的一方的極連接至導體42a,將另一方的極連接至導體26a。此可變直流電源138可使用開啟.關閉開關140來開啟.關閉。另外,可變直流電源138,開啟.關閉開關140是以未圖示的控制器來控制。
在如此構成的電漿蝕刻裝置中,與實施形態1同樣,在形成電漿時,由可變直流電源138來對導體42a及導體26a施加所定的直流電壓。此刻,可在使施加電壓最適化之下,改變絶縁性遮蔽構件42及內壁構件26的表面的電位,利用藉由與電漿電位的電位差而被加速的離子,抑止堆積物附著於絶縁性遮蔽構件42及絶縁體的內壁構件26。又,針對絶縁性遮蔽構件42及內壁構件26來分別施加於逆極之下,可使電子加速於電極方向,因此可把電漿關起來。
另外,在本實施形態中,可變直流電源138的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態9>
其次,說明有關本發明的實施形態9。
圖63是簡略化顯示本發明的實施形態9之電漿蝕刻裝置的要部概略剖面圖。並且,在圖63中,賦予和圖1相同者同樣的符號,而省略其說明。
本實施形態是具有使浮動壁的堆積物屏蔽11互相絶縁的堆積物屏蔽11a及堆積物屏蔽11b的構造,在上部電極34與堆積物屏蔽11a連接可變直流電源142,在下部電極的基座16與堆積物屏蔽11b連接可變直流電源146。亦即,將可變直流電源142的一方的極連接至上部電極34,將另一方的極連接至堆積物屏蔽11a,將可變直流電源146的一方的極連接至基座16,將另一方的極連接至堆積物屏蔽11b。該等可變直流電源142,146可分別使用開啟.關閉開關144,148來開啟.關閉。
另外,該等可變直流電源142,146,開啟.關閉開關144,148是以未圖示的控制器來控制。並且,與實施形態4同様,直流電源148是經由內藏於整合器88的過濾器來連接至基座16。
在如此構成的電漿蝕刻裝置中,與實施形態1同様,在形成電漿時,由可變直流電源142來對上部電極34及堆積物屏蔽11a施加所定的直流電壓,由可變直流電源146來對基座16及堆積物屏蔽11b施加所定的直流電壓。此情況,由於直流電壓會被施加於上部電極34,因此可取得實施形態1之直流電壓施加的效果。又,由於堆積物屏蔽11與上部電極34及下部電極的基座16是從接地浮起,因此堆積物屏蔽11與上部電極34,及堆積物屏蔽11與基座16,其各個的電位差是以施加電壓值來自然決定。因此,除了即使不使接地露出於電漿,還是可取得起弧防止效果以外,可藉由兩者的電位差來加速離子,抑止堆積物附著於堆積物屏蔽11。又,可藉由電位方向或電壓的最適化,在排氣空間製作電位差,而取得關起電漿作用。
另外,在本實施形態中,可變直流電源142,146的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態10>
其次,說明有關本發明的實施形態10。
圖64是簡略化顯示本發明的實施形態10之電漿蝕刻裝置的要部概略剖面圖。並且,在圖64中,賦予和圖1及圖63相同者同樣的符號,而省略其說明。
本實施形態與實施形態9同様,是具有使浮動壁的堆積物屏蔽11互相絶縁的堆積物屏蔽11a及堆積物屏蔽11b的構造,在堆積物屏蔽11a與處理室10的壁連接可變直流電源150,在堆積物屏蔽11b與處理室10的壁連接可變直流電源154。亦即,將可變直流電源150的一方的極連接至堆積物屏蔽11a,將另一方的極連接至處理室10的壁,將可變直流電源154的一方的極連接至堆積物屏蔽11b,將另一方的極連接至處理室10的壁。該等可變直流電源150,154可分別使用開啟.關閉開關152,156來開啟.關閉。另外,該等可變直流電源150,154,開啟.關閉開關152,156是以未圖示的控制器來控制。
在如此構成的電漿蝕刻裝置中,與實施形態1同様,在形成電漿時,由可變直流電源150來對浮動壁的堆積物屏蔽11a及接地壁的處理室10的壁施加所定的直流電壓,由可變直流電源154來對浮動壁的堆積物屏蔽11b及接地壁的處理室10的壁施加所定的直流電壓。此情況,可藉由使堆積物屏蔽的電位最適化,取得離子的加速電壓,而得以抑止堆積物附著於堆積物屏蔽11。又,可在使外側的堆積物屏蔽11a及內側的堆積物屏蔽11b的電壓最適化之下,防止電子更擴展,藉此可取得關起電漿作用。圖64是為了使電漿不會往下擴展,而賦予橫方向的電場之例。
另外,在本實施形態中,可變直流電源150,154的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態11>
其次,說明有關本發明的實施形態11。
圖65是簡略化顯示本發明的實施形態5之電漿蝕刻裝置的要部概略剖面圖。並且,在圖65中,賦予和圖1及圖63相同者同樣的符號,而省略其說明。
本實施形態是與實施形態9同様,具有使浮動壁的堆積物屏蔽11互相絶縁的堆積物屏蔽11a及堆積物屏蔽11b的構造,在堆積物屏蔽11a與堆積物屏蔽11b連接可變直流電源158。亦即,將可變直流電源158的一方的極連接至堆積物屏蔽11a,將另一方的極連接至堆積物屏蔽11b。此可變直流電源158可使用開啟.關閉開關160來開啟.關閉。另外,可變直流電源158,開啟.關閉開關160是以未圖示的控制器來控制。
在如此構成的電漿蝕刻裝置中,與實施形態1同様,在形成電漿時,由可變直流電源158來對堆積物屏蔽11a及堆積物屏蔽11b施加所定的直流電壓。此刻,可藉由在2處以上的堆積物屏蔽賦予電位差,使離子加速,而抑止堆積物附著於絶縁性遮蔽構件42及絶縁體的內壁構件26。又,可藉由在排氣方向垂直施加電場,使離子或電子撞擊堆積物屏蔽而消滅,亦即可取得關起電漿作用。
另外,在本實施形態中,可變直流電源158的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態12>
其次,說明有關本發明的實施形態12。
圖66是簡略化顯示本發明的實施形態12之電漿蝕刻裝置的要部概略剖面圖。並且,在圖66中,賦予和圖1相同者同樣的符號,而省略其說明。
本實施形態是在上部電極34與導電性的調焦環(修正環)24連接可變直流電源162。亦即,將可變直流電源162的一方的極連接至上部電極34,將另一方的極連接至下部電極的基座16的上方所載置的調焦環24。此可變直流電源162可藉由開啟.關閉開關164來開啟.關閉。另外,可變直流電源162及開啟.關閉開關164是以未圖示的控制器來控制。並且,導電性的調焦環24是被電性接地。
在如此構成的電漿蝕刻裝置中,與實施形態1同様,在形成電漿時,由可變直流電源162來對上部電極34及調焦環24施加所定的直流電壓。此情況,直流電壓會被施加於上部電極34,因此可取得實施形態1之直流電壓施加的效果,且可在施加所定的電壓之下,在晶圓面內進行均一性佳的蝕刻。
另外,在本實施形態中,可變直流電源162的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態13>
其次,說明有關本發明的實施形態13。
圖67是簡略化顯示本發明的實施形態13之電漿蝕刻裝置的要部概略剖面圖。並且,在圖67中,賦予和圖1相同者同樣的符號,而省略其說明。
本實施形態是在鄰接於調焦環24(修正環)與静電卡盤18之間的晶圓W的位置設置可冷卻的冷卻環166,且在調焦環24與處理室10的壁連接可變直流電源167。亦即,將可變直流電源167的一方的極連接至調焦環24,將另一方的極連接至處理室10的壁。從電源167到調焦環24之給電線中介在低通過濾器(LPF)169。並且,與可變直流電源167並列設有開關168。冷卻環166可藉由冷卻機構170來冷卻。冷卻環166及調焦環24的溫度是藉由温度計測系統171來計測。温度控制部172是輸入來自温度計測系統171的信號,以及將控制信號輸出至冷卻機構170及可變直流電源167及開關168,藉此得以控制冷卻環166及調焦環24的温良。冷卻機構,例如可舉在冷卻環166與基座之間供給He氣體等的熱傳達氣體者。此情況,可藉由變化熱傳達氣體的供給壓力,使循環於基座16內的冷媒的冷熱傳達程度變化,而得以進行冷卻環温度控制。
在如此構成的電漿蝕刻裝置中,與實施形態1同樣,在形成電漿時,可藉由冷卻環166來冷卻晶圓W的邊端部,而得以防止堆積物附著於晶圓W的邊端部,且可藉由對調焦環24施加直流電壓,防止因温度降低造成蝕刻特性惡化。
以下,詳細說明。
在電漿處理裝置中,通常是如圖68所示,鄰接於晶圓W的外周而設有調焦環24,但在電漿處理中調焦環24的温度會上昇,因此堆積物173會附著於晶圓W的邊端部及背面。為了防止堆積物的附著,而冷卻調焦環24,雖可減少堆積物的附著,但晶圓W的邊端部之蝕刻特性(特別是光阻劑的蝕刻速率等)會惡化,堆積物附著與蝕刻特性會形成權衡的關係。
相對的,本實施形態是藉由使冷卻環166冷卻成比晶圓W的邊端部更低温,取代堆積物附著於晶圓W的邊端部,而使堆積物附著於冷卻環166,因此可防止堆積物附著於晶圓W的邊端部及背面。另一方面,在調焦環24施加直流電壓來使温度上昇,藉此可防止冷卻環166使晶圓邊端近傍空間的温度降低,而不使蝕刻特性降低。
在本實施形態中,不一定要温度控制,只要冷卻環166的温度比晶圓W的邊端部的温度更低即可。又,亦可僅計測調焦環24來進行控制。因此,如圖69所示,可在冷卻環166與基座16之間介在良熱傳導體,例如矽膠174,使冷卻構件166更容易冷卻。可能的話,如圖70所示,最好是使用矽膠174等,由上下來夾入高頻電力難傳達,僅熱易傳達的介電質,例如由AIN所構成的構件174a。藉此可極力防止冷卻環166因高頻電力而產生加熱,可使冷卻的程度更為提高。
又,如圖71所示,若使用絶縁構件175來絶縁調焦環24與基座16,則便可不受高頻電力的影響,對調焦環24施加直流電壓。此情況,不要低通過濾器(LPF)169。
又,如圖72所示,亦可經過基座16來對調焦環24施加直流電壓。此情況,先以接觸插銷176等來電性連接調焦環24與基座16,經由高頻電源90的給電線來對基座16施加直流電壓。當不可無視經由基座16所供給的高頻電力造成温度上昇時,如圖示,可藉由在冷卻環166與基座16之間介在一介電質構件17,遮斷往冷卻環166的高頻電力,抑止温度上昇。
又,如圖73所示,亦可在冷卻環166上配置調焦環24。
另外,在本實施形態中,施加於調焦環24的直流電壓的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。又,當無蝕刻特性的劣化問題時,可不進行對調焦環24的電壓施加,而僅設置冷卻環166。
<實施形態14>
其次,說明有關本發明的實施形態14。
圖74是簡略化顯示本發明的實施形態14之電漿蝕刻裝置的要部概略剖面圖。並且,在圖74中,賦予和圖1相同者同樣的符號,而省略其說明。
本實施形態是使修正環亦即調焦環分離成鄰接於静電卡盤18的內側的第1調焦環24a,及其外側的第2調焦環24b之構成,在第1調焦環24a與第2調焦環24b連接可變直流電源178。亦即,將可變直流電源178的一方的極連接至第1調焦環24a,將另一方的極連接至第2調焦環24b。在來自電源178的給電線中介在一低通過濾器(LPF)180。並且,與可變直流電源178並列設有開關182。
在如此構成的電漿蝕刻裝置中,與實施形態1同様,在形成電漿時,由可變直流電源178來對內側的第1調焦環24a及外側的第2調焦環24b施加直流電壓。此刻,可使施加於內側的第1調焦環24a及外側的第2調焦環24b的電壓(電壓的方向)有所不同,可使其值變化,因此可控制晶圓W的外周的電漿,可在晶圓W的邊端部降低製程特性的惡化。例如,可減少晶圓W的邊端之蝕刻速率的降低,或晶圓(6)7的邊端之蝕刻形狀的彎曲等。
在本實施形態的電漿蝕刻裝置中,若設置與實施形態13同様的冷卻機構來冷卻第1調焦環24a,使具有作為冷卻第1調焦環24a的機能,則便可防止堆積物附著於晶圓W的邊端部或背面。又,亦可與實施形態13同様計測第1及第2調焦環24a,24b的温度,以該等的温度能夠形成所定值之方式,與實施形態13同様,藉由温度控制部來控制可變直流電源的電壓或極性。在設置冷卻機構時,亦可控制第1調焦環24a的冷卻。
又,如圖75所示,亦可在晶圓W的邊端近傍上下配置第1調焦環24a及第2調焦環24b。藉此亦可取得同様的效果。
另外,在本實施形態中,施加於第1及第2調焦環24a,24b的直流電壓的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態15>
其次,說明有關本發明的實施形態15。
圖76是簡略化顯示本發明的實施形態15之電漿蝕刻裝置的要部概略剖面圖。並且,在圖76中,賦予和圖1及圖74相同者同樣的符號,而省略其說明。
本實施形態是與實施形態14同様,將修正環亦即調焦環分離成鄰接於静電卡盤18的內側的第1調焦環24a,及其外側的第2調焦環24b之構成,在第1調焦環24a與第2調焦環24b分別連接各個的第1可變直流電源184及第2可變直流電源186。亦即,將第1可變直流電源184的一方的極連接至第1調焦環24a,將第2可變直流電源186的一方的極連接至第2調焦環24,將第1及第2可變直流電184,186的另一方的極皆連接至處理室10的壁。在來自第1及第2可變直流電184,186的給電線中分別介在第1低通過濾器(LPF)188及第2低通過濾器(LPF)190。分別與第1可變直流電源184及第2可變直流電源186並列設有開關185,187。
在如此構成的電漿蝕刻裝置中,與實施形態1同様,在形成電漿時,分別由第1可變直流電源184及第2可變直流電源186來獨立對內側的第1調焦環24a及外側的第2調焦環24b施加直流電壓。此刻,可使對兩者施加的電壓(電壓的方向)有所不同,可使該等的值獨立自由變化,因此比實施形態14的情況更能以高精度來控制晶圓W的外周的電漿,可在晶圓W的邊端部,更有效地減少上述晶圓W的邊端之蝕刻速率的降低,或晶圓W的邊端之蝕刻形狀的彎曲等的製程特性的惡化。
在本實施形態的電漿蝕刻裝置中,亦可設置與實施形態13同樣的冷卻機構來冷卻第1調焦環24a,藉此使具有作為冷卻第1調焦環24a的機能,則可防止堆積物附著於晶圓W的邊端部或背面。又,亦可與實施形態13同様計測第1及第2調焦環24a,24b的温度,以該等的温度能夠形成所定值之方式,與實施形態13同様,藉由温度控制部來控制可變直流電源的電壓或極性。在設置冷卻機構時,亦可控制第1調焦環24a的冷卻。
又,如圖77所示,亦可經由低通過濾器(LPF)192來將第1可變電源184及第2可變電源186的上述另一方的極連接至上部電極34。又,如圖78所示,亦可在晶圓W的邊端近傍上下配置第1調焦環24a及第2調焦環24b,藉此亦可取得同様的效果。
另外,在本實施形態中,施加於第1及第2調焦環24a,24b的直流電壓的極性亦可相反,或亦可取代直流電壓的,施加交流電壓。又,即使電壓為脈衝狀,亦可為AM調變或FM調變等,被調變者。
<實施形態16>
其次,說明有關本發明的實施形態16。
圖79是簡略化顯示本發明的實施形態16之電漿蝕刻裝置的要部概略剖面圖。
亦即,由第1高頻電源200來對下部電極的基座16施加電漿生成用例如13.56MHz的高頻(RF)電力之下部RF1頻率施加型態的電漿蝕刻裝置,即如圖示在上部電極234'連接可變直流電源204來施加所定的直流(DC)電壓之電漿蝕刻裝置。圖79為省略詳細的圖,本實施形態的電漿蝕刻裝置除了對下部僅以1頻率施加高頻電力的點以外,其餘則與實施形態3的下部RF2頻率施加型態的電漿蝕刻裝置相同。
本實施形態的電漿蝕刻裝置,亦對上部電極234'施加來自可變直流電源204的直流電壓,藉此可取得與實施形態3的電漿蝕刻裝置同様的效果。亦即,可發揮(1)擴大第1電極的自我偏壓電壓的絶對值來往第1電極表面的濺射效果,(2)使第1電極的電漿外皮擴大,所被形成的電漿會縮小化的效果,(3)使產生於第1電極近傍的電子照射於被處理基板上的效果,(4)控制電漿電位的效果,(5)使電子(電漿)密度上昇的效果,(6)使中心部的電漿密度上昇的效果之至少一個。
又,可將上述實施形態1~3所說明有關對上部電極施加直流電壓的裝置構成及手法適用於本實施形態的電漿蝕刻裝置。例如,可將上述實施形態3的導電性構件,或上述實施形態3的圖47~圖49所說明的開關,處理氣體的組合等適用於本實施形態的電漿蝕刻裝置。
又,可將上述實施形態4~15所說明有關對上部電極或上部電極以外的構件施加直流電壓的裝置構成及手法適用於本實施形態的電漿蝕刻裝置。
<實施形態17>
其次,說明有關本發明的實施形態17。
圖80是簡略化顯示本發明的實施形態17之電漿蝕刻裝置的要部概略剖面圖。
亦即,由第1高頻電源48'來對下部電極的基座16施加第1高頻(RF)電力,且由第2高頻電源90來施加第2高頻(RF)電力,由第3高頻電源224來對上部電極34施加第3高頻電力之上部RF1頻率下部RF2頻率型態的電漿蝕刻裝置,即如圖示在上部電極34連接可變直流電源50來施加所定的直流(DC)電壓之電漿蝕刻裝置。另外,此電漿蝕刻裝置,最好輸出電漿形成用的高頻電力之高頻電源為第3高頻電源,輸出離子引入用的高頻電力之高頻電源為第1高頻電源及第2高頻電源。
本案施形態的電漿蝕刻裝置亦對上部電極34施加來自可變直流電源50的直流電壓,藉此可取得與實施形態3的電漿蝕刻裝置同様的效果。亦即,可發揮(1)擴大第1電極的自我偏壓電壓的絶對值來往第1電極表面的濺射效果,(2)使第1電極的電漿外皮擴大,所被形成的電漿會縮小化的效果,(3)使產生於第1電極近傍的電子照射於被處理基板上的效果,(4)控制電漿電位的效果,(5)使電子(電漿)密度上昇的效果,(6)使中心部的電漿密度上昇的效果之至少一個。
又,可將上述實施形態1~3所說明有關對上部電極施加直流電壓的裝置構成及手法適用於本實施形態的電漿蝕刻裝置。例如,可將上述實施形態1的導電性構件,或處理氣體的組合等適用於本實施形態的電漿蝕刻裝置。
又,可將上述實施形態4~15所說明有關對上部電極或上部電極以外的構件施加直流電壓的裝置構成及手法適用於本實施形態的電漿蝕刻裝置。
另外,亦可如圖示藉由切換開關226來將上部電極34切換成連接至第3高頻電源224及可變直流電源50,或接地。另外,元件符號227為低通過濾器,228為高通過濾器。
以上,是說明本發明的實施形態,但並非限於上述實施形態的內容,亦可實施各種的裝置構成或手法的組合,變形。
例如,亦可將上述實施形態4~15所說明有關對上部電極或上部電極以外的構件施加直流電壓的裝置構成及手法適用於實施形態2,3的電漿蝕刻裝置。
又,如圖81所示,亦可由第1高頻電源48'來對下部電極的基座16施加電漿生成用例如60MHz的高頻電力,且由第2高頻電源90'來施加離子引入用例如2MHz的高頻電力,更將直流電源198施加於下部電極的基座16。又,如圖82所示,亦可取代圖79之連接於上部電極的可變直流電源204,而設置連接於下部電極的可變直流電源202。上述實施形態1~15所說明的直流電壓的施加手法亦可適用於上述圖81,圖82的型態的裝置。
又,以上雖是以電漿蝕刻裝置為例來進行說明,但亦可適用於其他使用電漿來處理半導體基板的裝置。例如電漿成膜裝置。
10...處理室(處理容器)
10a...接地導體
11...堆積物屏蔽
12...絕緣板
14...基座支持台
16...基座(下部電極)
W...半導體晶圓
18...静電卡盤
20...電極
22...直流電源
24...調焦環(修正環)
24a...第1調焦環
24b...第2調焦環
26...內壁構件
28...冷媒室
30a、30b...配管
32...氣體供給線
34、34'...上部電極
34a...外側上部電極
34b...內側上部電極
36、36a、36b...電極板
37...噴出孔
37b...氣體噴出孔
38、38a、38b...電極支持體
40...氣體擴散室
40a...中心氣體擴散室
40b...周邊氣體擴散室
41、41b...氣體通流孔
42...絕緣性遮蔽構件
43...環狀隔壁構件
44...給電棒
44a...筒狀的絕緣構件
46...整合器
48、48'...第1高頻電源
49...給電線
50...可變直流電源
51...控制器(控制裝置)
52...開啟.關閉開關
53...切換機構
53a...第1開關
53b...第2開關
54...第1可變電容器
55...檢測器
56...第2可變電容器
57...連接切換機構
57a...第1開關
57b...第2開關
57c...第3開關
58...過濾器
59...線圈
60...電容器
62、62a、62b...氣體導入口
64...氣體供給管
64a、64b...分岐管
66...處理氣體供給源
68...質量流量控制器(MFC)
70...開閉閥
71a、71b...流量控制閥
72...介電質
73...陶瓷構件
74...上部給電棒
74a...絕緣構件
76...下部給電棒
78...可變電容器
80...排氣口
82...排氣管
83...排氣板
84...排氣裝置
85...搬入出口
86...閘閥
88...整合器
90、90'...第2高頻電源
91...導電性構件(GND區塊)
91a...凹處
91b...導電性輔助構件
91c...初期露出面
91d...新露出面
92...低通過濾器(LPF)
93...Si含有構件
94...高通過濾器(HPF)
95...控制部(全體控制裝置)
96...使用者介面
97...記憶部
98...連接器
100...給電筒
101...Si系有機膜(SiOC)
102...SiN膜
103...SiO2
104...光阻劑
108...SiO2
111、112...光罩材(保護板)
113、113a...保護膜
114...薄層
115...切換開關
116...接地線
117...電流感測器
118...接地線
119、122、126、130、134、138...可變直流電源
124、128、132、136、140...開啟.關閉開關
142、146...可變直流電源
144、148...開啟.關閉開關
150、154...可變直流電源
152、156...開啟.關閉開關
158...可變直流電源
160...開啟.關閉開關
162...可變直流電源
164...開啟.關閉開關
166...冷却環
167...可變直流電源
168...開關
169...低通過濾器(LPF)
170...冷却機構
171...温度計測系統
172...温度控制部
174...矽膠
176...接觸插銷
178...可變直流電源
180...低通過濾器(LPF)
182...開關
184...第1可變直流電源
185...開關
186...第2可變直流電源
187...開關
188...第1低通過濾器(LPF)
190...第2低通過濾器(LPF)
191...GND區塊
192...低通過濾器(LPF)
198...直流電源
200...第1高頻電源
201...SiC層
202...SiOC系膜
203...SiO2
204...反射防止膜(BARC)
205...ArF光阻劑
206...矽基板
207...矽氮化膜(SiN)
209...反射防止膜(BARC)
210...光阻劑光罩
224...第3高頻電源
226...切換開關
501、502、503...電容器
504...開關(可變裝置)
Jo...外側導波路
Ji...內側導波路
圖1是表示本發明的第1實施形態的電漿蝕刻裝置的概略剖面圖。
圖2是表示在圖1的電漿蝕刻裝置中連接至第1高頻電源的整合器的構造。
圖3是表示在圖1的電漿蝕刻裝置中對上部電極施加直流電壓時的Vd c 及電漿外皮厚的變化。
圖4A-B是比較在圖1的電漿蝕刻裝置中對上部電極施加及不施加直流電壓時的電漿狀態。
圖5是表示藉由圖1的電漿蝕刻裝置來使施加於上部電極的直流電壓變化,蝕刻SiO2 膜時之光阻劑膜的蝕刻速率,SiO2 膜的蝕刻速率,及對光阻劑膜之SiO2 膜的選擇比的圖表。
圖6是表示適用連續蝕刻製程的多層膜的一例。
圖7是表示在圖1的電漿蝕刻裝置中對上部電極施加直流電壓時的電漿電位波形的變化。
圖8是表示圖1的電漿蝕刻裝置之對上部電極施加的直流電壓與最大電漿電位的關係。
圖9是表示在圖1的電漿蝕刻裝置中使施加的直流電壓變化時的電子密度及其分布的變化。
圖10A-C是表示在圖9的蝕刻中,各直流電壓的中心與邊端的蝕刻狀態模式圖。
圖11是表示上部電極表面的自我偏壓電壓與所施加的直流電壓的關係。
圖12是表示在圖1的電漿蝕刻裝置中設置檢測電漿的檢測器的狀態剖面圖。 圖13是表示在圖1的電漿蝕刻裝置中對上部電極施加直流電壓時用以抑止異常放電的波形。
圖14是表示GND區塊的其他配置例的概略圖。
圖15是表示GND區塊的另外其他配置例的概略圖。
圖16A-B是用以說明GND區塊的附著物防止例。
圖17是表示可去除GND區塊的附著物的裝置構成的一例概略圖。
圖18A-B是用以說明圖17的裝置之電漿蝕刻時的狀態與清潔時的狀態概略圖。
圖19是表示圖17的裝置之電漿蝕刻時的其他狀態概略圖。
圖20是表示可去除GND區塊的附著物之裝置構成的其他例的概略圖。
圖21A-B是用以說明圖20的裝置之電漿蝕刻時的狀態與清潔時的狀態概略圖。
圖22是表示具備防止不被DC性接地的機能之GND區塊的一例模式圖。
圖23是表示具備防止不被DC性接地的機能之GND區塊的其他例模式圖。
圖24A-B是表示具備防止不被DC性接地的機能之GND區塊的另外其他例模式圖。
圖25是表示具備防止不被DC性接地的機能之GND區塊的其他例模式圖。
圖26是表示具備防止不被DC性接地的機能之GND區塊的其他例模式圖。
圖27是表示具備防止不被DC性接地的機能之GND區塊的其他例模式圖。
圖28是表示RF電漿及DC電漿的電子温度分布。
圖29是表示僅以高頻電力來形成電漿時與亦施加直流電壓時的電子温度分布。
圖30A-B是用以說明偏壓高頻電力的頻率為2MHz時與13.56MHz時的離子的追從性。
圖31是表示偏壓高頻電力的頻率為2MHz時與13.56MHz時的離子能量分布。
圖32A-B是表示藉由圖1的電漿蝕刻裝置來進行蝕刻時的蝕刻對象之晶圓的剖面構造模式圖。
圖33A-B是表示藉由圖1的電漿蝕刻裝置來進行蝕刻時的蝕刻對象之晶圓的剖面構造的其他例模式圖。
圖34是表示本發明的第2實施形態的電漿蝕刻裝置的概略剖面圖。
圖35是表示圖34的電漿蝕刻裝置的要部構成的概略剖面圖。
圖36是表示圖34的電漿蝕刻裝置的電漿生成手段的要部的等效電路的電路圖。
圖37是表示圖34的電漿蝕刻裝置的可變電容器的電容值與電場強度比率的關係。
圖38是表示圖34的電漿蝕刻裝置之對上部電極施加直流電壓的變形例。
圖39是表示圖34的電漿蝕刻裝置之對上部電極施加直流電壓的其他變形例。
圖40是表示本發明的第3實施形態的電漿蝕刻裝置的概略剖面圖。
圖41是表示本發明的第3實施形態的電漿蝕刻裝置的概略剖面圖。
圖42A-B是表示在圖41的電漿蝕刻裝置中對上部電極施加直流電壓時的Vd c 及電漿外皮厚的變化。
圖43A-D是表示在圖41的電漿蝕刻裝置中,利用HARC蝕刻的條件,使施加的直流電壓變化時的電子密度的變化。
圖44A-D是表示在圖41的電漿蝕刻裝置中,利用Via蝕刻的條件,使施加的直流電壓變化時的電子密度的變化。
圖45是表示在上述HARC蝕刻下,第1高頻電力為3000W,第2高頻電力為4000W時的晶圓徑方向的電子密度分布。
圖46是表示利用溝蝕刻的條件,在施加與未施加直流電壓時,測定晶圓徑方向的電子密度分布後的結果。
圖47是表示圖41的電漿蝕刻裝置之上部電極的電性狀態。
圖48是表示圖41的電漿蝕刻裝置之上部電極的電性狀態。
圖49是表示圖41的電漿蝕刻裝置之上部電極的電性狀態。
圖50是表示在圖41的電漿蝕刻裝置中設置檢測電漿的檢測器的狀態剖面圖。
圖51是表示GND區塊的其他配置例的概略圖。
圖52是表示GND區塊的另外其他的配置例的概略圖。
圖53是表示可去除GND區塊的附著物之裝置構成的一例概略圖。
圖54A-B是用以說明圖53的裝置之電漿蝕刻時的狀態與清潔時的狀態概略圖。
圖55是表示圖53的裝置之電漿蝕刻時的其他狀態的概略圖。
圖56是表示可去除GND區塊的附著物之裝置構成的其他例概略圖。
圖57A-B是用以說明圖56的裝置之電漿蝕刻時的狀態與清潔時的狀態概略圖。
圖58是簡略化顯示本發明的實施形態4的電漿蝕刻裝置的要部概略剖面圖。
圖59是簡略化顯示本發明的實施形態5的電漿蝕刻裝置的要部概略剖面圖。
圖60是簡略化顯示本發明的實施形態6的電漿蝕刻裝置的要部概略剖面圖。
圖61是簡略化顯示本發明的實施形態7的電漿蝕刻裝置的要部概略剖面圖。
圖62是簡略化顯示本發明的實施形態8的電漿蝕刻裝置的要部概略剖面圖。
圖63是簡略化顯示本發明的實施形態9的電漿蝕刻裝置的要部概略剖面圖。
圖64是簡略化顯示本發明的實施形態10的電漿蝕刻裝置的要部概略剖面圖。
圖65是簡略化顯示本發明的實施形態11的電漿蝕刻裝置的要部概略剖面圖。
圖66是簡略化顯示本發明的實施形態12的電漿蝕刻裝置的要部概略剖面圖。
圖67是簡略化顯示本發明的實施形態13的電漿蝕刻裝置的要部概略剖面圖。
圖68是與本發明的實施形態13的電漿蝕刻裝置對比之簡略化顯示以往的電漿蝕刻裝置的要部概略剖面圖。
圖69是簡略化顯示本發明的實施形態13的電漿蝕刻裝置的變形例的要部概略剖面圖。
圖70是簡略化顯示本發明的實施形態13的電漿蝕刻裝置的其他變形例的要部概略剖面圖。
圖71是簡略化顯示本發明的實施形態13的電漿蝕刻裝置的其他變形例的要部概略剖面圖。
圖72是表示本發明的實施形態13的電漿蝕刻裝置的另外其他變形例的要部概略剖面圖。
圖73是表示本發明的實施形態13的電漿蝕刻裝置的另外其他變形例的要部概略剖面圖。
圖74是簡略化顯示本發明的實施形態14的電漿蝕刻裝置的要部概略剖面圖。
圖75是簡略化顯示本發明的實施形態14的電漿蝕刻裝置的變形例的要部概略剖面圖。
圖76是簡略化顯示本發明的實施形態15的電漿蝕刻裝置的要部概略剖面圖。
圖77是簡略化顯示本發明的實施形態15的電漿蝕刻裝置的變形例的要部概略剖面圖。
圖78簡略化顯示本發明的實施形態15的電漿蝕刻裝置的其他變形例的要部概略剖面圖。
圖79是表示本發明的實施形態16的電漿蝕刻裝置例的剖面圖。
圖80是表示本發明的實施形態17的電漿蝕刻裝置例的剖面圖。
圖81是表示本發明所能適用的其他型態的電漿蝕刻裝置例的剖面圖。
圖82是表示本發明所能適用的另外其他型態的電漿蝕刻裝置例的概略圖。
10...處理室(處理容器)
10a...接地導體
11...堆積物屏蔽
12...絕緣板
14...基座支持台
16...基座(下部電極)
18...静電卡盤
20...電極
22...直流電源
24...調焦環(修正環)
26...內壁構件
28...冷媒室
30a、30b...配管
32...氣體供給線
34...上部電極
36...電極板
37...噴出孔
38...電極支持體
40...氣體擴散室
41...氣體通流孔
42...絕緣性遮蔽構件
44...給電棒
44a...筒狀的絕緣構件
46...整合器
48...第1高頻電源
50...可變直流電源
51...控制器(控制裝置)
52...開啟.關閉開關
62...氣體導入口
64...氣體供給管
66...處理氣體供給源
68...質量流量控制器(MFC)
70...開閉閥
80...排氣口
82...排氣管
83...排氣板
84...排氣裝置
85...搬入出口
86...閘閥
88...整合器
90...第2高頻電源
91...導電性構件(GND區塊)
92...低通過濾器(LPF)
94...高通過濾器(HPF)
95...控制部(全體控制裝置)
96...使用者介面
97...記憶部
W...半導體晶圓

Claims (12)

  1. 一種電漿處理裝置,係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置;第1高頻電力供給單元,其係供給電漿形成用的第1高頻電力於上述第1電極或第2電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體;且在上述第1電極及第2電極之間產生處理氣體的電漿,而對被處理基板的所定層進行電漿處理,其特徵係更具備:對上述處理容器內的所定構件施加直流電壓或交流電壓之電源,上述第1電極為上部電極,上述第2電極為載置被處理體的下部電極,具有設置在鄰接於上述第2電極上方的被處理基板的外周部的被處理基板的位置之可冷卻的冷卻環、及設置於其外側或上側的修正環,上述冷卻環和上述修正環之至少一方作為被施加直流電壓或交流電壓的上述所定的構件而發揮機能。
  2. 如申請專利範圍第1項之電漿處理裝置,其中上述直流電壓或交流電壓為脈衝狀或被調變者。
  3. 如申請專利範圍第1項之電漿處理裝置,其中將上述電源的極連接至上述冷卻環或修正環,將另一方的極連 接至上述處理容器的壁。
  4. 如申請專利範圍第1項之電漿處理裝置,其中上述修正環具有作為被施加直流電壓或交流電壓的上述所定的構件之機能。
  5. 如申請專利範圍第4項之電漿處理裝置,其中上述冷卻環係藉由在上述冷卻環與上述第2電極之間配置放熱性良好的構件,或在上述冷卻環與上述第2電極之間流動熱傳達氣體來進行冷卻。
  6. 如申請專利範圍第4項之電漿處理裝置,其中更具備:温度計測機構,其係計測上述冷卻環的温度;冷卻部,其係冷卻上述冷卻環;及冷卻控制部,其係控制冷卻部之上述內側環的冷卻。
  7. 如申請專利範圍第4項之電漿處理裝置,其中在上述第2電極被供給高頻電力,往上述修正環的給電係經由上述第2電極來進行,在上述冷卻環與上述第2電極之間設有介電質構件。
  8. 一種電漿處理裝置,係具備:處理容器,其係收容有被處理基板,可真空排氣;第1電極及第2電極,其係於處理容器內對向配置;第1高頻電力供給單元,其係供給電漿形成用的第1高頻電力於上述第1電極或第2電極;及處理氣體供給單元,其係於上述處理容器內供給處理氣體;且 在上述第1電極及第2電極之間產生處理氣體的電漿,而對被處理基板的所定層進行電漿處理,其特徵係更具備:對上述處理容器內的所定的構件施加直流電壓或交流電壓之電源,上述第1電極為上部電極,上述第2電極為載置被處理體的下部電極,具有配置在鄰接於上述第2電極上方的被處理基板的外周部的被處理基板的位置之第1修正環、及設置於其外側或上側的第2修正環,上述第1修正環及第2修正環具有作為被施加直流電壓或交流電壓的上述所定的構件之機能。
  9. 如申請專利範圍第8項之電漿處理裝置,其中施加於上述第1修正環及上述第2修正環的電壓係可使分別獨立變化。
  10. 如申請專利範圍第8項之電漿處理裝置,其中在上述第1修正環及上述第2修正環,分別從相異的電源施加有電壓。
  11. 如申請專利範圍第8項之電漿處理裝置,其中在上述第1修正環及上述第2修正環,分別連接有單一電源的一方的極及另一方的極。
  12. 如申請專利範圍第8項之電漿處理裝置,其中上述第1修正環係被冷卻。
TW094120653A 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium TWI447802B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004183093 2004-06-21
JP2005013912 2005-01-21
JP2005045095 2005-02-22

Publications (2)

Publication Number Publication Date
TW200614367A TW200614367A (en) 2006-05-01
TWI447802B true TWI447802B (zh) 2014-08-01

Family

ID=35509997

Family Applications (4)

Application Number Title Priority Date Filing Date
TW094120663A TWI447803B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium
TW102126893A TWI574318B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
TW094120664A TW200612488A (en) 2004-06-21 2005-06-21 Plasma processing apparatus, method thereof, and computer readable memory medium
TW094120653A TWI447802B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW094120663A TWI447803B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium
TW102126893A TWI574318B (zh) 2004-06-21 2005-06-21 A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
TW094120664A TW200612488A (en) 2004-06-21 2005-06-21 Plasma processing apparatus, method thereof, and computer readable memory medium

Country Status (6)

Country Link
EP (5) EP2479784B1 (zh)
JP (6) JP5491358B2 (zh)
KR (8) KR101248691B1 (zh)
CN (6) CN102270577B (zh)
TW (4) TWI447803B (zh)
WO (1) WO2005124844A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9854659B2 (en) 2014-10-16 2017-12-26 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics
US11610763B2 (en) 2017-07-07 2023-03-21 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
US11804362B2 (en) 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI447803B (zh) * 2004-06-21 2014-08-01 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium
JP4911982B2 (ja) * 2006-02-06 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びガス供給制御方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
JP2007234770A (ja) * 2006-02-28 2007-09-13 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
JP5638682B2 (ja) * 2006-03-22 2014-12-10 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
JP5461759B2 (ja) * 2006-03-22 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4972327B2 (ja) * 2006-03-22 2012-07-11 東京エレクトロン株式会社 プラズマ処理装置
JP4885585B2 (ja) * 2006-03-23 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US8104428B2 (en) 2006-03-23 2012-01-31 Tokyo Electron Limited Plasma processing apparatus
US8141514B2 (en) 2006-03-23 2012-03-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
JP4885586B2 (ja) * 2006-03-23 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置
JP4884047B2 (ja) * 2006-03-23 2012-02-22 東京エレクトロン株式会社 プラズマ処理方法
JP2007258500A (ja) * 2006-03-24 2007-10-04 Hitachi High-Technologies Corp 基板支持装置
US20080006205A1 (en) 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
JP5323306B2 (ja) * 2006-07-12 2013-10-23 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US8129282B2 (en) 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008078515A (ja) 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
KR100898165B1 (ko) * 2006-11-24 2009-05-19 엘지전자 주식회사 플라즈마 발생장치 및 방법
US7829469B2 (en) * 2006-12-11 2010-11-09 Tokyo Electron Limited Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US8222156B2 (en) * 2006-12-29 2012-07-17 Lam Research Corporation Method and apparatus for processing a substrate using plasma
JP5371238B2 (ja) * 2007-12-20 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR100920187B1 (ko) * 2007-12-31 2009-10-06 네스트 주식회사 플라즈마 챔버내의 플라즈마 밀도 분포 제어 방법
JP2009193989A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
KR100911327B1 (ko) * 2008-09-26 2009-08-07 주식회사 테스 플라즈마 발생 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5554047B2 (ja) * 2009-10-27 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置
KR101214758B1 (ko) * 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
CN103189957B (zh) * 2010-10-05 2016-01-20 欧瑞康先进科技股份公司 用于真空加工聚合物基板的原位调节
JP5759718B2 (ja) * 2010-12-27 2015-08-05 東京エレクトロン株式会社 プラズマ処理装置
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103985659A (zh) * 2011-07-26 2014-08-13 中微半导体设备(上海)有限公司 一种mocvd半导体处理装置及制作方法
JPWO2013046640A1 (ja) * 2011-09-26 2015-03-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI594667B (zh) 2011-10-05 2017-08-01 應用材料股份有限公司 對稱電漿處理腔室
TWI585837B (zh) * 2011-10-12 2017-06-01 歐瑞康先進科技股份有限公司 濺鍍蝕刻室及濺鍍方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9396900B2 (en) * 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
JP5951324B2 (ja) * 2012-04-05 2016-07-13 東京エレクトロン株式会社 プラズマ処理装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10128118B2 (en) * 2012-09-26 2018-11-13 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082228A (ja) 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
KR101375742B1 (ko) * 2012-12-18 2014-03-19 주식회사 유진테크 기판처리장치
CN103903945B (zh) * 2012-12-24 2016-04-20 中微半导体设备(上海)有限公司 一种稳定脉冲射频的方法
JP6144917B2 (ja) * 2013-01-17 2017-06-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN104051212B (zh) * 2013-03-12 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
JP6357436B2 (ja) 2014-07-25 2018-07-11 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9881804B2 (en) 2015-01-26 2018-01-30 Tokyo Electron Limited Method and system for high precision etching of substrates
JP6488150B2 (ja) * 2015-02-27 2019-03-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016178222A (ja) * 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
JP2017010993A (ja) 2015-06-17 2017-01-12 東京エレクトロン株式会社 プラズマ処理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP2016096342A (ja) * 2015-11-26 2016-05-26 東京エレクトロン株式会社 プラズマ処理装置
JP6556046B2 (ja) 2015-12-17 2019-08-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6643950B2 (ja) * 2016-05-23 2020-02-12 東京エレクトロン株式会社 プラズマ処理方法
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR101909479B1 (ko) * 2016-10-06 2018-10-19 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2018110150A1 (ja) * 2016-12-14 2018-06-21 株式会社アルバック 成膜装置及び成膜方法
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11469085B2 (en) 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI620228B (zh) 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10354844B2 (en) 2017-05-12 2019-07-16 Asm Ip Holding B.V. Insulator structure for avoiding abnormal electrical discharge and plasma concentration
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6836976B2 (ja) * 2017-09-26 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102063108B1 (ko) * 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR101967436B1 (ko) 2017-11-10 2019-04-10 에스엠에이치 주식회사 벨트 컨베이어
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7055054B2 (ja) * 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7094154B2 (ja) * 2018-06-13 2022-07-01 東京エレクトロン株式会社 成膜装置および成膜方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6833784B2 (ja) * 2018-09-28 2021-02-24 芝浦メカトロニクス株式会社 プラズマ処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7145041B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562887B2 (en) * 2018-12-10 2023-01-24 Tokyo Electron Limited Plasma processing apparatus and etching method
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111354672B (zh) * 2018-12-21 2023-05-09 夏泰鑫半导体(青岛)有限公司 静电卡盘及等离子体加工装置
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7462383B2 (ja) 2019-04-15 2024-04-05 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP7489896B2 (ja) 2020-10-22 2024-05-24 東京エレクトロン株式会社 プラズマ処理装置
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN115247257B (zh) * 2021-04-25 2024-01-23 广东聚华印刷显示技术有限公司 成膜装置及膜层的制备方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
JP2024013548A (ja) 2022-07-20 2024-02-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09326383A (ja) * 1996-06-05 1997-12-16 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2000323460A (ja) * 1999-05-11 2000-11-24 Tokyo Electron Ltd プラズマエッチング装置
US20010035132A1 (en) * 2000-04-27 2001-11-01 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
JP2001308080A (ja) * 1994-04-20 2001-11-02 Tokyo Electron Ltd プラズマ処理装置
JP2003264170A (ja) * 2002-01-07 2003-09-19 Tokyo Electron Ltd プラズマ処理方法
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60245213A (ja) * 1984-05-21 1985-12-05 Hitachi Ltd プラズマ処理装置
JPS61199078A (ja) * 1985-02-28 1986-09-03 Anelva Corp 表面処理装置
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
JP2574838B2 (ja) * 1988-01-18 1997-01-22 株式会社日立製作所 Alのスパッタエッチング装置
JPH04279044A (ja) * 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
JPH06279044A (ja) * 1993-03-29 1994-10-04 Fujikura Ltd 光ファイバ母材の製造方法
JP3247491B2 (ja) * 1993-05-19 2002-01-15 東京エレクトロン株式会社 プラズマ処理装置
JP3236724B2 (ja) * 1993-11-30 2001-12-10 東京エレクトロン株式会社 真空処理装置
JPH07211489A (ja) * 1994-01-21 1995-08-11 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置及び該装置のクリーニング方法
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
JP3520577B2 (ja) * 1994-10-25 2004-04-19 株式会社日立製作所 プラズマ処理装置
JPH07207471A (ja) * 1994-12-05 1995-08-08 Hitachi Ltd プラズマエッチング装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
JPH08319588A (ja) * 1996-06-17 1996-12-03 Hitachi Ltd プラズマエッチング装置
JPH1012597A (ja) * 1996-06-20 1998-01-16 Hitachi Ltd プラズマエッチング装置及びプラズマエッチング方法
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220394B2 (ja) * 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
JP4008077B2 (ja) * 1997-10-01 2007-11-14 キヤノンアネルバ株式会社 プラズマ処理装置及び静電吸着機構
JP2000164583A (ja) * 1998-06-24 2000-06-16 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
JP4230029B2 (ja) 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
JP2000299198A (ja) * 1999-02-10 2000-10-24 Tokyo Electron Ltd プラズマ処理装置
JP2000306891A (ja) * 1999-04-22 2000-11-02 Hitachi Ltd プラズマ処理装置
US7537672B1 (en) * 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
JP2000328248A (ja) * 1999-05-12 2000-11-28 Nissin Electric Co Ltd 薄膜形成装置のクリーニング方法及び薄膜形成装置
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
JP3920015B2 (ja) * 2000-09-14 2007-05-30 東京エレクトロン株式会社 Si基板の加工方法
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6806201B2 (en) * 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
JP2002110650A (ja) * 2000-10-03 2002-04-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
JP2002270586A (ja) * 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
WO2002097855A1 (en) * 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP2003077896A (ja) * 2001-08-31 2003-03-14 Tokyo Electron Ltd エッチング方法
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
JP2004095663A (ja) * 2002-08-29 2004-03-25 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
CN1228820C (zh) * 2002-09-04 2005-11-23 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
TWI447803B (zh) * 2004-06-21 2014-08-01 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001308080A (ja) * 1994-04-20 2001-11-02 Tokyo Electron Ltd プラズマ処理装置
JPH09326383A (ja) * 1996-06-05 1997-12-16 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2000323460A (ja) * 1999-05-11 2000-11-24 Tokyo Electron Ltd プラズマエッチング装置
US20010035132A1 (en) * 2000-04-27 2001-11-01 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
JP2003264170A (ja) * 2002-01-07 2003-09-19 Tokyo Electron Ltd プラズマ処理方法
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9854659B2 (en) 2014-10-16 2017-12-26 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics
US10194518B2 (en) 2014-10-16 2019-01-29 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics
US11610763B2 (en) 2017-07-07 2023-03-21 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
US11804362B2 (en) 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems

Also Published As

Publication number Publication date
JP5491358B2 (ja) 2014-05-14
EP2479784B1 (en) 2018-12-19
CN102157372B (zh) 2012-05-30
KR20120009514A (ko) 2012-01-31
TW200612488A (en) 2006-04-16
JP2011049592A (ja) 2011-03-10
CN102263026A (zh) 2011-11-30
JP6029623B2 (ja) 2016-11-24
TW200614368A (en) 2006-05-01
KR101248709B1 (ko) 2013-04-02
EP2479783B1 (en) 2018-12-12
EP2479782A3 (en) 2014-08-27
CN102256431A (zh) 2011-11-23
JP2013191857A (ja) 2013-09-26
WO2005124844A1 (ja) 2005-12-29
CN102263026B (zh) 2016-01-20
EP1780777A4 (en) 2009-12-16
TW201403709A (zh) 2014-01-16
KR20120009512A (ko) 2012-01-31
JP5714048B2 (ja) 2015-05-07
TWI574318B (zh) 2017-03-11
CN102256432A (zh) 2011-11-23
KR101247857B1 (ko) 2013-03-26
KR101247833B1 (ko) 2013-03-26
JP5349445B2 (ja) 2013-11-20
CN102270577B (zh) 2014-07-23
CN102256431B (zh) 2014-09-17
KR101270285B1 (ko) 2013-05-31
TWI447803B (zh) 2014-08-01
JP5976898B2 (ja) 2016-08-24
EP2479782B1 (en) 2018-12-19
EP2479783A2 (en) 2012-07-25
KR20120107520A (ko) 2012-10-02
EP2479782A2 (en) 2012-07-25
EP1780777A1 (en) 2007-05-02
KR20120009516A (ko) 2012-01-31
CN102270577A (zh) 2011-12-07
KR101248691B1 (ko) 2013-04-03
KR101250717B1 (ko) 2013-04-03
TW200614367A (en) 2006-05-01
EP2479783A3 (en) 2014-09-03
JP2011049591A (ja) 2011-03-10
CN102263001A (zh) 2011-11-30
KR101180125B1 (ko) 2012-09-05
EP3128538B1 (en) 2019-12-04
KR20120009513A (ko) 2012-01-31
JP5491359B2 (ja) 2014-05-14
EP2479784A2 (en) 2012-07-25
KR20070020142A (ko) 2007-02-16
TWI358764B (zh) 2012-02-21
CN102157372A (zh) 2011-08-17
CN102256432B (zh) 2014-10-29
KR20120009515A (ko) 2012-01-31
JP2015005755A (ja) 2015-01-08
JP2015207790A (ja) 2015-11-19
KR20070022781A (ko) 2007-02-27
CN102263001B (zh) 2016-08-03
EP2479784A3 (en) 2014-09-03
EP3128538A1 (en) 2017-02-08
JP2011097063A (ja) 2011-05-12
KR100952521B1 (ko) 2010-04-12

Similar Documents

Publication Publication Date Title
TWI447802B (zh) A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
JP4672456B2 (ja) プラズマ処理装置
JP4672455B2 (ja) プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
US7740737B2 (en) Plasma processing apparatus and method
KR100971799B1 (ko) 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체