KR100880767B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR100880767B1
KR100880767B1 KR20017014080A KR20017014080A KR100880767B1 KR 100880767 B1 KR100880767 B1 KR 100880767B1 KR 20017014080 A KR20017014080 A KR 20017014080A KR 20017014080 A KR20017014080 A KR 20017014080A KR 100880767 B1 KR100880767 B1 KR 100880767B1
Authority
KR
South Korea
Prior art keywords
electrode
high frequency
plasma
frequency power
processing apparatus
Prior art date
Application number
KR20017014080A
Other languages
English (en)
Other versions
KR20020027310A (ko
Inventor
고시이시아키라
히로세게이조
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP12563799A external-priority patent/JP4322350B2/ja
Priority claimed from JP12687899A external-priority patent/JP4454718B2/ja
Priority claimed from JP12969699A external-priority patent/JP4831853B2/ja
Priority claimed from JP14120999A external-priority patent/JP4467667B2/ja
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20020027310A publication Critical patent/KR20020027310A/ko
Application granted granted Critical
Publication of KR100880767B1 publication Critical patent/KR100880767B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Abstract

본 발명의 플라즈마 처리 장치는 챔버 내에 고주파 전원(40)을 접속하는 제 1 전극(21)에 대향하도록 제 2 전극(5)을 배치하고, 이들 전극 사이에 피처리 기판(W)을 배치한다. 제 2 전극(5)에 대향하는 제 1 전극(21)의 면의 단부 영역 또는 주면에 접촉하여 고주파 전원(40)의 고조파 전력 흡수가 가능한 고조파 흡수 부재(51)를 마련하고, 고주파 전원에 되돌아가기 전에 고주파 흡수 부재로 반사된 고조파를 흡수한다. 이로써, 고조파에 의한 정재파의 발생을 유효하게 방지하여, 그 결과 플라즈마 밀도를 균일화한다.

Description

플라즈마 처리 장치{APPARATUS FOR PLASMA PROCESSING}
본 발명은 반도체 기판 등의 기판에 플라즈마 처리에 의한 에칭이나 성막을 실시하는 플라즈마 처리 장치에 관한 것이다.
일반적으로, 반도체 장치를 제조하기 위한 공정 중에는 에칭이나 스퍼터링 성막, CVD 성막(화학기상성장) 등의 플라즈마 처리가 대부분 이용되고 있다.
이러한 플라즈마 처리를 실시하기 위한 장치로는 여러가지가 알려져 있지만, 그 중에서도 용량 결합형 평행 평판 플라즈마 처리 장치가 주류를 이루고 있다.
이 플라즈마 처리 장치는 감압하고 있는 챔버 내에 한 쌍의 평행 평판 전극(상부 및 하부 전극)을 배치하고 있다. 그리고, 그 전극 사이에 피처리체가 되는 반도체 웨이퍼를 두어 챔버 내에 공정 가스(처리 가스)를 도입하는 동시에, 전극 한쪽에 고주파 전력을 인가한다. 그리고, 이들 전극 사이에 고주파 전계가 발생함에 따라, 처리 가스로 이루어지는 플라즈마를 형성하여 반도체 웨이퍼에 대하여 플라즈마 처리가 실시된다.
이러한 플라즈마 처리 장치에 의해 반도체 웨이퍼상에 형성된 예컨대 산화막을 에칭하는 경우에는, 챔버 내를 중압으로 하여 중밀도 플라즈마를 형성함으로써 적절한 래디컬 제어가 가능하고, 그에 따라 적절한 플라즈마 상태를 얻을 수 있으며, 높은 선택비로 안정성 및 재현성이 높은 에칭을 실현하고 있다.
그러나, 한층 더 소형화에 따른 초집적화의 요구가 높아져 디자인 룰에 있어서도 미세화가 요구되고, 가늘고 깊은 홀 형상, 즉 애스펙트비가 보다 높은 것이 요구되고 있다. 이 때문에, 산화막의 에칭 등에 있어서도 종래의 기술로는 반드시 충분하다고는 말할 수 없게 되고 있다.
그래서, 인가하는 고주파 전력의 고주파수화를 도모하여 양호한 플라즈마의 해리 상태를 유지하면서, 고밀도인 플라즈마를 발생시키는 것이 시도되고 있다. 이에 따라, 보다 저압인 조건하에서 적절한 플라즈마를 형성할 수 있기 때문에, 한층 더 디자인 룰의 미세화에 대응하는 것이 가능해진다.
그러나, 전술한 바와 같은 종래의 플라즈마 처리 장치에 있어서는 상부 전극이 도전체 또는 반도체에 의해 형성되기 때문에, 그 상부 전극에 인가하는 주파수를 높게 하면 전극 표면의 인덕턴스가 무시할 수 없을 만큼 커져, 대향하는 방향에서의 전계 분포가 불균일하게 되는 문제가 있었다.
또한, 이러한 고주파수화에 의한 플라즈마의 고밀도화는 플라즈마의 비선형성의 특성이 현저하게 나타나, 플라즈마로부터의 반사파에 고조파가 수반되기 쉽게 되고, 특히 경험에 의해 전극 직경이 250㎜ 내지 300㎜인 경우에는 이러한 고조파에 의해 전극 표면에 정재파가 생성되어, 전극 표면의 전계 분포가 불균일하게 되 는 것으로 판명되었다.
이와 같이 전계 분포가 불균일하게 되면 플라즈마 밀도가 불균일하게 되어, 그 결과 에칭 레이트 분포가 불균일하게 된다. 따라서, 상기 전계 분포 불균일의 원인을 제거하여 에칭 레이트 분포를 균일하게 할 필요가 있게 된다.
그러나, 종래 이러한 고밀도 플라즈마를 이용한 경우의 문제점이 반드시 명확히 인식되어 있을 리가 없고, 상기와 같은 전계 분포 불균일을 해소하고자 하는 시도는 아직 충분히 이루어지고 있지 않다.
또한 종래의 플라즈마 처리 장치에 있어서, 상부 전극으로의 고주파 전력의 급전은 급전봉을 거쳐 실행되고 있고, 급전봉의 주위를 챔버와 대략 동일 치수의 상자로 피복하여 전자파를 차단하고 있다.
그러나, 급전봉의 인덕턴스가 매우 크기 때문에, 플라즈마 밀도를 높게 하기 위해서 상부 전극으로 공급하는 고주파 전력의 주파수를 높게 하면, 플라즈마로부터의 반사파의 고조파가 급전봉의 인덕턴스 성분 때문에 반사되고, 또한 급전봉이 설치되어 있는 상자 속 어딘가에서 반사하여, 그 반사된 고조파가 플라즈마에 접촉하고 있는 상부 전극 표면으로 되돌아간다.
전극 직경이 250㎜ 내지 300㎜인 경우에 이러한 고조파에 의해 전극 표면에 정재파가 생성되기 쉽고, 이와 같은 정재파가 생기면 전극 표면의 전계 분포가 불균일하게 된다.
또한, 급전봉은 상부 전극 이면의 중심 위치에 마련되어 있지만, 고밀도 플라즈마를 형성하기 위해서 주파수를 상승시키면 고주파 전류는 전극의 표면 밖으로 흐르지 않게 되고, 급전봉으로부터 상부 전극에 공급된 고주파 전력은 전극 이면을 통해 원형 전극의 외주 방향에 이르러, 전극의 플라즈마 접촉면을 외주로부터 중심을 향해 서서히 공급된다.
또한, 상부 전극의 외주 부분은 절연체(용량 성분)로 둘러싸여 있고, 절연체 외측의 챔버는 접지되어 있다. 이 때문에 상부 전극의 플라즈마 접촉면에서 간섭 작용에 의해 정재파가 형성되어, 전극 직경 방향에서의 전계 분포가 불균일하게 된다. 이러한 전계 분포의 불균일에 의해 플라즈마 밀도가 불균일하게 되며, 또한 에칭 레이트 분포가 불균일하게 되기 때문에, 어떤 전계 분포 불균일의 원인을 제거하여 에칭 레이트 분포를 균일하게 하는 것이 필요하게 된다.
그러나, 종래 이러한 고밀도 플라즈마를 이용한 경우의 문제점이 반드시 명확하게 인식되어 있었던 것은 아니고, 상기와 같은 전계 분포 불균일을 해소하고자 하는 시도는 아직 충분히 실시되고 있지 않은 것이 현실이다.
발명의 요약
본 발명은 보다 미세화에 대응 가능한 고밀도 플라즈마를 이용한 플라즈마 처리에 있어서, 전극 표면에서의 전계 분포의 불균일을 작게 하여 플라즈마 친밀도를 균일화하는 것이 가능한 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
본 발명은 상기 목적을 달성하기 위해서, 피처리 기판이 수용되는 챔버와 챔버 내에 대향하도록 마련된 제 1 및 제 2 전극과, 상기 제 1 전극에 고주파를 인가하는 고주파 전력 인가 수단과, 상기 제 2 전극과 대향하는 상기 제 1 전극면의 단부 영역 또는 주면에 접촉하여 배치되고, 상기 고주파 전력 인가 수단의 고주파 전 력의 고조파를 흡수하는 고조파 흡수 부재와, 상기 챔버 내를 소정의 감압 상태로 유지하는 배기 수단과, 상기 챔버 내에 처리 가스를 도입하는 처리 가스 도입 수단을 구비하며, 상기 제 1 전극 또는 제 2 전극중 어느 것에 상기 피처리 기판을 지지시킨 상태로 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성함으로써 처리 가스의 플라즈마를 형성하고, 고주파 전력에 의해 발생한 고조파를 상기 고조파 흡수 부재로 흡수하면서, 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 플라즈마 처리 장치를 제공한다.
본 발명의 플라즈마 처리 장치는 제 1 전극에 고주파를 인가함과 동시에 이 제 1 전극의 제 2 전극에 대향하는 면의 단부 영역 또는 제 1 전극의 주면에 접촉하여, 고주파 전력 인가 수단 주파수의 고조파를 흡수하는 고조파 흡수 부재를 배치하고, 플라즈마로부터 반사된 고조파가 고주파 전원에 되돌아가기 전에 고주파 흡수 부재로 흡수한다. 이에 따라, 고조파에 의한 정재파의 발생을 방지하여 정재파에 기인하는 전극 표면에서의 전계 분포의 불균일을 작게 하여 플라즈마 밀도를 균일화한다.
도 1은 본 발명의 제 1 실시예에 따른 플라즈마 에칭 장치를 도시하는 단면도,
도 2는 고주파 인가 전극에 정재파가 형성되는 원인을 설명하기 위한 모식도,
도 3은 고조파 흡수 부재 배치의 예를 나타내는 단면도,
도 4는 고조파 흡수 부재로서 두께 7㎜인 페라이트 소결체를 이용한 경우의 반사 감쇠량의 주파수 특성을 나타내는 도면,
도 5는 고조파 흡수 부재로서 두께 4.5㎜인 페라이트 소결체를 이용한 경우의 반사 감쇠량의 주파수 특성을 나타내는 도면,
도 6은 제 2 실시예에 따른 에칭 장치를 도시하는 단면도,
도 7은 상부 전극에 있어서의 고주파 전력의 공급계로를 모식적으로 도시하는 단면도,
도 8은 상부 전극에 있어서의 고주파 전력의 공급계로를 모식적으로 도시하는 저면도,
도 9는 제 2 실시예에 있어서의 상부 전극의 제 1 예를 모식적으로 도시하는 단면도,
도 10은 제 2 실시예에 있어서의 상부 전극의 제 2 예를 모식적으로 도시하는 단면도,
도 11은 제 2 실시예에 있어서의 상부 전극의 제 2 예의 고주파 전력의 경로를 도시하는 도면,
도 12는 제 2 실시예에 있어서의 상부 전극의 제 3 예를 모식적으로 도시하는 단면도,
도 13은 제 2 실시예에 있어서의 상부 전극의 제 4 예를 모식적으로 도시하는 단면도,
도 14는 제 2 실시예에 있어서의 상부 전극의 제 5 예를 모식적으로 도시하는 단면도,
도 15는 제 2 실시예에 있어서의 상부 전극의 제 6 예를 모식적으로 도시하는 단면도,
도 16은 제 3 실시예에 따른 플라즈마 에칭 장치를 도시하는 단면도,
도 17a 및 도 17b는 제 3 실시예의 원리를 설명하기 위한 모식도,
도 18은 제 4 실시예에 따른 플라즈마 에칭 장치를 도시하는 단면도,
도 19는 제 5 실시예에 따른 플라즈마 처리 장치를 도시하는 단면도,
도 20은 제 5 실시예에 따른 플라즈마 처리 장치에 있어서의 급전봉 및 도전성 통 형상 부재의 등가 회로를 도시하는 도면,
도 21은 제 5 실시예에 따른 플라즈마 처리 장치에 있어서의 상부 전극 표면(전극 지지체 표면) 및 도전성 판 형상 부재의 등가 회로를 도시하는 도면,
도 22는 제 6 실시예에 따른 플라즈마 처리 장치를 도시하는 단면도.
이하, 도면을 참조하여 본 발명의 실시예에 관해서 상세히 설명한다.
도 1은 본 발명의 제 1 실시예에 따른 플라즈마 처리 장치를 모식적으로 도시하는 단면도이다.
이 플라즈마 처리 장치(1)는 2개의 전극판이 대향(평행하게 정면에서 마주보는 위치)하고, 한쪽에 플라즈마 형성용 전원이 접속된 용량 결합형 평행 평판 에칭 장치로 구성되어 있다.
이 에칭 처리 장치(1)는, 예컨대 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지는 원통 형상으로 성형된 챔버(2)를 갖고 있다. 이 챔버(2)는 접지되어 있다.
상기 챔버(2) 내의 바닥부에는 세라믹 등으로 이루어지는 절연판(3)을 거쳐, 피처리체, 예컨대 반도체 웨이퍼(이하 「웨이퍼」라 함)(W)를 탑재하기 위한 원주 형상의 서셉터 지지체(4)가 마련되어 있다. 또한 서셉터 지지체(4)상에는 하부 전극을 구성하는 서셉터(5)가 마련되어 있다. 이 서셉터(5)에는 하이 패스 필터(High Pass Filter ; HPF)(6)가 접속되어 있다.
상기 서셉터 지지체(4)의 내부에는 냉매실(7)이 마련되어 있고, 이 냉매실(7)에는 예컨대 액체 질소 등의 냉매가 냉매 도입관(8)을 거쳐 도입되어 순환하고, 그 냉열이 상기 서셉터(5)를 거쳐 상기 웨이퍼(W)에 전해져 웨이퍼(W)의 처리면이 소망하는 온도로 제어된다.
상기 서셉터(5)는 그 상면 중앙부가 볼록 형상으로 되는 원판 형상으로 성형되고, 그 위에 웨이퍼(W)와 대략 동일 형상의 정전 척(11)이 마련되어 있다. 정전 척(11)은 절연재로 구성되는 본체 속에 전극(12)이 내장되어 있고, 전극(12)에 접속된 직류 전원(13)으로부터 예컨대, 1.5㎸의 직류 전압이 인가됨으로써 예컨대 쿨롱힘에 의해 웨이퍼(W)를 정전 흡착한다.
그리고, 상기 절연판(3), 서셉터 지지체(4), 서셉터(5), 또한 상기 정전 척(11)에는 웨이퍼(W)의 이면에 전열 매체, 예컨대 He 가스 등을 공급하기 위한 가 스 통로(14)가 형성되어 있고, 이 전열 매체를 거쳐 서셉터(5)의 냉열이 웨이퍼(W)에 전달되어 웨이퍼(W)가 소정의 온도로 유지되도록 되어 있다. 상기 서셉터(5)의 표면의 외주부에는 정전 척(11)상에 탑재된 웨이퍼(W)를 둘러싸도록 고리 형상의 포커스 링(15)이 배치되어 있다. 이 포커스 링(15)은 실리콘 등의 도전성 재료로 형성되고, 이에 의해 에칭의 균일성이 향상된다.
상기 서셉터(5)는 하부 전극으로서 기능하고, 그 위쪽에는 대향하도록 상부 전극(21)이 마련되어 있다.
이하, 하부 전극으로 되는 서셉터(5)와 상부 전극(21)이 대향하는 각각의 면을 대향면이라 칭한다. 또한, 대향하지 않는 면(대향면의 이면측)을 비대향면이라 칭한다.
상부 전극(21)의 대향면은 다수의 토출 구멍(24)이 형성된 전극판(23)으로 되어 있고, 이 전극판(23)은 도전성 재료, 예컨대 표면이 알루마이트 처리된 알루미늄으로 구성되는 수냉 구조의 전극 지지체(22)에 고정되어 있다. 이하 각 실시예에서는 전극판(23)과 전극 지지체(22)로 상부 전극(21)이 구성되어 있는 것으로 한다.
이 상부 전극(21)의 외주면을 따라 끼워 넣도록 링 형상의 절연재(25)가 마련되어 있다.
또한, 전극판(23) 및 절연재(25)의 대향면측 외주부에 접촉하도록 링 형상의 고조파 흡수 부재(51)가 마련되어 있고, 또한 전극판(23) 및 절연재(25)에 가설되어 이 고조파 흡수 부재(51)를 피복하도록 링 형상의 절연재(52)가 마련되어 있다.
따라서 상부 전극(21)이 절연재(52)에 삽입된 형상으로 챔버(2)에 고정되어 있다. 또한, 서셉터(5)와 상부 전극(21)은 10 내지 60㎜ 정도 떨어져 있다.
고조파 흡수 부재(51)는, 예컨대 자기 공명 손실 효과를 이용하여 플라즈마로부터 반사된 고주파 전력에 의한 고조파를 흡수하거나 또는 감쇠시키는 기능을 갖고 있다. 이 고조파를 흡수하는 재료로는 페라이트가 알려져 있고, 고조파 흡수 부재(51)에 페라이트를 포함한 것을 이용한다. 이 고조파 흡수 부재(51)의 두께 및 재질을 변화시킴으로써 흡수하는 주파수대를 조정하는 것이 가능하다.
또한, 다른 주파수 특성을 갖는 것을 적층하여 고조파 흡수 부재(51)를 구성함으로써 흡수하는 주파수대를 넓힐 수 있다. 이렇게 하여 소망하는 주파수의 고조파를 흡수시켜 감쇠시킴으로써 정재파를 방지시킨다.
상기 상부 전극(21)에 있어서의 전극 지지체(22)에는 가스 도입구(26)가 마련되고, 이 가스 도입구(26)에는 가스 공급관(27)이 접속되어 있다. 또한, 이 가스 공급관(27)에는 밸브(28) 및 대량 생산 컨트롤러(29)를 거쳐 처리 가스 공급원(30)이 접속되어 있다. 그리고 처리 가스 공급원(30)으로부터 플라즈마 처리, 예컨대 에칭을 위한 처리 가스가 공급된다.
처리 가스로는 종래 이용되고 있는 각종의 것을 채용할 수 있고, 예컨대 플루오르카본가스(CxFy)나 하이드로플루오르카본가스(CpHqFr)와 같은 할로겐 원소를 함유하는 가스를 적절하게 이용할 수 있다. 물론, 그 외에 Ar, He 등의 희가스나 N2를 첨가해도 좋다.
상기 챔버(2)의 바닥부에는 배기관(31)이 마련되어 있고, 이 배기관(31)에는 배기 장치(35)가 접속되어 있다. 배기 장치(35)는 터보 분자 펌프 등의 진공 펌프를 구비하고 있고, 이에 의해 챔버(2) 내를 소정의 감압 분위기, 예컨대 1㎩ 이하의 소정 압력까지 진공 흡인 가능하게 된다.
또한, 챔버(2)의 측벽에는 게이트 밸브(32)가 마련되어 있고, 이 게이트 밸브(32)를 연 상태로 웨이퍼(W)가 인접하는 로드록실(도시하지 않음) 사이에서 반송되도록 되어 있다.
상부 전극(21)에는 정합기(41)를 거쳐 플라즈마 형성용의 고주파 전원(40)이 접속되어 있고, 그 때의 급전은 상부 전극(21)의 비대향면의 중앙에 접속된 급전봉(33)에 의해 실시된다.
또한, 상부 전극(21)에는 로우 패스 필터(Low Pass Filter ; LPF)(42)가 접속되어 있다. 이 고주파 전원(40)은 27㎒ 이상의 주파수를 갖고 있고, 이와 같이 높은 주파수를 인가함으로써 챔버(2) 내에 바람직한 해리 상태이며 또한 고밀도인 플라즈마를 형성할 수 있어, 저압 조건하의 플라즈마 처리가 가능해진다.
이 예에서는, 고주파 전원(40)으로서 60㎒의 것을 이용하고 있다. 하부 전극으로서의 서셉터(5)에는 고주파 전원(50)이 접속되어 있고, 그 급전선에는 정합기(51)가 개재되어 있다.
이 고주파 전원(50)은 100㎑ 내지 10㎒ 범위의 임의의 주파수인 고주파 전력을 출력하고, 이러한 범위의 주파수를 인가함으로써 웨이퍼(W)에 대하여 손상을 주지 않고 적절한 이온 작용을 부여할 수 있다. 이 예로는 2 ㎒의 고주파 전원을 이 용하고 있다.
다음으로, 이상과 같이 구성되는 플라즈마 에칭 장치(1)에 있어서의 처리 동작에 관해서 설명한다.
우선, 웨이퍼(W)는 게이트 밸브(32)가 개방된 후, 도시하지 않는 로드록실에서 챔버(2) 내로 반입되어 정전 척(11)상에 탑재된다. 그리고, 고압 직류 전원(13)으로부터 직류 전압이 인가됨으로써 웨이퍼(W)가 정전 척(11)상에 정전 흡착된다.
이어서, 게이트 밸브(32)가 닫혀지고, 배기 장치(35)에 의해서 챔버(2) 내가 소망하는 진공도까지 진공 흡인된다.
그 후, 밸브(28)가 개방되고, 처리 가스 공급원(30)으로부터 처리 가스가 매스 플로우 컨트롤러(29)에 의해 가스 유량이 조정되면서 처리 가스 공급관(27), 가스 도입구(26)를 통해 상부 전극(21)의 내부로 도입된다. 또한 전극판(23)의 토출 구멍(24)을 통해 도 1의 화살표로 나타내는 바와 같이 웨이퍼(W)에 대하여 균일하게 토출되어, 챔버(2) 내의 압력이 소정 값으로 유지된다.
그리고, 고주파 전원(40)으로부터 27㎒ 이상, 예컨대 60㎒의 고주파 전력이 상부 전극(21)에 인가된다. 이에 따라, 상부 전극(21)과 하부 전극이 되는 서셉터(5)와의 사이에 고주파 전계가 발생하고, 처리 가스가 해리하여 플라즈마화하며, 이 플라즈마에 의해 웨이퍼(W)에 대하여 에칭 처리가 실시된다.
그 밖에, 고주파 전원(50)에서는 100㎑ 내지 10㎒의 범위로, 예컨대 2㎒의 고주파 전력이 서셉터(5)에 인가된다. 이에 따라, 플라즈마 속의 이온이 서셉터(5)측으로 인입되고, 이온 어시스트에 의해 에칭의 이방성이 높여진다.
이와 같이, 상부 전극(21)에 인가하는 고주파 전력의 주파수를 27 ㎒ 이상으로 함으로써, 플라즈마 밀도를 높일 수 있다. 그러나, 이것만으로는 배경기술에서 상술한 바와 같이, 플라즈마로부터의 반사파의 고조파에 의해 전극판(23) 하면에 정재파가 생성되어 전극판(23) 하면에서의 전계의 불균일이 생긴다.
즉, 27㎒ 이상인 고주파를 사용한 경우, 플라즈마로 인가 주파수의 n 배의 고조파가 발생하기 쉽게 되어, 이 고조파가 상부 전극(21)으로부터 고주파 전원으로 되돌아갈 때, 도 2에 도시하는 바와 같이 상부 전극(21)과 절연재(25)의 경계선의 A, B로 가리키는 부분이나, 급전 위치인 C로 나타내는 부분 등에서 반사하여 이들과 상부 전극(21)의 중심인 D로 나타내는 위치 사이에서 정재파를 발생시킨다.
이 정재파의 파장이 있는 고조파의 파장 λ의 1/4배, 즉 λ/4와 일치하는 경우는 상부 전극(21)의 중심 부근에 플라즈마 밀도가 높아져, 불균일한 플라즈마를 생성시키는 원인이 된다. 예컨대, 고주파 전원(40)으로서 주파수 60㎒인 것을 사용한 경우 파장은 5m이고, A-D 사이 거리를 약 0.14m로 하면, 계산상 A-D 사이에서 9차 고조파가 발생하기 쉽게 된다.
고주파 경로 재료 유전율의 ½ 곱에 비례하는 파장 단축율을 고려하면 3 내지 6차 정도의 고조파가 발생하기 쉽게 된다. 단, A-D 사이의 거리가 약 0.07m인 경우에는 13.56㎒의 고주파라도 같은 문제가 생길 것으로 사료된다.
이에 대하여, 본 실시예에서는 전극판(23)의 대향면측에 고조파 흡수부재(51)를 마련함으로써, 고주파 전원(40)으로 되돌아가는 고조파를 흡수하여 정재파의 형성을 방지하고 있다.
또, 이와 같이 고조파 흡수 부재(51)를 링 형상으로 함으로써, 고조파 흡수 효과를 높일 수 있기 때문에 바람직하지만, 물론 그 형상은 링 형상에 한정하는 것이 아니다. 또한, 이 고조파 흡수 부재(51)를 도 3에 도시하는 바와 같이 상부 전극(21)의 외주면에 마련해도 마찬가지 효과를 얻을 수 있다.
이러한 고조파 흡수 부재(51)로서 페라이트 소결체를 이용한 경우, 상술한 바와 같이 자기 공명 손실 효과에 의해 고조파를 흡수하여 감쇠시킬 수 있다. 이 경우에, 고조파 흡수 부재(51)의 두께에 의해 감쇠시킬 수 있는 주파수대가 시프트하여 두께가 반이 되면 감쇠 가능 주파수는 2배 정도가 된다.
예컨대, 두께 7㎜로는 도 4에 도시하는 바와 같이 200 내지 800㎒인 고조파를 20dB 감쇠시킬 수 있다. 또한, 두께 4.5㎜로는 도 5에 도시한 바와 같이 700㎒ 내지 3㎓의 고조파를 20dB 감쇠시킬 수 있다. 또한, 다른 주파수 특성을 갖는 페라이트를 적층함으로써 감쇠 가능 주파수대를 넓히는 것이 가능하고, 예컨대 상기 두께 7㎜인 것과 상기 4.5㎜인 것을 적층한 경우에는 200㎒ 내지 3㎓의 넓은 주파수대의 고조파를 감쇠시킬 수 있다.
또한, 제 1 실시예에 한정되지 않고, 각종 변형이 가능하다.
예컨대, 제 1 실시예에서는 상부 전극에 27㎒ 이상의 고주파 전력을 인가한 경우에 관해서 나타내었지만, 27㎒ 보다 낮은 경우에도 유효하다.
또한, 상하 전극에 고주파 전력을 인가했지만, 상부 전극에만 주파수가 높은 고주파 전력을 인가하는 형태이어도 무방하다. 또한, 하부 전극에 주파수가 높은 고주파 전력을 인가하는 형태의 장치에도 적용할 수가 있고, 이 경우에는 하부 전극의 상부 전극에 대향하는 면의 단부 영역 또는 하부 전극의 주면에 접촉하여 고조파 흡수 부재를 배치하면 좋다.
또한, 피처리 기판으로서 반도체 웨이퍼를 이용하여 이것에 에칭을 실시하는 경우에 관해서 설명했지만, 이것에 한정하지 않고 처리 대상으로서는 액정 표시 장치(LCD) 기판 등의 다른 기판이어도 좋고, 또한 플라즈마 처리도 에칭에 한정하지 않고 스퍼터링, CVD 등의 다른 처리이어도 좋다.
본 실시예에 의하면, 제 1 전극에 고주파 전력을 인가함과 동시에, 이 제 1 전극의 제 2 전극에 대향하는 면의 단부 영역 또는 제 1 전극의 주면에 접촉하여 고주파 전력 인가 수단의 주파수의 고조파를 흡수하는 고조파 흡수 부재를 배치하기 때문에, 플라즈마로부터 반사된 고조파는 전극을 통해 고주파 전원에 되돌아가기 전에 이 고주파 흡수 부재에 이르러, 여기에서 고조파는 흡수된다.
따라서, 고조파에 의한 정재파의 발생을 유효하게 방지할 수 있고, 정재파에 기인하는 전극 표면에서의 전계 분포의 불균일을 작게 하여 플라즈마 밀도를 균일화하는 것이 가능해진다.
도 6은 본 발명에 의한 플라즈마 처리 장치의 제 2 실시예로서 용량형 평행 평판 에칭 처리 장치에 이용한 구성예를 개시하고 있다. 여기서는 본 실시예의 구성 요소에 있어서는 요지가 되는 특징 부분에 관해서만 설명하고, 도 1에 도시한 제 1 실시예의 구성 요소와 동등한 요소에는 같은 참조 부호를 붙여, 그 설명을 생략한다.
이 에칭 처리 장치(102)의 상부 전극(21)은 외주면을 따라 링 형상으로 커버하는 절연재(25)를 거쳐, 챔버(2)의 내부 윗쪽에 서셉터(5)와 대향하도록 장착된다. 이 상부 전극(21)은 다수의 토출 구멍(24)을 갖는 전극판(23)과 전극 지지체(22)가 일체적으로 되어 구성되어 있다.
이 실시예는 전술한 제 1 실시예에 있어서의 고조파 흡수 부재(51)나 절연재(52)는 구비하고 있지 않고, 절연재(25)에 의해 직접적으로 챔버(2)에 장착되어 있는 구성이다. 이 이외의 구성은 전술한 제 1 실시예와 동등하다.
이 상부 전극(21)의 구성에 관해서 상세하게 설명한다.
상부 전극(21)의 전극판(23)은 통상 Si나 SiC 등의 도전체 또는 반도체로 구성되어 있고, 고주파 전원(40)으로부터 급전봉(33)을 거쳐 공급되는 고주파 전력이 고주파수화하면 표피 효과가 생겨 전극 표면에만 전력이 공급되고, 도 7에 도시하는 바와 같이 전력은 급전봉(33)의 표면, 전극 지지체(22)의 표면, 전극 지지체(22)의 측면, 전극판(23)의 측면을 통해 플라즈마 접촉면인 전극판(23)의 하면에 이른다.
이 경우에, 급전봉(33)은 상부 전극(21)의 비대향면의 중심에 접속하고 있기 때문에, 전극판(23)의 대향면측의 에지부에서는 어디나 전력이 동일 위상이며, 도 8에 도시하는 바와 같이 전극판(23)의 에지부로부터 동일 위상으로 대향면의 중심 방향으로 서서히 전력이 공급된다. 이 때문에 전극판(23)의 중심부와 에지부에서는 위상 차이 d/λ(λ는 전극 표면파의 파장, d는 전극의 반경)가 생긴다.
그리고, 인가하는 고주파 전력의 주파수가 높아지면 전극판(23)이 서셉터(5)와 대향하는 방향의 인덕턴스(ωLjΩ)가 무시할 수 없게 되고, 위상차이 d/λ에 의한 간섭 작용에 의해서 전극판(23)의 대향면의 중앙 부분의 임피던스가 낮게 되기 때문에, 전극판(23)의 대향면 중심 부분의 전계 강도가 에지 부분의 전계 강도보다도 높아진다. 또한, 중심 위치는 플라즈마와 접하고 있기 때문에, RF 등가 회로적으로는 개방단으로 되어 있다.
따라서, 플라즈마로 공급되는 전계 분포가 정재파로 되어 플라즈마 밀도의 불균일을 발생한다.
이러한 플라즈마 밀도의 불균일을 해소하기 위한 제 1 예로서, 도 9에 도시하는 바와 같이 전극판(23)의 대향면측, 예컨대 50mΩ·cm 정도의 저 저항 도전체 또는 반도체로 구성되는 전극판(23)의 외주에 일치하는 링 형상의 외주측 부분(61)과, 유전체로 구성되는 중앙 부분(62)으로 구성한다. 이와 같이 유전체에 의해 중앙 부분(62)을 구성함으로써 그 부분에 있어서 플라즈마와의 사이의 용량 성분이 부가되게 된다. 여기서, 임피던스(Z)는 Z=j(ωL-1/ωC)(단, ω=2πf(f:주파수))로 나타날 수 있으므로, 유전체 부재(62)의 용량(C)에 의해 임피던스(Z)에서의 직경 방향의 인덕턴스 성분(ωL)을 용량 성분(-1/ωC)으로 제거할 수 있다.
따라서, 전극판(23)의 대향면 중앙부에 있어서, 상부에 의한 임피던스(Z)의 크기의 변화를 거의 없앨 수 있고, 전극판(23)의 대향면 중앙부의 전계 강도를 저하시켜 전극 하면에서 플라즈마로 인가되는 전계가 균일하게 되어 플라즈마 밀도를 균일하게 할 수 있다.
또한, 이 때의 유전체로 구성되는 중앙 부분(62)의 직경은 전극(21)의 직경이 300㎜인 경우에는, 10 내지 50㎜Φ가 바람직하다. 또한, 중앙 부분(62)을 구성하는 유전체(62)의 유전율은 인덕턴스 성분(ωL)을 제거할 수 있는 값이면 좋고, 예컨대 유전율 3정도의 폴리이미드계 수지를 이용할 수 있다. 또한, 외측 부분(61)으로서는 종래부터 전극판 재료로서 사용되고 있는 Si, SiC 등의 도체 또는 반도체를 이용할 수 있다.
다음으로, 상부 전극(21)의 제 2 예에 관해서 설명한다.
이 제 2 예에서는 도 10에 도시하는 바와 같이 전극판(23)을, 예컨대 50mΩ·㎝ 정도의 저 저항의 도전체 또는 반도체로 이루어지는 외주측 부분(63)과, 예컨대 1 내지 100Ω·㎝의 상대적으로 저항이 높은 고 저항 부재로 구성되는 중앙 부분(64)에 의해 구성한다.
이와 같이 고 저항 부재로 중앙 부분(64)을 구성함으로써 그 부분에서 전력이 공급되는 부분의 두께, 소위 스킨뎁스(δ)가 변화된다. 즉, 스킨뎁스(δ)는 δ=(2/ωσμ)1/2(단, σ:도전율, μ:유전율)로 나타낼 수 있고, 저항이 커져 도전율(σ)이 저하하면 스킨뎁스(δ)가 커진다.
그리고, 고 저항 부재(64)의 스킨뎁스(δ)가 그 두께보다도 커지면, 도 11에 도시하는 바와 같이 고 저항 부재(64)에 있어서 고주파 전력이 그 이면(비대향면측)에도 감김삽입되어 공급되게 되어, 고주파 전력이 고 저항 부재(64)의 이면측으로부터 하면에 이르기까지 사이에 줄(Joule) 열로 되어 방출되게 된다.
이에 따라, 전극판(23) 하면 중앙부에 있어서 전계 강도가 저하하게 된다. 따라서, 전극판(23)의 대향면의 전계 강도가 균일하게 되어, 결과적으로 상부 전극의 대향면으로부터 플라즈마로 인가되는 전계가 균일하게 되어 플라즈마 밀도를 균일하게 할 수 있다.
또한, 이 때의 고 저항 부재로 구성되는 중앙 부분(64)의 직경은 전극(21)의 직경이 300㎜Φ인 경우에 50 내지 220㎜Φ인 것이 바람직하다. 중앙 부분(64)을 구성하는 고 저항 부재는 붕소 등의 도펀트의 양을 조정하기만 함으로써 저항을 조정할 수 있기 때문에 Si로 구성하는 것이 바람직하다.
또한, 외주측 부분(63)으로서는 종래부터 전극판 재료로서 사용되고 있는 Si, SiC 등의 도체 또는 반도체를 이용할 수 있지만, 전극판(23) 전체를 Si로 구성하고 도펀트, 예컨대 붕소의 도프량을 변화시킴으로써 외주측 부분(63) 및 고 저항 부재(64)를 형성하는 것이 보다 간단하다.
이어서, 상부 전극(21)의 제 3 예에 관해서 설명한다.
이 예에서는 도 12에 도시하는 바와 같이 전극판(23)의 비대향면측 중앙에 접하도록 유전체 부재(65)를 마련하고 있다. 여기서는 전극판(23)으로서, 예컨대 1 내지 100Ω·㎝인 범위의 고 저항 도전체 또는 반도체를 이용하여, 스킨뎁스(δ)가 전극판(23)의 두께보다도 두껍게 되도록 한다.
이에 따라, 고주파 전력은 전극판(23)의 이면측에도 공급되게 되고, 이와 같이 유전체 부재(65)를 전극판(23)의 이면 중앙부에 배치함으로써, 그 부분에 있어서 플라즈마와의 사이의 용량 성분이 부가되게 된다.
따라서, 제 1 예와 같이 임피던스(Z)에서의 직경 방향의 인덕턴스 성분(ωL)을 용량 성분(-1/ωC)으로 제거할 수 있다. 이 때문에, 전극판(23)의 대향면 중앙부에 있어서 위상에 의한 임피던스(Z)의 크기 변화가 작아져 전극판(23) 대향면 중앙부의 전계 강도가 저하하고, 전극 대향면으로부터 플라즈마로 인가되는 전계가 균일하게 되어 플라즈마 밀도를 균일하게 한다.
이 제 3 예의 경우에는, 전술한 제 1 및 제 2 예의 것과 같이, 전극판(23)을 2체화할 필요가 없이 종래와 같은 일체적인 도체 또는 반도체로 구성되는 전극판을 사용할 수가 있다.
또, 이 때의 유전체 부재(65)의 직경은 전극(21)의 직경이 300㎜Φ인 경우에는 50 내지 220㎜Φ가 바람직하다. 또한, 유전체 부재(65)의 유전율은 ωL을 제거할 수 있는 크기이면 좋고, 예컨대 유전율 3정도의 폴리이미드계 수지를 적용할 수 있다.
다음으로, 상부 전극(21)의 제 4 예에 관해서 설명한다.
이 예에서는, 도 13에 도시하는 바와 같이 전극판(23)의 이면측 중앙에 접하도록 고 저항 부재(66)를 마련하고 있다. 여기서는 고 저항 부재(66)로서, 예컨대 1 내지 100Ω·㎝인 범위의 고 저항의 것을 이용하여, 스킨뎁스(δ)가 전극판(23)의 두께보다도 두껍게 되도록 한다.
이에 따라, 고주파 전력은 전극판(23)의 비대향면측에도 공급되게 되고, 이와 같이 고 저항 부재(66)를 전극판(23)의 이면 중앙부에 배치함으로써, 그 부분에 공급된 고주파 전력이 고 저항 부재(66)에 있어서 줄 열로서 방출되고, 이에 따라 전극판(23)의 대향면 중앙부에서 전계 강도가 저하하게 된다. 따라서, 전극판(23)의 대향면의 전계 강도가 균일하게 되어, 결과적으로 전극 대향면으로부터 플라즈마로 인가되는 전계가 균일하게 되어 플라즈마 밀도를 균일하게 할 수 있다. 이 제 4 예의 경우에도, 제 1 및 제 2 예와 같이 전극판(23)을 2체화할 필요가 없이, 종래와 같은 일체적인 도체 또는 반도체로 구성되는 전극판을 사용할 수 있다.
또한, 이 때의 고 저항 부재(66)의 직경은 전극(21)의 직경이 300㎜Φ인 경우에 50 내지 220㎜Φ인 것이 바람직하다. 고 저항 부재(66)는 Si로 구성하는 것에 의해 붕소 등의 도펀트 양을 조정하기만 함으로써 조절할 수 있기 때문에 바람직하다.
다음으로, 상부 전극(21)의 제 5 예에 관해서 설명한다.
이 예에서는 도 14에 도시하는 바와 같이 전극판(23)의 대향면상에 절연층(67)을 형성하고 있다. 이 절연층(67)은, 예컨대 세라믹스의 용사 등에 의해 형성할 수 있지만, 그 형성 방법은 본 발명과 상관이 없다. 이와 같이 절연층(67)을 형성함으로써, 플라즈마와 전극판(23)이 절연층(67)을 거쳐 용량 결합하게 된다.
따라서, RF 등가 회로적으로는 전극판(23)과 플라즈마 사이에 다수의 콘덴서가 병렬로 존재하고 있는 상태로 되어, 결과적으로 서셉터(5)와 전극판(23)이 대향하는 방향의 인덕턴스 성분(ωL)을 절연층(67)의 용량 성분(-1/ωC)으로 제거할 수 있다.
따라서, 전극판(23)의 대향면에 있어서 위상에 의한 임피던스(Z)의 크기의 변화를 거의 없앨 수 있게 되고, 전극의 대향면으로부터 플라즈마로 인가되는 전계 가 균일하게 되어 플라즈마 밀도를 균일하게 할 수 있다.
또, 이 때의 절연층(67)은 인덕턴스 성분(ωL)을 제거할 수 있는 정도의 용량으로 되도록 그 재료 및 두께가 설정된다.
그런데, 상부 전극(21)의 전극판(23)의 대향면의 전계 분포가 불균일하게 되는 것은, 전술한 바와 같은 인가 주파수를 상승시켰을 때의 전극 표면의 인덕턴스의 대향하는 방향의 변화에 기인하는 것 뿐만 아니라, 플라즈마의 비선형성 특성이 현저하게 나타나고, 플라즈마로부터의 반사파의 고조파가 증가하며, 이러한 고조파에 의해 전극 표면에 정재파가 생성됨으로써 생긴다.
즉, 플라즈마로부터 고주파 전력의 반사파에는 다수의 고조파가 포함되어 있고, 이들 고조파는 또한 급전봉(33)의 인덕턴스 성분 때문에 반사되지만, 전극 직경이 250 내지 300㎜Φ로 되면 고조파 속에는 그것과 정재파를 형성하는 파장의 것이 포함되어 있기 때문에, 전극판(23)의 대향면에 정재파가 형성되어 전극판(23) 표면 중심부에서 전계 강도가 커져 버린다.
그리고, 상부 전극(21)의 제 6 예에서는 도 15에 도시하는 바와 같이 전극판(23)의 비대향면측의 중앙부에 접하도록, 예컨대 페라이트 소결체와 같은 전자파 흡수 효과를 갖는 부재(68)를 마련한다. 이러한 부재(68)에 의해 플라즈마로부터의 고조파를 흡수한다. 이에 따라 정재파가 해소되어 전극판(23)의 대향면의 전계 분포가 균일하게 되고, 플라즈마 밀도를 균일하게 할 수 있다.
이 경우에, 전자파 흡수 효과를 갖는 부재(68)로는 플라즈마로부터의 고조파는 흡수하지만 고주파 전력의 주파수는 흡수하지 않는 특성을 갖는 것을 이용한다. 부재(68)의 흡수 주파수 영역은 재료 및 조성에 의해 조정할 수 있다.
이상 설명한 제 2 실시예에 있어서의 제 1 내지 제 6 예의 상부 전극은 인가 주파수가 27㎒ 이상이며, 플라즈마 밀도가 1×1011개/㎤ 이상인 고밀도의 경우에 특히 유효하다.
다음으로, 제 2 실시예에 의한 에칭 장치에 이용하여, 웨이퍼(W)에 형성된 산화막을 에칭하는 예에 관해서 설명한다.
전술한 제 1 실시예와 마찬가지로 웨이퍼(W)를 챔버(2) 내로 반입하여 정전 척(11)상에 정전 흡착한다. 그리고 챔버(2) 내가 소정의 진공도까지 진공 흡인된 후, 처리 가스가 챔버(2) 내에 도입되어 소정의 압력하에서 웨이퍼(W)에 대하여 균일하게 토출된다.
그 후, 고주파 전원(40)으로부터 예컨대 60㎒의 고주파 전력이 상부 전극(21)에 인가된다. 이에 따라, 상부 전극(21)과 서셉터(하부 전극)(5) 사이에 고주파 전계가 생기고, 처리 가스가 해리하여 플라즈마화한다. 또한, 고주파 전원(50)으로부터는 1 내지 4㎒, 예컨대 2㎒의 고주파가 하부 전극인 서셉터(5)에 인가된다. 이에 따라, 플라즈마 속의 이온이 서셉터(5)측으로 인입되고, 이온 어시스트에 의해 에칭의 이방성이 높여진다.
일반적으로 상부 전극(21)에 인가하는 고주파의 주파수를 27㎒ 보다도 높게 하면 플라즈마 밀도를 높일 수 있지만, 종래의 상부 전극 구조로는 전술한 바와 같이 고조파에 의한 정재파로 전극판(23) 대향면에서의 전계의 불균일이 생긴다.
본 실시예에서는 상부 전극(21)을 전술한 제 1 내지 제 6 예에 도시하는 어느 구조로 함으로써, 이들 전극판(23)의 대향면에서의 전계의 불균일 원인 중 어느 것을 해소할 수 있다. 따라서, 전극판(23)의 대향면에서의 전계 분포를 종래보다도 균일하게 할 수 있고, 플라즈마 밀도를 보다 균일화할 수 있다.
즉, 상기 상부 전극 구조를 채용함으로써, 고주파 전력의 주파수가 상승하여 플라즈마 밀도가 상승했을 때에 생기는 특유의 문제를 해소할 수 있고, 고밀도이면서 균일한 플라즈마를 형성할 수 있다.
따라서, 에칭의 균일성이 향상하여, 한층 더 디자인 룰의 미세화에 적절히 대응하는 것이 가능해진다.
또한, 인가 주파수가 27㎒ 이상이고 플라즈마 밀도가 1×1011개/㎤ 이상인 경우에 불균일하게 되는 문제가 생기기 쉽고, 본 실시예의 상부 전극은 이 문제 해결에 특히 유효하다.
또한, 본 실시예는 전술한 예에만 한정되지 않고, 각종 변형 가능하다. 예컨대, 상하 전극에 고주파 전력을 인가한 예에 관해서 설명했지만, 상부 전극에만 고주파 전력을 인가하는 구성이어도 좋다. 또한, 상부 전극에 27 내지 150㎒의 고주파를 인가한 경우에 관해서 나타내었지만, 이 범위에 한정하는 것은 아니다. 또한, 피처리 기판으로서 반도체 웨이퍼를 이용했지만, 액정 표시 장치(LCD) 기판 등의 다른 기판이어도 좋고, 또한 플라즈마 처리도 에칭에 한정하지 않으며, 스퍼터링, CVD 등의 처리에도 적용할 수 있다. 또한, 상기 실시예에서 도시한 상부 전극 의 복수개의 예 중 몇 개를 병용하는 것도 가능하다.
도 16은 본 발명에 의한 플라즈마 처리 장치의 제 3 실시예로서, 용량형 평행 평판 에칭 처리 장치에 이용한 구성예를 개시하고 있다. 여기서, 본 실시예의 구성 요소에 있어서, 도 1에 도시한 제 1 실시예의 구성 요소와 동등한 요소에는 같은 참조 부호를 붙여 그 설명을 생략한다.
이 에칭 처리 장치(103)는 전술한 제 1 실시예와는 상부 전극(21)측의 전극봉을 없애어 이것을 커버하고 있었던 챔버 부분의 형상이 다르고, 또한 상부 전극(21)에는 직류만을 통과시키는 로우 패스 필터(LPF)(44)를 거쳐 상부 전극(21)의 자기 바이어스 전압(Vdc)을 상승시키기 위한 직류 전원(43)이 접속되어 있다.
또한, 정합기(41) 내에는 직렬로 콘덴서(도시하지 않음)가 마련되어 있기 때문에, 고주파 전원(40)과 직류 전원(43)이 충돌하는 일은 없다.
상부 전극(21)의 외주면을 따라 링 형상으로 절연재(25)가 마련되어 있다. 또한, 이 절연재(25)는 그 외주면을 챔버(2)의 내측벽에 기밀 가능하게 장착되어 있다. 이와 같은 구성이기 때문에, 제 1 실시예에 있어서의 고조파 흡수 부재(51)는 구비되어 있지 않다.
다음으로, 이와 같이 구성된 플라즈마 에칭 장치(102)에 있어서의 처리 동작에 관해서 설명한다.
전술한 제 1 실시예와 마찬가지로 웨이퍼(W)를 챔버(2) 내로 반입하여, 정전 척(11)상에 정전 흡착된다. 그리고 챔버(2) 내가 소정의 진공도까지 진공 흡인된 후, 처리 가스가 챔버(2) 내에 도입되어, 소정의 압력하에서 웨이퍼(W)에 대하여 균일하게 토출된다.
그 후, 고주파 전원(40)으로부터 27㎒ 이상, 예컨대 60㎒의 고주파 전력이 상부 전극(21)에 인가되고, 상부 전극(21)과 서셉터(5) 사이에 플라즈마가 발생하여 웨이퍼(W)가 에칭된다. 그 때에, 고주파 전원(50)으로부터, 예컨대 2㎒의 고주파 전력이 서셉터(5)에 인가되어, 이온 어시스트에 의한 이방성이 높은 에칭이 실행된다.
본 실시예에 있어서도 전술한 제 1 실시예와 마찬가지로 전극판(23) 하면에서의 전계의 불균일이 생긴다.
즉, 고주파 전원(40)에 의한 고주파 전력의 인가만의 경우에는 플라즈마로부터의 고조파가 상부 전극(21)의 대향면에 정재파를 형성하기 때문에, 상부 전극의 대향면의 전계 분포가 불균일하게 된다. 상부 전극에 인가하는 고주파 전력의 주파수가 27㎒ 이상으로 높아지면 전극 대향면의 자기 바이어스 전압(Vdc)도 작아져, 그 결과 도 17a에 도시하는 바와 같이 상부 전극(21)의 플라즈마 피복(S)의 전체 두께가 얇아지기 때문에, 정재파에 의한 전계 분포의 불균일에 기인하여 전극 중앙부의 플라즈마 피복이 더욱 얇아짐에 따라 플라즈마 피복 두께의 변화 비율이 커짐과 동시에, 전극 표면의 자기 바이어스 전압이 불균일하게 된다. 이 결과, 플라즈마의 균일성이 악화된다.
이에 대하여, 상부 전극(21)에 고주파 전원(40)으로부터 27㎒ 보다 높은 주파수의 고주파 전력을 인가하는 동시에, 직류 전원(43)으로부터 직류 전압을 인가함으로써, 도 17b에 도시하는 바와 같이 그 직류 전압만큼 자기 바이어스 전압(Vdc)이 상승하고, 그 기여분(S1)에 의해 보다 두꺼운 플라즈마 피복(S')이 형성되며, 자기 바이어스 전압(Vdc)의 불균일 및 플라즈마 피복의 불균일이 주는 영향을 작게 할 수 있다.
이 때문에, 플라즈마 밀도에 균일화가 생겨도 그 영향을 최소한으로 억제할 수 있고, 실시 레벨에 있어서는 에칭 레이트 분포는 균일하게 되었다고 동등하게 생각할 수 있다.
예컨대, 고주파 전원(40)으로부터 상부 전극(21)으로 60㎒, 1㎾의 고주파 전력을 인가한 경우, Vdc=-100V 정도이고 Vdc의 분산이 ±10V 정도라고 하면, 분산의 비율은 ±10%로 매우 커져 플라즈마의 균일성이 낮아진다.
그러나, 직류 전원(43)으로부터, 예컨대 -400V를 인가한 경우, 전체Vdc는 -(100+400)V±10V가 되고, Vdc의 격차 비율은 ±2%로 되어 Vdc의 균일성이 향상한다. 그 결과, 플라즈마의 균일성도 개선되었다고 생각할 수 있다.
다음으로, 본 발명의 제 4 실시예에 관해서 설명한다.
도 18은 제 4 실시예로서, 용량형 평행 평판 에칭 처리 장치에 이용한 구성예를 개시하고 있다. 여기서, 본 실시예의 구성 요소에 있어서, 도 16에 도시한 제 2 실시예의 구성 요소와 동등한 요소에는 같은 참조 부호를 붙여, 그 설명을 생략한다.
이 에칭 처리 장치(104)에는 상부 전극(21)에 2개의 고주파 전원이 접속되어 있다. 한쪽은 상부 전극(21)에 하이 패스 필터(HPF)(72) 및 정합기(71)를 거쳐 플라즈마 형성용의 제 1 고주파 전원(70)을 접속한다. 다른쪽은 상부 전극(21)에 로 우 패스 필터(75) 및 정합기(74)를 거쳐 제 2 고주파 전원(73)을 접속한다.
제 1 고주파 전원(70)은 27㎒ 이상의 높은 주파수를 갖고 있고, 이와 같이 높은 주파수를 인가함으로써, 챔버(2) 내에 바람직한 해리 상태이며 또한 고밀도인 플라즈마를 형성할 수 있어, 저압 조건하의 플라즈마 처리가 가능해진다. 이 예에서는 60㎒의 고주파 전력을 출력하는 제 1 고주파 전원(70)을 이용하고 있다.
또한, 제 2 고주파 전원(73)의 고주파 전력은 제 1 고주파 전원(70)보다도 낮은 주파수의 고주파 전력을 출력하고, 바람직하게는 2 내지 10㎒가 좋다. 본 실시예에서는 2㎒의 고주파 전력을 출력하는 제 2 고주파 전원(73)을 이용하고 있다.
또한, 제 2 고주파 전원(73)은 제 1 고주파 전원(70)보다도 낮은 주파수의 고주파 전력을 출력함으로써 상부 전극(21)의 자기 바이어스 전압(Vdc)을 상승시키는 기능을 갖게 된다.
또한, 하이 패스 필터(HPF)(72)는 제 2 고주파 전원(73) 주파수 이하의 주파수를 커트하기 것이고, 로우 패스 필터(LPF)(75)는 제 1 고주파 전원(70) 주파수 이상의 주파수를 커트하는 것이다.
이와 같이 구성되는 플라즈마 에칭 장치(104)에 있어서는, 기본적으로는 제 3 실시예에 따른 플라즈마 에칭 장치(103)와 같이 에칭 처리가 실행된다.
이 경우에, 상부 전극(21)에 인가하는 고주파 전력의 주파수를 27 ㎒ 이상으로 함으로써, 플라즈마 밀도를 상승시킬 수 있지만, 이것만으로는 플라즈마로부터의 반사파의 고조파에 의해 전극판(23)의 대향면에 정재파가 생성됨으로써, 전극판(23)의 대향면에서의 전계의 불균일이 생긴다.
그래서, 제 3 실시예에 있어서의 직류 전압 인가를 대신하여, 이 제 4 실시예에서는 제 2 고주파 전원(73)으로부터 제 1 고주파 전원(70)보다도 낮은 주파수의 고주파 전력을 상부 전극(21)에 인가한다.
이 제 2 고주파 전원(73)으로부터 인가되는 고주파 전력에 의한 자기 바이어스 전압은 제 1 고주파 전원(70)으로부터 인가되는 고주파 전력에 의한 자기 바이어스 전압보다도 크다. 이 때문에, 제 1 고주파 전원(70) 및 제 2 고주파 전원(73)으로부터의 각각의 고주파 전력이 중첩되어, 전술한 실시예와 같은 제 1 고주파 전원(60)으로부터만 고주파 전력을 인가하는 경우보다도 지극히 높은 자기 바이어스 전압(Vdc)을 얻을 수 있고, 그 기여분에 의해 도 17b의 경우와 같이 보다 두꺼운 플라즈마 피복이 형성되어, 자기바이어스 전압(Vdc)의 불균일 및 플라즈마 피복의 불균일의 영향을 작게 할 수 있다.
이 때문에, 실질적으로 플라즈마 밀도를 균일화할 수 있고, 에칭 레이트 분포를 균일하게 할 수 있다.
이것은 제 1 고주파 전원(70)으로부터 상부 전극(21)으로 60㎒, 1㎾의 고주파 전력이 인가된 예에 있어서는, Vdc=-100V 정도이고 Vdc의 격차를 ±10V 정도라 하면 격차의 비율은 ±10%로 매우 커져, 플라즈마의 균일성이 낮아진다. 그러나, 제 2 고주파 전원(73)으로부터 상부 전극(21)으로 예컨대 2㎒, 500W의 고주파 전력을 중첩시킨 경우에는 제 2 고주파 전원(63)에 의한 Vdc는 -400V 정도로 되고, 전체 Vdc는 -(100+400)V±10V로 되며, Vdc의 격차 비율은 ±2%로 되어 Vdc의 균일성이 향상한다. 그 결과, 플라즈마의 균일성도 개선된다.
또한, 이 제 4 실시예는 한정되지 않고 각종 변형 가능하다.
예컨대, 상하 전극에 고주파 전력을 인가한 예에 대하여 설명했지만, 상부 전극(21)에만 고주파 전력을 인가하는 구성이어도 좋다.
또한, 피처리 기판으로서 반도체 웨이퍼를 이용하여, 웨이퍼상에 형성된 산화막을 에칭하는 예에 관해서 설명했지만, 이것에 한정하지 않고 산화막 이외의 절연막이나 폴리실리콘 등 다른 에칭에도 적용할 수 있다. 또한, 처리 대상은 웨이퍼에 한정하지 않고, 액정 표시 장치(LCD) 기판 등의 다른 기판이어도 좋다.
도 19는 본 발명에 의한 플라즈마 처리 장치의 제 5 실시예로서 용량형 평행 평판 에칭 처리 장치에 이용한 구성예를 나타내고 있다. 여기서, 본 실시예의 구성 요소에 있어서, 도 1에 도시한 제 1 실시예의 구성 요소와 동등한 요소에는 같은 참조 부호를 붙여 그 설명을 생략한다.
이 에칭 처리 장치(105)는 챔버(2) 내의 상부 전극(21)의 비대향면측 윗쪽에 전자파를 차단하기 위한 전자파 차단 상자(80)를 마련한 구성이다.
이 구성에 있어서, 고주파 전원(40)으로부터 출력되는 고주파 전력을 공급하기 위한 급전봉(33)이 상부 전극(21)의 전극 지지체(22)에 접속된다.
이 전극 지지체(22)의 윗쪽에는 근접하여 도전성의 판 형상 부재(82)가 마련되어 있다. 통 형상 부재(81)와 판 형상 부재(82)와는 일체적으로 적어도 전기적으로 접속되어 있고, 또한 판 형상 부재(82)는 접지된 챔버(2)에 전기적으로 접속되어 있다.
즉, 통 형상 부재(81) 및 판 형상 부재(82)는 챔버(2)를 거쳐 접지되어 있다. 이 통 형상 부재(81)에 의해, 후술한 바와 같이 급전봉(33)의 인덕턴스를 저 하시킬 수 있는 동시에, 고조파를 그라운드에 낙하시킬 수 있다. 또한, 판 형상 부재(82)에 있어서도 상부 전극(21)의 인덕턴스를 저하시킬 수 있는 동시에, 고조파를 그라운드에 낙하시킬 수 있다.
이들 이외의 구성 요소는 전술한 제 1 실시예와 동등하다.
이어서, 이와 같이 구성된 에칭 처리 장치(105)에 있어서의 처리 동작에 관해서 설명한다. 여기서는 웨이퍼(W)에 형성된 막을 에칭하는 경우를 예로 들어 설명한다.
전술한 제 1 실시예와 같이 웨이퍼(W)를 챔버(2) 내로 반입하여, 정전 척(11)상에 정전 흡착된다. 그리고 챔버(2) 내가 소정의 진공도까지 진공흡인 후, 처리 가스가 챔버(2) 내에 도입되고 소정의 압력하에서 웨이퍼(W)에 대하여 균일하게 토출된다.
그 후, 고주파 전원(40)으로부터 27㎒ 이상, 예컨대 60㎒의 고주파 전력이 상부 전극(21)에 인가되고, 상부 전극(21)과 서셉터(5) 사이에 플라즈마가 발생하여 웨이퍼(W)가 에칭된다. 그 때에 고주파 전원(50)으로부터, 예컨대 2㎒의 고주파 전력이 서셉터(5)에 인가되어, 이온 어시스트에 의한 이방성이 높은 에칭이 실행된다.
전술한 바와 같이 일반적으로는 상부 전극(21)에 인가하는 고주파의 주파수를 27㎒ 보다도 높게 함으로써, 플라즈마 밀도를 상승시킬 수 있다. 그러나 급전봉(33)의 인덕턴스가 매우 크기 때문에, 플라즈마로부터 반사하여 온 고조파가 전자파 차단 상자(80) 속의 도처에서 반사하여, 그 고조파가 플라즈마에 접촉하고 있 는 상부 전극(21)의 대향면으로 되돌아간다. 특히, 전극 직경이 250 내지 300㎜φ인 경우에 이러한 고조파에 의해 전극(21)의 대향면에 정재파가 생성되기 쉽고, 이와 같은 정재파가 생기면 전극 대향면의 전계 분포가 불균일하게 된다.
이에 대하여, 본 실시예에 있어서는, 급전봉(33) 주위의 도전성의 통 형상 부재(81)를 급전봉(33)에 근접하여 마련함으로써, 등가 회로적으로는 도 20에 도시한 바와 같이 급전봉(33)과 통 형상 부재(81) 사이에는 다수의 콘덴서가 병렬로 형성되어 있는 상태로 되고, 급전봉(33)의 인덕턴스 성분이 이 콘덴서의 용량 성분에 의해 제거되어 임피던스가 저하하며, 결과적으로 급전봉(33)의 인덕턴스가 저하된다.
또한, 통 형상 부재(81)는 챔버(2)를 거쳐 접지되어 있기 때문에, 급전봉(33)으로 반사된 고조파가 통 형상 부재(61)를 통해 그라운드에 낙하한다.
따라서, 플라즈마로부터의 고조파가 급전봉(33)으로 반사되기 어렵게 되고, 또한 고조파 그 자체도 감소하기 때문에, 전극판(23)의 플라즈마 접촉면(대향면)에 있어서 고조파의 반사에 기인하는 정재파가 생기기 어렵게 된다.
따라서, 전극판(23)의 플라즈마 접촉면 내에서의 전계 분포를 보다 균일하게 할 수 있어, 그 결과 플라즈마 밀도를 균일하게 할 수 있다.
또한, 전극 지지체(22)의 비대향면의 인덕턴스 성분도 고조파의 반사에 의한 정재파 형성에 기인하지만, 전극 지지체(22)의 비대향면에 근접하여 판 형상 부재(82)를 형성하고 있기 때문에, 등가 회로적으로는 도 21에 도시한 바와 같이 전극 지지체(22)와 판 형상 부재(62) 사이에 다수의 콘덴서가 병렬로 형성되어 있 는 상태로 되고, 같은 원리로 그 부분의 인덕턴스도 저하한다. 또한, 고조파는 판 형상 부재(82)를 통해 그라운드에 낙하한다.
따라서, 판 형상 부재(82)의 존재에 의해 정재파의 생성을 방지하는 효과를 한층 더 크게 할 수 있다.
또한, 통 형상 부재(81)와 급전봉(33) 사이의 거리 및 판 형상 부재(62)와 전극 지지체(22) 사이의 거리는 정재파를 해소하는 데 필요한 용량에 따라 적절히 설정하면 좋다. 예컨대, 고주파 전력이 2㎾인 경우에는 대기의 브레이크 다운을 일으키지 않도록 8㎜ 이상 필요하다.
또한, 콘덴서를 형성하는 관점에서는 통 형상 부재(81)와 급전봉(33) 사이 및 판 형상 부재(62)와 전극 지지체(22) 사이에는 부재를 장입할 필요는 없지만, 필터 기능을 높이기 위해서 전파 흡수체를 장입해도 좋고, 유전율을 조절하기 위해서 불소 수지(상품명 : 테플론) 등의 유전체를 장입해도 좋다.
도 22는 본 발명에 의한 플라즈마 처리 장치의 제 6 실시예로서 용량형 평행 평판 에칭 처리 장치에 사용한 구성예를 나타내고 있다. 여기서 본 실시예의 구성 요소에 있어서, 도 1에 도시한 제 1 실시예의 구성 요소와 동등한 요소에는 같은 참조 부호를 붙여 그 설명을 생략한다.
이 에칭 처리 장치(106)는 급전봉(93)이 상부 전극(21)의 비대향면 중심에서 외주 방향으로 시프트한 위치에 배치되어, 상부 전극(21)에 급전된다. 전술한 제 5 실시예에서는 급전봉(93)이 상부 전극(21)의 비대향면 중심에 배치되어 있었다.
또한, 상부 전극(21)의 비대향면상에 있어서 급전봉(93)의 설치 위치와는 반 대 방향에 LC 회로(94)가 마련되어 있다. 이 LC 회로(94)는 상부 전극(21)에 급전되는 고주파 전력의 전압 및 전류의 위상을 조정하는 위상 조정으로서 기능한다.
이 LC 회로(94)는 상부 전극(21)과 챔버(2) 사이에 직렬로 인덕턴스 가변의 코일(95)과 용량 가변의 콘덴서(96)가 접속되어 구성되어 있다.
그리고 급전봉(93)에 근접하여 도전성의 통 형상 부재(91)가 마련되고, 전극 지지체(22)의 윗쪽에 근접하여 도전성의 판 형상 부재(92)가 마련되어 있다. 통 형상 부재(91)와 판 형상 부재(92)는 전기적으로 접속되어 있으며, 또한 판 형상 부재(92)는 접지된 챔버(2)에 전기적으로 접속되어 있다.
이들 이외의 구성 요소는 전술한 제 1 실시예와 동등하다.
이와 같이 구성되는 에칭 장치(106)에 있어서는, 전술한 제 5 실시예의 에칭 장치(105)와 동일한 에칭 처리가 실행된다.
그러나, 인가하는 고주파 전력의 주파수를 27㎒ 이상으로 하면, 전술한 바와 같은 이유에 의해 정재파가 형성되어 전계의 불균일이 생긴다.
종래와 같이 상부 전극(21)의 중심에 급전봉(33)이 존재하는 경우에는 도 7 및 도 8에서 전술한 바와 같이 전극판(23)의 중심과 에지부에서 위상차 d/λ(λ는 전극 표면파의 파장, d는 전극의 반경)가 생긴다.
또한, 전기적인 등가 회로상, 상부 전극(21)의 외주 부분은 플라즈마에 전력이 공급되는 방향과 병렬로 절연체를 거쳐 그라운드에 낙하하며, 특성 임피던스(50Ω)로 종단하고 있기 때문에, 외주 위치에서의 전계 강도 Eo는 Eo=E·cos(ωt)로 된다.
또한, 전극 중심 부분에서의 전계 강도 Eo는 Eo=E·cos(ωt+d/λ)로 된다. 단, λ은 인가 주파수 및 플라즈마로부터의 반사에 의한 고조파 및 인가 주파수가 플라즈마를 거쳐 형성되는(파장 단축) 파장이다.
이 때, 고주파 전력은 외주 부분으로부터 중심을 향해 서서히 공급되기 때문에, 외주측에서의 전압 및 전류가 전극판(23)의 중심부에 모인다. 또한, 인가 주파수가 높아지면 전극판(23)이 대향하는 방향의 인덕턴스를 무시할 수 없게 되고, 전압 및 전류의 상기 위상차에 의한 간섭 작용에 의해 전극판(23) 대향면의 중앙 부분의 임피던스가 낮아진다.
이들에 의해 전극판(23) 대향면의 중심 부분의 전계 강도가 에지 부분의 전계 강도보다도 높아진다. 또한, 중심 위치는 플라즈마와 접해 있기 때문에, RF 등가 회로적으로는 개방단으로 되어 있다. 따라서, 전극판(23)의 대향면에는 파장 λ=2d의 정재파가 형성된다. 그 때문에 플라즈마 밀도의 불균일이 발생한다.
그리고 본 실시예에서는 이러한 원인에 의해서 생긴 정재파를 해소하기 위해서, 급전봉(93)을 거쳐 상부 전극(21)의 중심으로부터 외주 방향으로 시프트한 위치에 고주파 전력을 공급함과 동시에, 위상 조정 수단이 되는 LC 회로(94)를 상부 전극(21)의 비대향면의 급전봉(93)과는 중심을 넘은 반대측 위치에 마련함으로써, 상부 전극(21)에 공급되는 고주파의 전압 및 전류의 위상을 외주상에서 불균일하게 한다.
즉, 상부 전극(21)의 중심에서 외주 방향으로 시프트한 위치에 고주파 전력을 공급함으로써, 전극판(23)의 대향면에서의 전압 및 전류의 경로가 전극판(23) 중심부에 집중하는 것을 피한 후에, LC 회로(94)를 이용하여 코일(95)의 인덕턴스와 콘덴서(96)의 용량을 조절하고 전압 및 전류의 위상을 시프트시킴으로써 전극판(23)의 원주상에서 전압 및 전류의 위상을 불균일하게 할 수 있어, 전극판(23) 대향면에 전극 중심으로부터 급전하는 것에 기인하는 정재파가 형성되는 것을 방지할 수 있다.
따라서, 전극판(23)의 대향면, 즉 플라즈마 접촉면 내에서의 전계 분포를 보다 균일하게 할 수 있어, 그 결과 플라즈마 밀도를 균일하게 할 수 있다.
또한, 제 1 실시예와 같이 통 형상 부재(91) 및 판 형상 부재(92)가 마련되어 있기 때문에, 전극판(23)의 플라즈마 접촉면(대향면)에 있어서 고조파의 반사에 기인하는 정재파가 생기기 어렵게 되어, 전극판(23)의 플라즈마 접촉면 내에서의 전계 분포를 한층 더 균일하게 할 수 있다.
또, 급전봉(93)의 설치 위치는 상부 전극(21)의 중심에서 다소 외주 방향측으로 시프트하고 있으면 일정한 효과를 얻을 수 있지만, 예컨대 전극 직경이 250㎜인 경우에는 중심에서 60㎜ 이상 시프트하고 있는 것이 바람직하다.
전술한 제 5 실시예 및 제 6 실시예중 어느 것에 있어서도, 인가 주파수가 높아질수록 정재파가 형성되기 쉽게 되어 인가 주파수가 27㎒ 이상인 경우에 특히 유효하지만, 27㎒ 미만인 주파수이어도 정재파의 영향이 전혀 없는 것은 아니며, 본 발명을 적용함으로써 일정한 효과를 얻을 수 있다.
또한, 플라즈마 밀도가 1×1011개/㎤ 이상인 경우에 상기 문제가 생기기 쉽 고, 본 발명은 이러한 경우에 특히 유효하다.
또한, 제 5 실시예 및 제 6 실시예의 2개를 병용함으로써, 플라즈마 밀도를 한층 더 균일하게 하는 것도 가능하다.
또한, 본 실시예는 전술한 예에 한정되지 않고 각종 변형 가능하다.
예컨대, 상하 전극에 고주파를 인가했지만 한쪽에만 고주파를 인가하는 구성이어도 무방하다.
또한, 본 실시예를 상부 전극에 적용한 예로 설명했지만, 하부 전극에 적용하는 것도 가능하다. 또한, 피처리 기판으로서 반도체 웨이퍼를 이용하고, 이것에 에칭을 실시하는 경우에 관해서 설명했지만, 이것에 한정하지 않고 처리 대상으로서 액정 표시 장치(LCD) 기판 등의 다른 기판이어도 좋고, 또한 플라즈마 처리도 에칭에 한정하지 않고 스퍼터링, CVD 등의 다른 처리이어도 좋다.
본 발명의 플라즈마 처리 장치는 플라즈마 생성을 위한 전극에 접촉하여, 고주파 전원의 고주파 전력의 고조파를 흡수하는 고조파 흡수 부재를 마련하고, 고주파 전원으로 되돌아가기 전에 고주파 흡수 부재로 그 고조파를 흡수한다. 이에 따라, 고조파에 의한 정재파의 발생을 유효하게 방지하여, 그 결과 플라즈마 밀도를 균일화한다.
또한 본 발명의 플라즈마 처리 장치는 2개의 고주파 전원을 구비하고, 한쪽에서 고주파 전원이 27㎒ 이상인 고주파 전력을 플라즈마 생성을 위한 전극에 인가 할 때에 이 주파수보다도 낮은 주파수의 고주파 전력을 다른쪽 고주파 전원이 인가함으로써, 상호 자기 바이어스 전압을 중첩시켜 큰 자기 바이어스 전압(Vdc)을 얻고, 플라즈마 피복을 두껍게 하여 정재파에 의한 자기 바이어스 전압(Vdc)의 불균일에 의한 플라즈마 피복의 불균일 정도를 작게 한다. 그 결과, 플라즈마 밀도를 균일화시켜 에칭에 이용한 경우에는 에칭 레이트 분포를 균일하게 한다.

Claims (41)

  1. 플라즈마 처리 장치에 있어서,
    피처리 기판이 수용되는 챔버와,
    상기 챔버 내에서 대향하도록 마련된 제 1 전극 및 제 2 전극과,
    상기 제 1 전극에 고주파 전력을 인가하는 고주파 전력 인가 수단과,
    상기 제 2 전극과 대향하는 상기 제 1 전극의 대향면(대향하는 측의 면)상의 외주 또는 외주 측면에 접촉하여 배치되고, 상기 고주파 전력 인가 수단의 고주파 전력에 의해 생기는 고조파를 흡수하는 고조파 흡수 부재와,
    상기 챔버 내를 배기하여 감압 상태로 유지하는 배기 수단과,
    상기 챔버 내에 처리 가스를 도입하는 처리 가스 도입 수단을 구비하고,
    상기 제 1 전극 또는 제 2 전극중 어느 것에 상기 피처리 기판을 유지시킨 상태로, 상기 고조파 흡수 부재로 고주파 전력에 의해 생기는 고조파를 흡수하면서, 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성함으로써 처리 가스의 플라즈마를 형성하고, 고주파 전력에 의해 발생한 고조파를 상기 고조파 흡수 부재로 흡수하면서, 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 것을 특징으로 하는
    플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 고조파 흡수 부재는 주파수 특성이 다른 고조파 흡수 부재를 적층한 것을 특징으로 하는
    플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 고조파 흡수 부재는 자기 공명 손실 효과를 갖는 것을 특징으로 하는
    플라즈마 처리 장치.
  4. 제 3 항에 있어서,
    상기 고조파 흡수 부재는 페라이트(ferrite)를 포함하는 것을 특징으로 하는
    플라즈마 처리 장치.
  5. 제 1 항에 있어서,
    상기 제 1 전극에 인가하는 고주파 전력의 주파수는 27㎒ 이상인 것을 특징으로 하는
    플라즈마 처리 장치.
  6. 제 1 항에 있어서,
    상기 제 2 전극에 100㎑ 내지 10㎒ 범위 내인 주파수의 고주파 전력을 인가하는 고주파 전력 인가 수단을 더 갖는 것을 특징으로 하는
    플라즈마 처리 장치.
  7. 챔버 내에서 제 1 전극 및 제 2 전극을 대향시켜 배치하고, 상기 제 2 전극의 대향면측에 피처리 기판을 유지시킨 상태로, 일정한 감압하에 유지된 상기 챔버 내에 처리 가스를 도입하면서, 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성하여 처리 가스의 플라즈마를 생성하고, 이 플라즈마에 의해 피처리 기판에 소정의 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서,
    상기 제 1 전극은 제 2 전극에 대향하는 면에 전극판을 구비하고,
    상기 전극판은, 원반 형상의 중앙 부분과, 도전체 또는 반도체로 구성되고, 상기 중앙 부분의 전체 외주를 따라 배치되는 링 형상의 외측 부분을 갖고, 상기 중앙 부분은 유전체 부재 또는 상기 외측 부분보다 고 저항인 고 저항 부재로 구성되며,
    상기 제 1 전극에는, 상기 제 2 전극과 반대쪽 면으로부터 고주파 전력이 인가되는
    것을 특징으로 하는 플라즈마 처리 장치.
  8. 제 7 항에 있어서,
    상기 전극판의 상기 중앙 부분이 고 저항체인 경우에, 상기 중앙 부분의 δ=(2/ωσμ)1/2[단, ω=2πf(f:주파수), σ:도전율, μ:투자율]로 표시되는 스킨뎁스(skin depth)(δ)가 전극판 중앙 부분의 두께보다도 큰 것을 특징으로 하는
    플라즈마 처리 장치.
  9. 제 7 항에 있어서,
    상기 전극판의 외측 부분 및 중앙 부분이 모두 실리콘(Si)으로 구성되고, 외측 부분 및 중앙 부분과의 도펀트의 도프량을 다르게 함으로써, 저 저항의 외측 부분과 고 저항의 중앙 부분을 형성하는 것을 특징으로 하는
    플라즈마 처리 장치.
  10. 챔버 내에서 제 1 전극 및 제 2 전극을 대향시켜 배치하고, 상기 제 2 전극에 피처리 기판을 유지시킨 상태로, 일정한 감압하에 유지된 상기 챔버 내에 처리 가스를 도입하면서 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성하여 처리 가스의 플라즈마를 생성하고, 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서,
    상기 제 1 전극의 대향면(대향하는 쪽의 면)측에 마련된 도전체 또는 반도체로 구성된 전극판을 구비하고,
    상기 전극판의 비대향면(대향하지 않는 쪽의 면) 상의 중앙 부분에 접하도록 유전체 부재 또는 전극판보다 고 저항인 고 저항 부재중 어느 하나가 마련되고,
    상기 유전체 부재 또는 상기 고 저항 부재는, 이들 전체가 상기 전극판과 상기 제 1 전극으로 덮이고,
    상기 유전체 부재 또는 상기 고 저항 부재의 직경은, 상기 제 1 전극 및 제 2 전극의 직경보다 작고, 상기 제 1 전극의 내부에는, 가스 확산 공간이 있으며, 상기 처리 가스는, 상기 가스 확산 공간을 통해, 상기 제 1 전극과 제 2 전극 사이의 처리 공간에 공급되며,
    상기 제 1 전극의 비대향면측에서 고주파 전력이 인가되는
    것을 특징으로 하는 플라즈마 처리 장치.
  11. 제 10 항에 있어서,
    상기 전극판은 δ=(2/ωσμ)1/2[단, ω=2πf(f:주파수), σ:도전율, μ:투자율]로 표시되는 스킨뎁스(δ)가 상기 전극판의 두께보다도 큰 것을 특징으로 하는
    플라즈마 처리 장치.
  12. 챔버 내에서 제 1 전극 및 제 2 전극을 대향시켜 마련하고, 상기 제 2 전극에 피처리 기판을 유지시킨 상태로, 일정한 감압하에 유지된 상기 챔버 내에 처리 가스를 도입하면서 상기 제 1 및 제 2 전극 사이에 고주파 전계를 형성하여 처리 가스의 플라즈마를 생성하고, 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서,
    상기 제 1 전극의 대향면(대향하는 측면)측에 마련된 도전체 또는 반도체로 구성된 전극판을 구비하고,
    상기 전극판의 대향면상에 형성된 제 1 전극 및 제 2 전극이 대향하는 방향의 인덕턴스 성분(ωL)을 제거할 수 있는 용량 성분(1/ωC(=ωL))을 갖도록 형성된 절연층을 갖고,
    상기 절연층은 상기 제 1 전극의 비대향면(대향하지 않는 측면)측에서 고주파 전력이 인가되어 발생한 플라즈마와 상기 제 1 전극을 용량 결합함으로써, 상기 인덕턴스 성분(ωL)을 제거하는 것을 특징으로 하는
    플라즈마 처리 장치.
  13. 챔버 내에서 제 1 및 제 2 전극을 대향시켜 배치하고, 상기 제 2 전극에 피처리 기판을 유지시킨 상태로 일정한 감압하에 유지된 상기 챔버 내에 처리 가스를 도입하면서, 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성하여 처리 가스의 플라즈마를 생성하고, 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서,
    상기 제 1 전극의 대향면(대향하는 쪽의 면)측에 마련된 도전체 또는 반도체로 구성된 전극판을 구비하고,
    상기 제 1 전극판의 비대향면(대향하지 않는 쪽의 면) 상의 중앙 부분에 접하도록 전자파 흡수 효과를 갖는 부재가 마련되고,
    상기 제 1 전극의 비대향면측에서 고주파 전력이 인가되는
    것을 특징으로 하는 플라즈마 처리 장치.
  14. 제 7 항, 제 10 항, 제 12 항 또는 제 13 항중 어느 한 항에 있어서,
    상기 제 1 전극에 인가되는 고주파 전력의 주파수가 27㎒ 이상이며, 형성되는 플라즈마 밀도가 1×1011개/㎤ 이상인 것을 특징으로 하는
    플라즈마 처리 장치.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 플라즈마 처리 장치에 있어서,
    피처리 기판이 수용되는 챔버와 상기 챔버 내에서 대향하도록 마련된 제 1 전극 및 제 2 전극과,
    상기 제 1 전극에 고주파 전력을 인가하는 고주파 전력 인가 수단과,
    상기 제 1 전극의 비대향면의 중심에 접속된 상기 고주파 전력 인가 수단으로부터 고주파 전력을 급전하기 위한 급전봉과,
    상기 급전봉의 주위를 둘러싸도록 근접하여 마련되고 접지된 도전성의 통 형상 부재와,
    상기 통 형상 부재와 전기적으로 접속하는 접지된 도전성의 판 형상 부재와,
    상기 챔버 내를 배기하여 감압 상태로 유지하는 배기 수단과,
    상기 챔버 내에 처리 가스를 도입하는 처리 가스 도입 수단을 구비하고,
    상기 제 1 전극 또는 제 2 전극에 상기 피처리 기판을 유지시킨 상태로 상기 통 형상 부재 및 상기 판 형상 부재에 의해 상기 급전봉의 인덕턴스 성분을 저하시키면서, 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성함으로써 처리 가스에 의한 플라즈마를 형성하고, 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 것을 특징으로 하는
    플라즈마 처리 장치.
  28. 피처리 기판이 수용되는 챔버와,
    상기 챔버 내에 대향하도록 마련된 제 1 전극 및 제 2 전극과,
    상기 제 1 전극에 고주파 전력을 인가하는 고주파 전력 인가 수단과,
    상기 제 1 전극의 비대향면상의 중심에서 외주를 향해 이동한 위치에 접속된 상기 고주파 전력 인가 수단으로부터 고주파 전력을 급전하기 위한 급전봉과,
    상기 급전봉의 주위를 둘러싸도록 근접하여 마련되고, 접지된 도전성의 통 형상 부재와 전기적으로 접속하는 접지된 도전성의 판 형상 부재와,
    한쪽 단부가 제 1 전극에 접속되며 또한 다른쪽 단부가 접지된 상태로 배치되고, 상기 제 1 전극에 급전되는 고주파 전력의 전압 및 전류의 위상을 조정하는 위상 조정 수단과,
    상기 챔버 내를 배기하여 감압 상태로 유지하는 배기 수단과,
    상기 챔버 내에 처리 가스를 도입하는 처리 가스 도입 수단
    을 구비하고,
    상기 제 1 전극 또는 제 2 전극에 상기 피처리 기판을 유지시킨 상태로 상기 통 형상 부재 및 상기 판 형상 부재에 의해 상기 급전봉의 인덕턴스 성분을 저하시키고, 또한 상기 제 1 전극에 인가되는 고주파 전력(전류 및 전압)의 위상을 조정하면서 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성함으로써, 처리 가스에 의한 플라즈마를 형성하여 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 것
    을 특징으로 하는 플라즈마 처리 장치.
  29. 제 28 항에 있어서,
    상기 위상 조정 수단은 상기 제 1 전극의 비대향면상에 상기 급전봉의 접속 위치는 상기 비대향면의 중심을 사이에 두고 반대측의 위치에 마련된 LC 회로인 것을 특징으로 하는
    플라즈마 처리 장치.
  30. 제 28 항에 있어서,
    상기 급전봉의 주위에 근접하여 마련되고 접지된 도전성의 통 형상 부재와, 상기 제 1 전극의 비대향면에 근접하여 마련되고 접지된 도전성의 판 형상 부재를 더 구비하는 것을 특징으로 하는
    플라즈마 처리 장치.
  31. 제 28 항에 있어서,
    상기 제 1 전극에 인가하는 고주파 전력의 주파수는 27㎒ 보다 높은 것을 특징으로 하는
    플라즈마 처리 장치.
  32. 제 28 항에 있어서,
    상기 제 2 전극에 100㎑ 내지 10㎒ 범위 내인 주파수의 고주파 전력을 인가하는 고주파 전력 인가 수단을 더 구비하는 것을 특징으로 하는
    플라즈마 처리 장치.
  33. 제 2 항에 있어서,
    상기 고조파 흡수 부재는 자기 공명 손실 효과를 갖는 것을 특징으로 하는
    플라즈마 처리 장치.
  34. 삭제
  35. 제 5 항에 있어서,
    상기 제 2 전극에 100㎑ 내지 10㎒ 범위 내인 주파수의 고주파 전력을 인가하는 고주파 전력 인가 수단을 더 갖는 것을 특징으로 하는
    플라즈마 처리 장치.
  36. 삭제
  37. 플라즈마 처리 장치에 있어서,
    피처리 기판이 수용되는 챔버와,
    상기 챔버 내에 대향하도록 마련된 제 1 전극 및 제 2 전극과,
    상기 제 1 전극에 고주파 전력을 인가하는 고주파 전력 인가 수단과,
    상기 제 1 전극의 비대향면의 중심에 접속된 상기 고주파 전력 인가 수단으로부터 고주파 전력을 급전하기 위한 급전봉과,
    상기 급전봉의 주위를 둘러싸도록 근접하여 마련되고 접지된 도전성의 통 형상 부재와,
    상기 통 형상 부재와 일체적으로 접속된 도전성의 판 형상 부재와,
    상기 챔버 내를 배기하여 감압 상태로 유지하는 배기 수단과,
    상기 챔버 내에 처리 가스를 도입하는 처리 가스 도입 수단을 구비하고,
    상기 제 1 전극 또는 제 2 전극에 상기 피처리 기판을 유지시킨 상태로, 상기 통 형상 부재 및 상기 판 형상 부재에 의해 상기 급전봉의 인덕턴스 성분을 저하시키면서 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성함으로써 처리 가스에 의한 플라즈마를 형성하고, 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 것을 특징으로 하는
    플라즈마 처리 장치.
  38. 플라즈마 처리 장치에 있어서,
    피처리 기판이 수용되는 챔버와,
    상기 챔버 내에 대향하도록 마련된 제 1 전극 및 제 2 전극과,
    상기 제 1 전극에 고주파 전력을 인가하는 고주파 전력 인가 수단과,
    상기 제 1 전극의 비대향면상의 중심에서 외주를 향해 이동한 위치에 접속된 상기 고주파 전력 인가 수단으로부터 고주파 전력을 급전하기 위한 급전봉과,
    상기 통 형상 부재와 일체적으로 접속하는 도전성의 판 형상 부재와,
    한쪽 단부가 제 1 전극에 접속되고 또한 다른 단부가 접지된 상태로 배치되어, 상기 제 1 전극에 급전되는 고주파 전력의 전압 및 전류의 위상을 조정하는 위상 조정 수단과,
    상기 챔버 내를 배기하여 감압 상태로 유지하는 배기 수단과,
    상기 챔버 내에 처리 가스를 도입하는 처리 가스 도입 수단을 구비하고,
    상기 제 1 전극 또는 제 2 전극에 상기 피처리 기판을 유지시킨 상태로, 상기 통 형상 부재 및 상기 판 형상 부재에 의해 상기 급전봉의 인덕턴스 성분을 저하시키고, 또한 상기 제 1 전극에 인가되는 고주파 전력(전류 및 전압)의 위상을 조정하면서 상기 제 1 전극 및 제 2 전극 사이에 고주파 전계를 형성함으로써, 처리 가스에 의한 플라즈마를 형성하고, 이 플라즈마에 의해 상기 피처리 기판에 플라즈마 처리를 실시하는 것을 특징으로 하는
    플라즈마 처리 장치.
  39. 제 7 항에 있어서,
    상기 중앙 부분과 상기 외측 부분의 연직 방향의 두께는 동일한 것을 특징으로 하는 플라즈마 처리 장치.
  40. 제 10 항에 있어서,
    상기 유전체 부재 또는 상기 고 저항 부재 중 하나의 직경은, 50∼200㎜인 것을 특징으로 하는 플라즈마 처리 장치.
  41. 제 10 항에 있어서,
    상기 전극판의 저항율은 1∼100Ω인 것을 특징으로 하는 플라즈마 처리 장치.
KR20017014080A 1999-05-06 2000-04-27 플라즈마 처리 장치 KR100880767B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP12563799A JP4322350B2 (ja) 1999-05-06 1999-05-06 プラズマ処理装置
JPJP-P-1999-00125637 1999-05-06
JPJP-P-1999-00126878 1999-05-07
JP12687899A JP4454718B2 (ja) 1999-05-07 1999-05-07 プラズマ処理装置およびそれに用いられる電極
JPJP-P-1999-00129696 1999-05-11
JP12969699A JP4831853B2 (ja) 1999-05-11 1999-05-11 容量結合型平行平板プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JPJP-P-1999-00141209 1999-05-21
JP14120999A JP4467667B2 (ja) 1999-05-21 1999-05-21 プラズマ処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020057007269A Division KR100748798B1 (ko) 1999-05-06 2000-04-27 플라즈마 에칭 장치

Publications (2)

Publication Number Publication Date
KR20020027310A KR20020027310A (ko) 2002-04-13
KR100880767B1 true KR100880767B1 (ko) 2009-02-02

Family

ID=27471132

Family Applications (2)

Application Number Title Priority Date Filing Date
KR20017014080A KR100880767B1 (ko) 1999-05-06 2000-04-27 플라즈마 처리 장치
KR1020057007269A KR100748798B1 (ko) 1999-05-06 2000-04-27 플라즈마 에칭 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020057007269A KR100748798B1 (ko) 1999-05-06 2000-04-27 플라즈마 에칭 장치

Country Status (6)

Country Link
US (2) US7537672B1 (ko)
EP (1) EP1193746B1 (ko)
KR (2) KR100880767B1 (ko)
DE (1) DE60043505D1 (ko)
TW (1) TW462092B (ko)
WO (1) WO2000068985A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101220736B1 (ko) * 2009-10-28 2013-01-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20160124534A (ko) 2015-04-20 2016-10-28 주식회사 유진테크 기판 처리 장치

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
KR100764248B1 (ko) * 2001-06-15 2007-10-05 동경 엘렉트론 주식회사 드라이 에칭 방법
TW200300951A (en) * 2001-12-10 2003-06-16 Tokyo Electron Ltd Method and device for removing harmonics in semiconductor plasma processing systems
US6879870B2 (en) 2002-04-16 2005-04-12 Steven C. Shannon Method and apparatus for routing harmonics in a plasma to ground within a plasma enhanced semiconductor wafer processing chamber
CN1666316A (zh) * 2002-07-03 2005-09-07 东京电子株式会社 对半导体处理参数进行非侵入式测量和分析的方法和装置
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4388287B2 (ja) 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US20110104381A1 (en) * 2004-01-15 2011-05-05 Stefan Laure Plasma Treatment of Large-Scale Components
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN1973363B (zh) * 2004-06-21 2011-09-14 东京毅力科创株式会社 等离子体处理装置和方法
EP2479783B1 (en) * 2004-06-21 2018-12-12 Tokyo Electron Limited Plasma processing apparatus and method
KR100971799B1 (ko) * 2004-06-21 2010-07-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체
JP2006032954A (ja) 2004-07-12 2006-02-02 Applied Materials Inc 低インダクタンスプラズマチャンバーのための装置及び方法
JP4523352B2 (ja) * 2004-07-20 2010-08-11 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
US7692916B2 (en) 2005-03-31 2010-04-06 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method
JP4704087B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7993489B2 (en) 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
CN101156504B (zh) * 2005-04-11 2012-07-18 洛尔等离子技术有限公司 等离子喷涂设备及方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP4935149B2 (ja) * 2006-03-30 2012-05-23 東京エレクトロン株式会社 プラズマ処理用の電極板及びプラズマ処理装置
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
JP5847381B2 (ja) * 2007-02-26 2016-01-20 ドクトル・ラウレ・プラスマテヒノロギー・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング 体積の大きな構成部品にプラズマ支援によるコーティングおよび表面処理を施す装置および方法
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
JP5809396B2 (ja) * 2010-06-24 2015-11-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
KR101405502B1 (ko) * 2011-08-26 2014-06-27 주식회사 엔씰텍 줄 가열을 이용한 유기막 증착 장치 및 이를 이용한 유기전계발광표시 소자의 제조 장치
JP5534366B2 (ja) * 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及びイグニッション電圧選定方法
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
KR20170073757A (ko) * 2015-12-18 2017-06-29 삼성전자주식회사 플라즈마 처리 장치용 상부 전극 및 이를 포함하는 플라즈마 처리 장치
US10435789B2 (en) * 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
JP6595002B2 (ja) * 2017-06-27 2019-10-23 キヤノンアネルバ株式会社 スパッタリング装置
TWI693860B (zh) * 2017-06-27 2020-05-11 日商佳能安內華股份有限公司 電漿處理裝置
KR102457976B1 (ko) 2017-06-27 2022-10-25 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
EP3648554B1 (en) 2017-06-27 2021-06-02 Canon Anelva Corporation Plasma processing device
WO2020003557A1 (ja) 2018-06-26 2020-01-02 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
KR102189323B1 (ko) 2019-07-16 2020-12-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102173465B1 (ko) * 2019-10-15 2020-11-03 주성엔지니어링(주) 기판형 태양 전지의 도핑 장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09279350A (ja) * 1996-04-11 1997-10-28 Anelva Corp 表面処理装置
JPH1161452A (ja) * 1997-08-08 1999-03-05 Seiko Epson Corp ドライエッチング方法及びドライエッチング装置

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1203089B (it) * 1976-03-03 1989-02-15 Int Plasma Corp Procedimento ed apparecchiatura per eseguire reazioni chimiche nella regione della scarica luminescente di un plasma
US4559125A (en) 1983-09-12 1985-12-17 Vac-Tec Systems, Inc. Apparatus for evaporation arc stabilization during the initial clean-up of an arc target
DE3336652C2 (de) * 1983-10-08 1985-10-03 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 8000 München Vorrichtung zum Auftragen von Materialien, insbesondere amorphen wasserstoffhaltigen Kohlenstoffs
KR910000273B1 (ko) * 1985-05-09 1991-01-23 마쯔시다덴기산교 가부시기가이샤 플라즈마 처리장치
JPS62287071A (ja) * 1986-06-06 1987-12-12 Tadahiro Omi 薄膜の形成装置および形成方法
JPS6393881A (ja) * 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3076367B2 (ja) * 1990-11-29 2000-08-14 キヤノン株式会社 プラズマ処理装置
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH06333878A (ja) * 1993-05-18 1994-12-02 Anelva Corp プラズマエッチング装置
JPH07106097A (ja) 1993-10-12 1995-04-21 Ulvac Japan Ltd プラズマ処理装置
JP3062393B2 (ja) 1994-04-28 2000-07-10 東京エレクトロン株式会社 プラズマ処理装置
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH08250488A (ja) * 1995-01-13 1996-09-27 Seiko Epson Corp プラズマ処理装置及びその方法
JPH08227875A (ja) 1995-02-17 1996-09-03 Seiko Epson Corp プラズマ状態検出方法及びその装置、プラズマ制御方法及びその装置並びにエッチング終点検出方法及びその装置
US5728261A (en) * 1995-05-26 1998-03-17 University Of Houston Magnetically enhanced radio frequency reactive ion etching method and apparatus
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
US5653812A (en) 1995-09-26 1997-08-05 Monsanto Company Method and apparatus for deposition of diamond-like carbon coatings on drills
JPH09167698A (ja) * 1995-10-13 1997-06-24 Tadahiro Omi 半導体及びtft−lcdの製造装置
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
TW312815B (ko) * 1995-12-15 1997-08-11 Hitachi Ltd
JP3559641B2 (ja) 1996-03-01 2004-09-02 キヤノン株式会社 真空容器内の加熱方法及び加熱機構
KR970067659A (ko) * 1996-03-22 1997-10-13 배순훈 평판형 건식 식각 장치
JP3238082B2 (ja) * 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
JP3728021B2 (ja) * 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
JP4356117B2 (ja) * 1997-01-29 2009-11-04 財団法人国際科学振興財団 プラズマ装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
JP3834958B2 (ja) * 1997-09-30 2006-10-18 株式会社日立製作所 プラズマ処理装置
JP4064540B2 (ja) 1998-08-11 2008-03-19 株式会社日立国際電気 プラズマcvd処理装置
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
KR20050001831A (ko) * 2003-06-26 2005-01-07 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09279350A (ja) * 1996-04-11 1997-10-28 Anelva Corp 表面処理装置
JPH1161452A (ja) * 1997-08-08 1999-03-05 Seiko Epson Corp ドライエッチング方法及びドライエッチング装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101220736B1 (ko) * 2009-10-28 2013-01-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20160124534A (ko) 2015-04-20 2016-10-28 주식회사 유진테크 기판 처리 장치

Also Published As

Publication number Publication date
TW462092B (en) 2001-11-01
US20080308041A1 (en) 2008-12-18
WO2000068985A1 (fr) 2000-11-16
KR100748798B1 (ko) 2007-08-13
US7537672B1 (en) 2009-05-26
EP1193746A1 (en) 2002-04-03
EP1193746A4 (en) 2007-04-18
US8080126B2 (en) 2011-12-20
KR20050047139A (ko) 2005-05-19
KR20020027310A (ko) 2002-04-13
EP1193746B1 (en) 2009-12-09
DE60043505D1 (de) 2010-01-21

Similar Documents

Publication Publication Date Title
KR100880767B1 (ko) 플라즈마 처리 장치
US20130112666A1 (en) Plasma processing apparatus
JP4454718B2 (ja) プラズマ処理装置およびそれに用いられる電極
KR100934512B1 (ko) 플라즈마 처리 장치
US6056848A (en) Thin film electrostatic shield for inductive plasma processing
KR100900595B1 (ko) 플라즈마 한정 및 유동 컨덕턴스 강화 방법 및 장치
KR100652983B1 (ko) 플라즈마 처리 장치 및 방법
JP4831853B2 (ja) 容量結合型平行平板プラズマエッチング装置およびそれを用いたプラズマエッチング方法
US20110214813A1 (en) Plasma processing method and apparatus
EP1569268A1 (en) Plasma processing system and method and electrode plate of plasma processing system
KR20000077195A (ko) 플라즈마 처리 방법 및 장치
JPH10172792A (ja) プラズマ処理装置
TW201344739A (zh) 電漿處理裝置
TW201841197A (zh) 電漿處理裝置
JP4322350B2 (ja) プラズマ処理装置
JP4220316B2 (ja) プラズマ処理装置
JP2000331996A (ja) プラズマ処理装置
KR102207755B1 (ko) 플라스마 처리 장치
JP4943879B2 (ja) プラズマ処理装置
EP1143497A1 (en) Plasma etching apparatus
KR20100129369A (ko) 수직 듀얼 챔버로 구성된 대면적 플라즈마 반응기
CN114446755A (zh) 用于处理基板的装置和用于处理基板的方法
CN114695041A (zh) 一种等离子体反应器
KR20050059858A (ko) 다수의 전극블록으로 이루어지는 플라즈마 전극과 이를 이용하는 전원공급 시스템

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130111

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150105

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180104

Year of fee payment: 10