KR20160124534A - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR20160124534A
KR20160124534A KR1020150055297A KR20150055297A KR20160124534A KR 20160124534 A KR20160124534 A KR 20160124534A KR 1020150055297 A KR1020150055297 A KR 1020150055297A KR 20150055297 A KR20150055297 A KR 20150055297A KR 20160124534 A KR20160124534 A KR 20160124534A
Authority
KR
South Korea
Prior art keywords
diffusion plate
substrate
process gas
plasma
chamber
Prior art date
Application number
KR1020150055297A
Other languages
English (en)
Other versions
KR101682155B1 (ko
Inventor
정우덕
최규진
박송환
김경훈
한성민
최성하
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to KR1020150055297A priority Critical patent/KR101682155B1/ko
Priority to TW105110177A priority patent/TWI634587B/zh
Priority to US15/566,696 priority patent/US20180122638A1/en
Priority to CN201680021656.9A priority patent/CN107466421B/zh
Priority to JP2017549781A priority patent/JP6499771B2/ja
Priority to PCT/KR2016/004074 priority patent/WO2016171451A1/ko
Publication of KR20160124534A publication Critical patent/KR20160124534A/ko
Application granted granted Critical
Publication of KR101682155B1 publication Critical patent/KR101682155B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

본 발명은 기판 처리 장치에 관한 것으로서, 보다 상세하게는 기판 처리의 균일도를 향상시킬 수 있는 기판 처리 장치에 관한 것이다.
본 발명의 일실시예에 따른 기판 처리 장치는 기판 처리 공간을 제공하는 챔버; 상기 챔버로 공정가스를 공급하는 공정가스 공급라인; 가장자리부에 상기 공정가스가 분사되는 분사홀이 형성된 제1 확산판; 상기 제1 확산판에 대향되어 위치하고, 기판을 지지하는 기판 지지대; 상기 제1 확산판과 상기 기판 지지대의 사이에 제공되고, 복수의 분배홀이 형성된 제2 확산판; 및 상기 제1 확산판과 상기 제2 확산판의 사이 공간에 플라즈마를 형성하는 플라즈마 발생부를 포함할 수 있다.

Description

기판 처리 장치 {Substrate processing apparatus}
본 발명은 기판 처리 장치에 관한 것으로서, 보다 상세하게는 기판 처리의 균일도를 향상시킬 수 있는 기판 처리 장치에 관한 것이다.
기판 처리 장치는 진공상태에서 플라즈마 현상 등 물리적 또는 화학적 반응을 이용하여 기판을 식각하거나 증착하는 등 기판 처리를 수행하는 장치이다. 일반적으로 기판 처리 장치에 의한 기판 처리 공정은 기판 처리를 수행하기 위해 챔버 내에 설치된 샤워헤드를 통하여 반응가스가 주입되며, 주입된 반응가스는 전원 인가에 의하여 챔버 내에 플라즈마를 형성하게 되고, 챔버 내에 형성된 래디칼(radical) 등의 플라즈마 상태 물질에 의하여 기판의 표면에서 기판 처리의 목적에 따라 식각되거나 증착되는 등의 기판 처리가 수행된다.
그러나, 종래의 기판 처리 장치는 기판 처리를 수행하기 위하여 플라즈마가 형성될 때, 챔버 내의 아크(Arc) 발생, 이온의 충돌, 이온주입 등에 의하여 기판 및 기판에 형성된 회로소자에 손상을 초래하여 공정불량을 야기할 수 있다는 문제점이 있다.
또한, 종래의 기판 처리 장치는 반응가스를 분배하는 샤워헤드만으로 반응가스 플라즈마의 균일한 이동 및 분포가 이루어질 수 없어 반응가스 플라즈마가 기판 전체에 균일하게 분포되지 못하고 한 곳에 편중됨으로써 기판에 증착되거나 식각되는 막이 균일한 두께를 가질 수 없게 된다.
한국등록특허공보 제10-0880767호
본 발명은 플라즈마를 기판 전체에 균일하게 분배하여 기판 처리의 균일도를 향상시킬 수 있는 기판 처리 장치를 제공한다.
본 발명의 일실시예에 따른 기판 처리 장치는 기판 처리 공간을 제공하는 챔버; 상기 챔버로 공정가스를 공급하는 공정가스 공급라인; 가장자리부에 상기 공정가스가 분사되는 분사홀이 형성된 제1 확산판; 상기 제1 확산판에 대향되어 위치하고, 기판을 지지하는 기판 지지대; 상기 제1 확산판과 상기 기판 지지대의 사이에 제공되고, 복수의 분배홀이 형성된 제2 확산판; 및 상기 제1 확산판과 상기 제2 확산판의 사이 공간에 플라즈마를 형성하는 플라즈마 발생부를 포함할 수 있다.
상기 제2 확산판의 가장자리와 연결되고, 복수의 가스유도홀이 형성된 측벽부재를 더 포함할 수 있다.
상기 제2 확산판은 위치별로 상이한 상기 분배홀의 유효 면적 밀도를 가질 수 있다.
상기 제2 확산판은 중앙부가 가장자리부보다 상기 분배홀의 유효 면적 밀도가 클 수 있다.
상기 분배홀에 삽입하여 상기 제2 확산판의 개방 면적을 조절하는 삽입체를 더 포함할 수 있다.
상기 삽입체는 중심부가 관통된 관통홀을 포함할 수 있다.
상기 제2 확산판은 복수의 다단 구조로 형성되고, 각 단의 상기 분배홀은 서로 인접한 단 간에 위치가 상이할 수 있다.
상기 제1 확산판과 상기 제2 확산판의 간격을 조절하는 위치조정부를 더 포함할 수 있다.
상기 챔버의 내벽에 인접하여 상기 기판 지지대의 둘레를 따라 대칭되도록 위치하고, 다단으로 이루어진 복수의 배기 포트를 더 포함할 수 있다.
상기 기판 지지대의 둘레를 따라 상기 기판 지지대의 가장자리부로부터 연장되는 차단링을 더 포함할 수 있다.
본 발명의 일실시예에 따른 기판 처리 장치는 공정가스를 분배하는 제1 확산판과 플라즈마를 분배하는 제2 확산판을 사용하여 플라즈마의 균일한 분포를 이룰 수 있고, 이에 따라 식각, 증착 등의 기판 처리를 기판 전체에 균일하게 수행할 수 있다.
또한, 플라즈마의 형성시에 제2 확산판을 통해 기판이 플라즈마에 직접 노출되지 않도록 할 수 있어 챔버 내의 아크(Arc) 발생, 이온의 충돌, 이온주입 등에 의하여 기판 및 기판에 형성된 회로소자에 손상을 초래하던 문제를 해결할 수 있고, 이에 따라 기판 및 기판에 형성된 회로소자의 공정불량을 최소화할 수도 있다. 그리고 제2 확산판을 접지하여 플라즈마에서 전하를 띤 이온 및 전자를 필터링함으로써 중성의 반응종만이 기판 상으로 유입되게 할 수 있어 전하를 띤 이온과 전자가 기판 및 기판 주변에 미치는 악영향을 최소화할 수 있고, 플라즈마에 의해 기판 및 기판 주변이 손상되지 않도록 할 수 있다.
한편, 제2 확산판의 분배홀에 삽입되는 삽입체를 이용하여 분배홀의 유효 면적 밀도를 간단하게 조절할 수 있고, 이로 인해 공정 조건이 달라지더라도 간단하게 중성의 반응종(또는 플라즈마)의 균일한 분포를 이룰 수 있다. 그리고 제2 확산판을 다단 구조로 형성하여 중성의 반응종(또는 플라즈마)의 흐름을 제어할 수도 있다.
도 1은 본 발명의 일실시예에 따른 기판 처리 장치를 나타낸 단면도.
도 2는 본 발명의 일실시예에 따른 제2 확산판과 측벽부재를 나타낸 그림.
도 3은 본 발명의 일실시예에 따른 제2 확산판의 변형예를 나타낸 단면도.
도 4는 본 발명의 일실시예에 따른 제2 확산판의 분배홀에 삽입되는 삽입체를 나타내는 그림.
도 5는 본 발명의 일실시예에 따른 제2 확산판의 다단 구조를 설명하기 위한 개념도.
이하에서는 첨부된 도면을 참조하여 본 발명의 실시예를 더욱 상세히 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 설명 중, 동일 구성에 대해서는 동일한 참조부호를 부여하도록 하고, 도면은 본 발명의 실시예를 정확히 설명하기 위하여 크기가 부분적으로 과장될 수 있으며, 도면상에서 동일 부호는 동일한 요소를 지칭한다.
도 1은 본 발명의 일실시예에 따른 기판 처리 장치를 나타낸 단면도이다.
도 1을 참조하면, 본 발명의 일실시예에 따른 기판 처리 장치는 기판 처리 공간을 제공하는 챔버(110); 상기 챔버(110)로 공정가스를 공급하는 공정가스 공급라인(120); 가장자리부에 상기 공정가스가 분사되는 분사홀(131)이 형성된 제1 확산판(130); 상기 제1 확산판(130)에 대향되어 위치하고, 기판(10)을 지지하는 기판 지지대(140); 상기 제1 확산판(130)과 상기 기판 지지대(140)의 사이에 제공되고, 복수의 분배홀(151)이 형성된 제2 확산판(150); 및 상기 제1 확산판(130)과 상기 제2 확산판(150)의 사이 공간에 플라즈마(164)를 형성하는 플라즈마 발생부(160)를 포함할 수 있다.
챔버(110)는 기판 처리가 수행되는 공간을 제공하며, 챔버(110) 내부에 진공이 형성되도록 할 수 있고, 효과적인 기판 처리를 위해 챔버(110) 내부에 플라즈마를 형성할 수 있다. 그리고 챔버(110)는 가스의 배기를 위한 배기수단(210)을 포함할 수 있는데, 예를 들어 챔버(110)의 하측에 배기수단(210)이 형성될 수 있다. 또한, 챔버(110)는 금속, 세라믹, 유리, 폴리머 및 합성물을 포함하는 다양한 재료로 제조될 수 있고, 챔버(110)의 형상은 직각형, 돔형, 실린더형 등을 포함할 수 있다.
공정가스 공급라인(120)은 공정가스 공급원(미도시)으로부터 챔버(110)로 공정가스를 공급한다. 상기 공정가스는 식각가스와 박막 증착용 원료가스 등을 포함할 수 있는데, 식각 공정시에는 식각가스를 공급하고, 박막 증착 공정시에는 박막 증착용 원료가스를 공급할 수 있으며, 기판 처리의 목적에 따라 알맞은 공정가스를 공급할 수 있다. 상기 식각가스는 삼불화질소(NF3), 암모니아(NH3) 등의 자연 산화막 식각가스를 포함할 수 있고, 상기 박막 증착용 원료가스는 모노실란(SiH4), 포스핀(PH3) 등의 실리콘 증착 가스를 포함할 수 있는데, 증착 박막의 종류에 따라 알맞게 선택될 수 있다. 또한, 상기 공정가스로 상기 식각가스 또는 상기 박막 증착용 원료가스와 함께 수소(H2), 질소(N2), 아르곤(Ar) 등의 불활성 가스가 공급될 수도 있다.
제1 확산판(130)은 상기 공정가스를 분배하는데, 가장자리부에 상기 공정가스가 분사되는 분사홀(131)이 형성될 수 있다. 이러한 제1 확산판(130)을 통하여 상기 공정가스가 분배되어 분사되므로 기판(10) 상에 균일하게 상기 공정가스가 도달할 수 있다. 상기 공정가스의 균일한 분배를 위해 공정가스 공급라인(120)이 챔버(110)의 중앙부에 위치할 수 있는데, 이러한 경우에 분사홀(131)이 중앙부에 위치하게 되면 공정가스 공급라인(120)과 연통되는 중앙부에서 다른 부분보다 상기 공정가스가 많이 분사되어 기판(10) 상에 도달하는 상기 공정가스가 위치에 따라 불균일해지고 상기 공정가스에 의한 기판 처리도 위치에 따라 불균일해진다. 하지만, 본 발명의 일실시예와 같이 가장자리부에 분사홀(131)이 형성되면, 공정가스 공급라인(120)과 연통되지 않고 상기 공정가스가 우회되어 가장자리로 균일하게 분배된 후에 분사되므로 기판(10) 상에 균일하게 상기 공정가스가 도달할 수 있다. 한편, 분사홀(131)의 정확한 위치, 분사 방향, 개수 등은 공정 조건에 따라 챔버(110) 내에 균일한 상기 공정가스의 흐름을 만들 수 있도록 알맞게 정해질 수 있다.
기판 지지대(140)는 제1 확산판(130)에 대향되어 위치하고, 기판(10)을 지지한다. 기판 지지대(140)는 기판(10)을 지지하기 위해 챔버(110)의 내측 하부에 배치될 수 있고, 기판(10)이 기판 지지대(140) 상에 지지되며, 기판(10)이 정전기적으로 유지될 수 있도록 대전가능한 정전척 등을 포함할 수 있다.
제2 확산판(150)은 제1 확산판(130)과 기판 지지대(140)의 사이에 제공될 수 있고, 복수의 분배홀(151)이 형성될 수 있다. 제1 확산판(130)만 사용하게 되면, 챔버(110) 내에 균일한 상기 공정가스의 흐름을 만들 수 있으나, 제1 확산판(130)과 기판(10) 사이의 거리로 인하여 상기 공정가스(또는 플라즈마)의 흐름이 배기수단(210)에 의한 배기 방향으로 편중되어 기판(10) 상에 상기 공정가스(또는 플라즈마)의 균일한 분포를 이룰 수 없다. 하지만, 제2 확산판(150)을 함께 사용하면, 상기 공정가스(또는 플라즈마)의 흐름을 제어할 수 있어 기판(10) 상에 상기 공정가스(또는 플라즈마)의 균일한 분포를 이룰 수 있다.
그리고 제2 확산판(150)은 접지되거나 전압이 인가되어 플라즈마에서 전하를 띤 이온 및 전자를 필터링할 수 있다. 즉, 상기 플라즈마가 제2 확산판(150)을 거치게 되면, 이온 및 전자가 차단되어 중성의 반응종만이 기판(10) 상에서 반응되도록 할 수 있다. 제2 확산판(150)은 상기 플라즈마가 적어도 한번 제2 확산판(150)에 부딪힌 다음, 기판(10) 상에 도달하도록 할 수 있고, 상기 플라즈마가 접지(또는 다른 극성의 전압이 인가)된 제2 확산판(150)에 부딪힐 경우, 에너지가 큰 이온 및 전자가 제2 확산판(150)에 흡수될 수 있다. 이에 따라 전하를 띤 이온과 전자가 기판(10) 및 기판(10) 주변에 미치는 악영향을 최소화할 수 있고, 오직 중성의 반응종에만 기판(10) 또는 기판(10) 상의 박막이 반응함으로 인해 본 발명에 따른 기판 처리 장치를 장기적으로 사용하여도 챔버(110) 내부의 주변 파트 사용이 가능하며, 기판(10)의 표면에도 손상이 없을 수 있다. 한편, 제2 확산판(150)은 상기 플라즈마의 빛도 차단할 수 있는데, 상기 플라즈마의 빛이 제2 확산판(150)에 부딪히게 되어 투과하지 못하게 될 수 있다. 그리고 제2 확산판(150)은 2차 전극을 가하지 않고, 챔버(110)와 접촉을 통하여 접지될 수도 있다.
또한, 제2 확산판(150)은 플라즈마의 형성시에 기판(10)이 플라즈마에 직접 노출되지 않도록 할 수 있어 챔버(110) 내의 아크(Arc) 발생, 이온의 충돌, 이온주입 등에 의하여 기판(10) 및 기판(10)에 형성된 회로소자에 손상을 초래하던 문제를 해결할 수도 있다. 이에 따라 기판 처리 공정에 따른 기판(10) 및 기판(10)에 형성된 회로소자의 공정불량을 최소화할 수도 있다.
플라즈마 발생부(160)는 제1 확산판(130)과 제2 확산판(150)의 사이 공간에 플라즈마(164)를 형성할 수 있다. 플라즈마 발생부(160)는 상기 공정가스를 여기시켜 플라즈마(164)를 형성하는데, 방전관(162)과 방전관(162)을 감싸도록 마련된 안테나(161, 또는 유도결합코일)을 포함할 수 있다. 방전관(162)은 사파이어, 퀄츠, 세라믹 등의 재질로 제작될 수 있으며, 소정의 돔(또는 통) 형상으로 형성될 수 있다. 방전관(162)은 챔버(110)의 내부 상측에 제공될 수 있는데, 상측은 공정가스 공급라인(120)과 연결될 수 있고, 하측은 제2 확산판(150)과 플라즈마(164)의 형성 공간(즉, 제1 확산판과 제2 확산판의 사이 공간)을 마련할 수 있다. 여기서, 방전관(162)의 상측과 제1 확산판(130)의 사이 공간으로 상기 공정가스가 분배되어 제1 확산판(130)의 분사홀(131)을 통해 상기 공정가스가 분사될 수 있다. 안테나(161)는 챔버(110)의 내부에서 방전관(162)을 감싸도록 제공될 수 있고, 전원부(163)로부터 전원을 공급받아 방전관(162) 내의 상기 공정가스를 여기시켜 플라즈마(164)를 형성할 수 있다. 한편, 챔버(110)의 내부 공간에 전극을 제공한 후, 제공된 전극에 전원을 인가하여 플라즈마를 형성할 수도 있다.
본 발명에 따른 기판 처리 장치는 제1 확산판(130)을 통해 챔버(110)의 중앙부에 위치한 공정가스 공급라인(120)을 우회하여 분사홀(131)을 통해 상기 공정가스가 균일하게 분사될 수 있고, 제1 확산판(130)과 제2 확산판(150)의 사이 공간에서 상기 공정가스가 넓게 퍼질 수 있으며, 제2 확산판(150)의 분배홀(151)을 통해 중성의 반응종만을 기판(10) 상에 균일하게 유입시킬 수 있다. 이에 본 발명에 따른 기판 처리 장치는 기판(10) 전체에 균일하게 기판 처리를 수행할 수 있다. 제1 확산판(130)과 제2 확산판(150)은 각각 가스(예를 들어, 공정가스, 플라즈마, 반응종 등)의 흐름에 영향을 미쳐 결과적으로 중성의 반응종이 기판(10) 상에 균일하게 분포하도록 할 수 있다.
도 2는 본 발명의 일실시예에 따른 제2 확산판과 측벽부재를 나타낸 그림으로, 도 2(a)는 제2 확산판의 평면도이고, 도 2(b)는 측벽부재의 사시도이며, 도 2(c)는 제2 확산판과 측벽부재의 결합 사시도이다.
도 2를 참조하면, 본 발명의 기판 처리 장치는 제2 확산판(150)의 가장자리와 연결되고, 복수의 가스유도홀(171)이 형성된 측벽부재(170)를 더 포함할 수 있다. 측벽부재(170)는 제2 확산판(150)과 결합될 수 있고, 제2 확산판(150)을 통과한 중성의 반응종이 기판(10) 상에서 반응할 수 있는 공간을 제공할 수 있다. 측벽부재(170)가 없으면, 배기수단(210)에 의한 배기로 인해 상기 반응종이 기판(10) 상에서 충분히 반응하지 못하고 배기되어 버리지만, 측벽부재(170)를 포함하면, 상기 반응종의 흐름을 제어할 수 있고, 이를 통해 상기 반응종이 기판(10) 상에서 충분히 반응하도록 할 수 있다. 측벽부재(170)에는 복수의 가스유도홀(171)이 형성되어 있는데, 배기수단(210)의 흡입(또는 펌핑)으로 인한 가스의 흐름을 가스유도홀(171)의 크기, 위치 및 개수에 따라 조절할 수 있고, 이로 인해 상기 반응종의 흐름을 제어할 수 있다. 이에 따라 플라즈마(164)의 형성 공간에도 가스의 흐름이 조절될 수 있다. 그리고 배기수단(210)의 흡입(또는 펌핑)으로 가스 상태의 공정(예를 들어, 식각 또는 증착) 부산물이 가스유도홀(171)로 배기될 수 있다. 또한, 가스유도홀(171)의 크기, 위치 및 개수에 따라 상기 반응종의 이동 속도 및 배기 속도를 조절할 수도 있다. 상기 반응종은 제2 확산판(150)의 분배홀(151)을 통과하여 기판(10) 상에서 반응하는데, 측벽부재(170)의 가스유도홀(171)을 통해 기판(10) 상에 도달한 상기 반응종의 흐름을 제어할 수 있다. 이에 상기 반응종의 이동 속도를 조절할 수도 있고, 상기 반응종이 기판(10) 상에 머물러 기판(10) 상에서 충분히 반응할 수 있는 시간을 제공할 수 있다. 한편, 제2 확산판(150)과 측벽부재(170)는 일체형으로 형성될 수도 있다.
도 3은 본 발명의 일실시예에 따른 제2 확산판의 변형예를 나타낸 단면도로, 도 3(a)는 큰 분배홀이 형성된 제2 확산판이고, 도 3(b)는 작은 분배홀이 형성된 제2 확산판이며, 도 3(c)는 중앙부의 큰 분배홀과 가장자리부의 작은 분배홀이 형성된 제2 확산판이다.
도 3을 참조하면, 제2 확산판(150)은 위치별로 상이한 분배홀(151)의 유효 면적 밀도를 가질 수 있다. 여기서, 유효 면적 밀도는 단위 면적당 분배홀(151)의 총 면적으로, 다시 말해 제2 확산판(150)의 단위 면적당 개방 면적(즉, 분배홀에 의한 개방 면적)을 나타낸다. 제2 확산판(150)에 전체적으로 도 3(a)와 같이 큰 분배홀(151a)을 형성할 수 있는데, 분배홀(151a)이 너무 크면, 상기 반응종의 흐름이 배기수단(210)에 의한 배기 방향으로 편중되어 기판(10) 상에 상기 반응종이 균일하게 분포하지 못하게 될 수 있다. 그리고 제2 확산판(150)에 전체적으로 도 3(b)와 같이 작은 분배홀(151b)을 형성할 수 있는데, 분배홀(151b)이 너무 작으면, 상기 반응종의 이동 속도가 느려져 공정 시간이 오래 걸리게 될 수 있다. 또한, 제2 확산판(150)에 전체적으로 동일한 크기의 분배홀(151)이 형성되면, 가장자리에 형성된 제1 확산판(130)의 분사홀(131)의 위치와 가장자리에 제공된 배기수단(210)에 의한 배기 방향으로 인해 기판(10) 상에 상기 반응종이 기판(10)의 중앙부보다 기판(10)의 가장자리부에 더 많이 공급되어 균일하게 분포하지 못하게 될 수 있는데, 위치별로 분배홀(151)의 크기를 다르게 하거나 분배홀(151)의 밀도를 다르게 하여 기판(10) 상에 상기 반응종이 균일하게 분포하도록 할 수 있다. 따라서, 제2 확산판(150)은 위치별로 분배홀(151)의 크기를 다르게 하거나 분배홀(151)의 밀도를 다르게 하여 위치별로 상이한 분배홀(151)의 유효 면적 밀도를 가질 수 있다. 예를 들어, 제2 확산판(150)의 중앙부에 위치한 분배홀(151)을 가장자리부에 위치한 분배홀(151)보다 더 크게 하거나 분배홀(151)의 크기를 중심과의 거리에 따라 점진적으로 변화시킬 수도 있다.
한편, 제2 확산판(150)은 중앙부가 가장자리부보다 분배홀(151)의 유효 면적 밀도가 클 수 있다. 예를 들어, 도 3(c)와 같이 중앙부의 분배홀(151a)을 가장자리부의 분배홀(151b)보다 크게 하여 중앙부가 가장자리부보다 분배홀(151)의 유효 면적 밀도가 크게 할 수 있다. 이러한 경우, 제2 확산판(150)의 중앙부로 유입되는 상기 반응종을 증가시킬 수 있어 기판(10) 상에 상기 반응종이 균일하게 분포하도록 할 수 있다. 일반적으로, 제1 확산판(130)의 분사홀(131)이 가장자리부에 위치하고 배기수단(210)에 의한 배기 방향도 가장자리 방향이기 때문에 가스의 흐름이 가장자리로 편중되게 되며, 이에 따라 제2 확산판(150)의 중앙부에서는 상기 반응종이 기판(10) 상에 도달하는 양이 작아 기판(10)의 중앙부에서 반응이 잘 일어나지 않게 된다. 이러한 이유로, 제2 확산판(150)의 중앙부에 형성된 분배홀(151a)의 유효 면적 밀도를 제2 확산판(150)의 가장자리부에 형성된 분배홀(151b)의 유효 면적 밀도보다 크게 하면, 제2 확산판(150)의 중앙부로 유입되는 상기 반응종의 유입량을 늘릴 수 있고, 이에 따라 기판(10) 상에 상기 반응종이 균일하게 분포하도록 할 수 있다.
도 4는 본 발명의 일실시예에 따른 제2 확산판의 분배홀에 삽입되는 삽입체를 나타내는 그림이다.
도 4를 참조하면, 본 발명의 기판 처리 장치는 분배홀(151)에 삽입하여 제2 확산판(150)의 개방 면적을 조절하는 삽입체(220)를 더 포함할 수 있다. 삽입체(220)는 마개 형상으로 형성될 수 있는데, 삽입체(220a)를 분배홀(151)에 삽입하여 막음 처리할 수 있다. 이러한 경우, 분배홀(151) 배치 구조의 변화를 위해 제2 확산판(150)을 다시 형성할 필요없이 삽입체(220a)의 삽입만으로도 쉽게 분배홀(151)의 배치 구조를 변화시킬 수 있고, 위치별로 상이한 분배홀(151)의 유효 면적 밀도를 갖게 할 수 있다. 이에 따라 삽입체(220a)의 삽입으로 간단하게 상기 반응종의 흐름을 조절할 수 있다.
삽입체(220b)는 중심부가 관통된 관통홀(221)을 포함할 수 있다. 관통홀(221)이 형성된 삽입체(220b)를 분배홀(151)에 삽입하면, 분배홀(151)의 크기를 조절할 수 있고, 미세한 상기 반응종의 흐름을 조절할 수도 있다. 이를 통해 챔버(110)의 조건 및 펌핑 스피드 등의 공정 조건에 따른 미세한 차이를 삽입체(220b)의 삽입을 통해 분배홀(151)의 크기를 조절함으로써 기판(10) 상에 상기 반응종이 더욱 균일하게 분포하도록 할 수 있다. 그리고 관통홀(221)은 다양한 크기로 형성될 수 있고, 다양한 크기의 관통홀(221)을 통해 더욱 미세한 상기 반응종의 흐름을 조절할 수 있다.
한편, 막혀 있는 삽입체(220a)와 관통홀(221)이 형성된 삽입체(220b)를 함께 사용할 수 있고, 이러한 경우에 더욱 정밀하게 상기 반응종의 흐름을 조절할 수 있다.
도 5는 본 발명의 일실시예에 따른 제2 확산판의 다단 구조를 설명하기 위한 개념도로, 도 5(a)는 위치만 다른 두 제2 확산판을 나타내고, 도 5(b)는 위치와 크기 모두 다른 두 제2 확산판을 나타낸다.
도 5를 참조하면, 제2 확산판(150)은 복수의 다단 구조로 형성될 수 있고, 각 단의 분배홀(151)은 서로 인접한 단 간에 위치가 상이할 수 있다. 서로 인접한 단의 분배홀(151)은 도 5(a)와 같이 위치만 서로 다를 수 있고, 도 5(b)와 같이 위치와 크기 모두 서로 다를 수 있으며, 위치는 같고 크기만 서로 다를 수도 있다. 이러한 경우, 복수의 제2 확산판(150)으로 상기 반응종의 흐름을 제어할 수 있는데, 기판(10)의 위치에 따라 도달하는 상기 반응종의 양과 상기 반응종의 이동(또는 유입) 속도를 조절할 수 있다. 한편, 제2 확산판(150)과 기판(10) 사이의 거리가 가까울 경우, 상기 반응종의 유입 속도가 빨라지고 상기 반응종이 기판(10) 상에서 반응할 수 있는 시간도 짧아져 분배홀(151)이 형성된 위치와 분배홀(151)이 형성되지 않은 위치에서의 기판 처리의 균일도 차이가 발생하게 된다. 이에 제2 확산판(150)을 복수의 다단 구조로 형성하면, 제2 확산판(150)과 기판(10) 사이의 거리가 가까울 경우에도 상기 반응종의 흐름에 병목을 주어 상기 반응종의 유입 속도를 낮추고 상기 반응종을 효율적으로 분배함으로써 상기 반응종이 기판(10) 상에 균일하게 분포하도록 할 수 있다.
본 발명의 기판 처리 장치는 제1 확산판(130)과 제2 확산판(150)의 간격을 조절하는 위치조정부(미도시)를 더 포함할 수 있다. 상기 위치조정부는 제2 확산판(150)의 위치를 조정하여 제1 확산판(130)과 제2 확산판(150)의 간격을 조절할 수 있다. 제1 확산판(130)과 제2 확산판(150)의 간격을 조절하면, 플라즈마(164)의 형성 공간을 조절할 수 있고, 상기 공정가스가 넓게 퍼질 수 있는 충분한 공간을 제공할 수 있으며, 제1 확산판(130)과 제2 확산판(150)의 사이 공간에 상기 공정가스가 균일하게 분포되는 제1 확산판(130)과 제2 확산판(150)의 간격에서 플라즈마(164)를 형성할 수 있다. 그리고 제2 확산판(150)의 위치를 조정하여 기판(10)과 제2 확산판(150)의 간격을 조절할 수도 있는데, 제2 확산판(150)의 위치에 따라 제1 확산판(130)과 제2 확산판(150)의 간격도 조절된다. 기판(10)과 제2 확산판(150)의 간격이 좁을수록 식각 등의 기판 처리가 보다 균일해지고, 기판 처리율(예를 들어, 식각율)이 보다 증가될 수 있다. 그리고 식각 공정에서는 선택비(예를 들어, 자연 산화막과 질화막의 에칭비율)도 보다 증가할 수 있다. 한편, 기판(10)과 제2 확산판(150)의 간격이 약 50 ㎜ 이하일 경우, 분배홀(151)의 직경이 10 ㎜ 이상일 때에 기판(10) 표면을 식각한 다음 기판(10) 표면에 박막을 증착하게 되면, 제2 확산판(150)의 분배홀(151) 배치 형상으로 필름 칼라가 보이는 문제가 생기는데, 기판(10)과 제2 확산판(150)의 간격이 약 50 ㎜ 이하에서는 분배홀(151)의 직경을 10 ㎜보다 작게 하여 이러한 문제를 해결할 수 있다. 이때, 제2 확산판(150)을 다단 구조로 형성하여 상기 반응종의 흐름에 병목을 줌으로써 더욱 균일한 식각, 증착 등의 기판 처리를 얻어낼 수도 있다. 상기 필름 칼라는 식각이 균일하지 못하여 기판(10) 표면이 평탄하지 않거나 증착되는 박막의 두께가 균일하지 않아 발생하는 것으로, 분배홀(151)의 직경을 10 ㎜보다 작게 되면 상기 반응종의 흐름이 균일해져서 상기 필름 칼라를 방지할 수 있다.
본 발명의 기판 처리 장치는 챔버(110)의 내벽에 인접하여 기판 지지대(140)의 둘레를 따라 대칭되도록 위치하고, 다단으로 이루어진 복수의 배기 포트(180)를 더 포함할 수 있다. 배기 포트(180)는 다단으로 이루어질 수 있는데, 기판 지지대(140)의 둘레를 따라 대칭되도록 복수의 배기 포트(180)가 형성된 배기포트판(181)이 다단으로 구성되어 이루어질 수 있다. 각 단의 배기 포트(180)의 크기 및 모양을 변화하여 가스의 흐름을 조절할 수 있고, 기판(10) 상에 상기 반응종이 균일하게 분포하도록 할 수 있는데, 배기 포트(180)로 인해 챔버(110) 내에 진공도를 유지할 수 있으면서도 상기 반응종의 흐름이 기판(10) 전체에 균일하도록 조절할 수 있고, 상기 공정 부산물이 배기되게 할 수도 있다. 배기포트판(181)은 고리 모양의 판상(181a)으로 형성될 수도 있고, 고리 모양의 판상에서 절곡되어 측벽을 포함할 수도 있다. 상기 측벽의 길이는 짧을 수도 있고(181b), 길 수도 있다(181c). 상기 측벽은 배기 흐름을 유도할 수 있는데, 배기 포트(180)로 배기되는 배기 가스가 다른 곳으로 새어 나가지 못하게 하고, 배기수단(210)으로 잘 배기될 수 있도록 배기 흐름을 유도할 수 있다. 한편, 최상단의 배기포트판(181a)은 측벽부재(170)와 연결될 수도 있는데, 배기포트판(181a)과 측벽부재(170)가 연결되어 가스유도홀(171)로 배기되는 배기 가스가 다른 곳으로 새어 나가지 않고 배기 포트(180)로 잘 배기되도록 할 수 있다.
본 발명의 기판 처리 장치는 기판 지지대(140)의 둘레를 따라 기판 지지대(140)의 가장자리부로부터 연장되는 차단링(190)을 더 포함할 수 있다. 차단링(190)은 기판(10)이 이동시에 안정적으로 기판 지지대(140)에 지지될 수 있도록 기판(10)을 가이드할 수 있다. 그리고 차단링(190)은 기판 지지대(140)와 측벽부재(170) 사이의 틈을 줄여주어 배기수단(210)에 의한 배기로 인해 상기 반응종이 기판(10) 상에서 반응하지 못하고 배기되는 것을 최소화할 수 있다. 즉, 상기 반응종이 제2 확산판(150)의 분배홀(151)을 통과하여 기판(10) 상에서 반응하고, 측벽부재(170)의 가스유도홀(171)을 통해 배기 포트(180)로 배기될 수 있도록 상기 반응종의 흐름을 제어할 수 있다. 또한, 배기포트판(181a)의 측벽 역할을 대신하여 배기 포트(180a)로 배기되는 배기 가스가 다른 곳으로 새어 나가는 것을 최소화하고, 배기수단(210)으로 잘 배기될 수 있도록 배기 흐름을 유도할 수 있다. 즉, 식각, 증착 등의 공정 부산물을 포함하는 배기 가스의 배기경로를 측벽부재(170)의 가스유도홀(171)을 통과하여 배기 포트(180)를 통해 배기수단(210)으로 배기 가스가 배기될 수 있도록 유도할 수 있다.
본 발명에 따른 기판 처리 장치는 제1 확산판(130)과 제2 확산판(150)은 각각 가스(예를 들어, 공정가스, 플라즈마, 반응종 등)의 흐름에 영향을 미쳐 결과적으로 중성의 반응종이 기판(10) 상에 균일하게 분포하도록 할 수 있다. 그리고 측벽부재(170), 배기 포트(180) 등을 통하여 더욱 정밀한 기판 처리를 수행할 수 있다. 이와 같이, 본 발명의 기판 처리 장치는 여러 구성 요소들로 가스 흐름을 조절하여 기판(10) 전체에 균일하게 식각, 증착 등의 기판 처리를 수행할 수 있고, 구성 요소들의 구조 변화를 통해 더욱 균일한 기판 처리를 수행할 수 있다.
이처럼, 본 발명의 일실시예에 따른 기판 처리 장치는 공정가스를 분배하는 제1 확산판과 플라즈마를 분배하는 제2 확산판을 사용하여 플라즈마의 균일한 분포를 이룰 수 있고, 이에 따라 식각, 증착 등의 기판 처리를 기판 전체에 균일하게 수행할 수 있다. 또한, 플라즈마의 형성시에 제2 확산판을 통해 기판이 플라즈마에 직접 노출되지 않도록 할 수 있어 챔버 내의 아크(Arc) 발생, 이온의 충돌, 이온주입 등에 의하여 기판 및 기판에 형성된 회로소자에 손상을 초래하던 문제를 해결할 수 있고, 이에 따라 기판 및 기판에 형성된 회로소자의 공정불량을 최소화할 수도 있다. 그리고 제2 확산판을 접지하여 플라즈마에서 전하를 띤 이온 및 전자를 필터링함으로써 중성의 반응종만이 기판 상으로 유입되게 할 수 있어 전하를 띤 이온과 전자가 기판 및 기판 주변에 미치는 악영향을 최소화할 수 있고, 플라즈마에 의해 기판 및 기판 주변이 손상되지 않도록 할 수 있다. 한편, 제2 확산판의 분배홀에 삽입되는 삽입체를 이용하여 분배홀의 유효 면적 밀도를 간단하게 조절할 수 있고, 이로 인해 공정 조건이 달라지더라도 간단하게 중성의 반응종의 균일한 분포를 이룰 수 있다. 그리고 제2 확산판을 다단 구조로 형성하여 중성의 반응종의 흐름을 제어할 수도 있다. 또한, 각 단의 배기 포트의 크기 및 모양을 변화하여 가스의 흐름을 조절할 수 있고, 기판 상에 상기 반응종이 균일하게 분포하도록 할 수 있는데, 배기 포트로 인해 챔버 내에 진공도를 유지할 수 있으면서도 상기 반응종의 흐름이 기판 전체에 균일하도록 조절할 수 있고, 상기 공정 부산물이 배기되게 할 수도 있다.
이상에서 본 발명의 바람직한 실시예에 대하여 도시하고 설명하였으나, 본 발명은 상기한 실시예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 본 발명이 속하는 분야에서 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 발명의 기술적 보호범위는 아래의 특허청구범위에 의해서 정하여져야 할 것이다.
10 : 기판 110 : 챔버
120 : 공정가스 공급라인 130 : 제1 확산판
131 : 분사홀 140 : 기판 지지대
150 : 제2 확산판 151 : 분배홀
160 : 플라즈마 발생부 161 : 안테나
162 : 방전관 163 : 전원부
164 : 플라즈마 170 : 측벽부재
171 : 가스유도홀 180 : 배기 포트
181 : 배기포트판 190 : 차단링
210 : 배기수단 220 : 삽입체
221 : 관통홀

Claims (10)

  1. 기판 처리 공간을 제공하는 챔버;
    상기 챔버로 공정가스를 공급하는 공정가스 공급라인;
    가장자리부에 상기 공정가스가 분사되는 분사홀이 형성된 제1 확산판;
    상기 제1 확산판에 대향되어 위치하고, 기판을 지지하는 기판 지지대;
    상기 제1 확산판과 상기 기판 지지대의 사이에 제공되고, 복수의 분배홀이 형성된 제2 확산판; 및
    상기 제1 확산판과 상기 제2 확산판의 사이 공간에 플라즈마를 형성하는 플라즈마 발생부를 포함하는 기판 처리 장치.
  2. 청구항 1에 있어서,
    상기 제2 확산판의 가장자리와 연결되고, 복수의 가스유도홀이 형성된 측벽부재를 더 포함하는 기판 처리 장치.
  3. 청구항 1에 있어서,
    상기 제2 확산판은 위치별로 상이한 상기 분배홀의 유효 면적 밀도를 갖는 기판 처리 장치.
  4. 청구항 3에 있어서,
    상기 제2 확산판은 중앙부가 가장자리부보다 상기 분배홀의 유효 면적 밀도가 큰 기판 처리 장치.
  5. 청구항 1에 있어서,
    상기 분배홀에 삽입하여 상기 제2 확산판의 개방 면적을 조절하는 삽입체를 더 포함하는 기판 처리 장치.
  6. 청구항 5에 있어서,
    상기 삽입체는 중심부가 관통된 관통홀을 포함하는 기판 처리 장치.
  7. 청구항 1에 있어서,
    상기 제2 확산판은 복수의 다단 구조로 형성되고,
    각 단의 상기 분배홀은 서로 인접한 단 간에 위치가 상이한 기판 처리 장치.
  8. 청구항 1에 있어서,
    상기 제1 확산판과 상기 제2 확산판의 간격을 조절하는 위치조정부를 더 포함하는 기판 처리 장치.
  9. 청구항 1에 있어서,
    상기 챔버의 내벽에 인접하여 상기 기판 지지대의 둘레를 따라 대칭되도록 위치하고, 다단으로 이루어진 복수의 배기 포트를 더 포함하는 기판 처리 장치.
  10. 청구항 1에 있어서,
    상기 기판 지지대의 둘레를 따라 상기 기판 지지대의 가장자리부로부터 연장되는 차단링을 더 포함하는 기판 처리 장치.
KR1020150055297A 2015-04-20 2015-04-20 기판 처리 장치 KR101682155B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020150055297A KR101682155B1 (ko) 2015-04-20 2015-04-20 기판 처리 장치
TW105110177A TWI634587B (zh) 2015-04-20 2016-03-31 基板處理裝置
US15/566,696 US20180122638A1 (en) 2015-04-20 2016-04-19 Substrate processing apparatus
CN201680021656.9A CN107466421B (zh) 2015-04-20 2016-04-19 基板处理装置
JP2017549781A JP6499771B2 (ja) 2015-04-20 2016-04-19 基板処理装置
PCT/KR2016/004074 WO2016171451A1 (ko) 2015-04-20 2016-04-19 기판 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150055297A KR101682155B1 (ko) 2015-04-20 2015-04-20 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20160124534A true KR20160124534A (ko) 2016-10-28
KR101682155B1 KR101682155B1 (ko) 2016-12-02

Family

ID=57143453

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150055297A KR101682155B1 (ko) 2015-04-20 2015-04-20 기판 처리 장치

Country Status (6)

Country Link
US (1) US20180122638A1 (ko)
JP (1) JP6499771B2 (ko)
KR (1) KR101682155B1 (ko)
CN (1) CN107466421B (ko)
TW (1) TWI634587B (ko)
WO (1) WO2016171451A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200056326A (ko) * 2018-11-14 2020-05-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
KR20200072557A (ko) * 2017-12-27 2020-06-22 매슨 테크놀로지 인크 플라즈마 처리 장치 및 방법
TW202044320A (zh) * 2019-01-23 2020-12-01 美商蘭姆研究公司 包含下游電漿用雙離子過濾器的基板處理系統
CN116884826A (zh) * 2019-01-25 2023-10-13 玛特森技术公司 隔栅中的等离子体后气体注入
GB201904587D0 (en) * 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus
KR102187121B1 (ko) * 2019-04-30 2020-12-07 피에스케이 주식회사 기판 처리 장치
CN110170286B (zh) * 2019-07-06 2021-10-01 河南佰利联新材料有限公司 一种可在线调节的干气密封氧化加料反应器
CN111471980B (zh) * 2020-04-15 2022-05-27 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法
EP4337814A1 (en) * 2021-05-11 2024-03-20 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010051570A (ko) * 1999-11-10 2001-06-25 니시가키 코지 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치
US20090025877A1 (en) * 2003-11-14 2009-01-29 Gwang Ho Hur Flat panel display manufacturing apparatus
KR100880767B1 (ko) 1999-05-06 2009-02-02 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20090024520A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 배기 유닛 및 이를 이용하는 배기방법, 상기 배기 유닛을포함하는 기판 처리 장치
KR20090024518A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4405496B2 (ja) * 1997-02-24 2010-01-27 株式会社エフオーアイ プラズマ処理装置
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2005251803A (ja) * 2004-03-01 2005-09-15 Canon Inc プラズマ処理装置およびその設計方法
JPWO2006129643A1 (ja) * 2005-05-31 2009-01-08 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP2008282888A (ja) * 2007-05-09 2008-11-20 Canon Anelva Corp 真空処理装置および真空処理方法
JP4883368B2 (ja) * 2007-07-31 2012-02-22 三菱マテリアル株式会社 プラズマエッチング用単結晶シリコン電極板
KR20090024522A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
ATE551439T1 (de) * 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
JP5685094B2 (ja) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
TWI638587B (zh) * 2011-10-05 2018-10-11 美商應用材料股份有限公司 對稱電漿處理腔室

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100880767B1 (ko) 1999-05-06 2009-02-02 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20010051570A (ko) * 1999-11-10 2001-06-25 니시가키 코지 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치
US20090025877A1 (en) * 2003-11-14 2009-01-29 Gwang Ho Hur Flat panel display manufacturing apparatus
KR20090024520A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 배기 유닛 및 이를 이용하는 배기방법, 상기 배기 유닛을포함하는 기판 처리 장치
KR20090024518A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200056326A (ko) * 2018-11-14 2020-05-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Also Published As

Publication number Publication date
WO2016171451A1 (ko) 2016-10-27
TW201705197A (zh) 2017-02-01
CN107466421B (zh) 2019-05-28
JP6499771B2 (ja) 2019-04-10
TWI634587B (zh) 2018-09-01
US20180122638A1 (en) 2018-05-03
CN107466421A (zh) 2017-12-12
JP2018517276A (ja) 2018-06-28
KR101682155B1 (ko) 2016-12-02

Similar Documents

Publication Publication Date Title
KR101682155B1 (ko) 기판 처리 장치
CN111492469B (zh) 多区气体分配系统及方法
US10347468B2 (en) Plasma processing system, electron beam generator, and method of fabricating semiconductor device
KR100782369B1 (ko) 반도체 제조장치
KR100725037B1 (ko) 반도체 플라즈마 처리 장치 및 방법
US8137463B2 (en) Dual zone gas injection nozzle
KR100774228B1 (ko) 동적 가스 분배 제어를 갖는 플라즈마 처리 시스템
KR100954709B1 (ko) 조절 가능한 다중-구역 가스 주입 시스템
KR20070104856A (ko) 독립적인 용량성의 환형 플라즈마 소스를 구비한 플라즈마반응기
KR102455239B1 (ko) 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10388528B2 (en) Non-ambipolar electric pressure plasma uniformity control
CN101443474A (zh) 改善大面积基板均匀性的方法和设备
KR101197020B1 (ko) 균일한 플라즈마 방전을 위한 기판처리장치 및 이를이용하여 플라즈마 방전세기를 조절하는 방법
KR20160044102A (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US20050000429A1 (en) Spiral gas flow plasma reactor
KR20150138468A (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
TWI334175B (en) Etch process and etching chamber
KR100725614B1 (ko) 플라즈마 처리 장치
KR100785404B1 (ko) 유도 결합형 플라즈마 안테나 및 이를 이용한 기판 처리장치와 방법
KR102396366B1 (ko) 기판처리장치
KR100716690B1 (ko) 반도체 시료의 처리 장치 및 처리 방법
CN111095498B (zh) 载置台、基板处理装置以及边缘环
JP2017076705A (ja) 半導体製造装置および半導体装置の製造方法
TW201426897A (zh) 用於電漿增強基板處理之沉積遮罩

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191030

Year of fee payment: 4