TWI634587B - 基板處理裝置 - Google Patents

基板處理裝置 Download PDF

Info

Publication number
TWI634587B
TWI634587B TW105110177A TW105110177A TWI634587B TW I634587 B TWI634587 B TW I634587B TW 105110177 A TW105110177 A TW 105110177A TW 105110177 A TW105110177 A TW 105110177A TW I634587 B TWI634587 B TW I634587B
Authority
TW
Taiwan
Prior art keywords
diffusion plate
substrate
processing apparatus
substrate processing
distribution holes
Prior art date
Application number
TW105110177A
Other languages
English (en)
Other versions
TW201705197A (zh
Inventor
鄭愚德
崔圭鎭
朴松煥
金勁勳
韓星珉
崔聖廈
Original Assignee
尤金科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 尤金科技有限公司 filed Critical 尤金科技有限公司
Publication of TW201705197A publication Critical patent/TW201705197A/zh
Application granted granted Critical
Publication of TWI634587B publication Critical patent/TWI634587B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Abstract

提供一種基板處理裝置。基板處理裝置包含:腔室,其經配置以提供基板處理空間;處理氣體供應管線,其經配置以將處理氣體供應至腔室中;第一擴散板,其在邊緣部分中具有注入孔,處理氣體經由所述注入孔被注入;基板支撐件,其經安置以面向第一擴散板且經配置以支撐基板;第二擴散板,其安置於第一擴散板與基板支撐件之間且具有多個分佈孔;以及電漿產生單元,其經配置以在第一擴散板與第二擴散板之間的空間中產生電漿。

Description

基板處理裝置
本揭露內容是關於一種基板處理裝置,且更特定而言是關於一種能夠改良基板處理中的均勻性的基板處理裝置。
基板處理裝置可為在真空狀態下藉由使用諸如電漿現象的物理或化學反應而用於執行諸如蝕刻或沈積的基板處理的裝置。一般而言,在使用基板處理裝置的基板處理製程中,反應氣體可經由安設於腔室中的簇射頭注入以執行基板處理。又,所注入反應氣體可藉由施加電力而在腔室內產生電漿。因此,可執行諸如以下製程的基板處理:基板表面由形成於腔室中的具有電漿狀態的材料(諸如自由基)蝕刻,或具有電漿狀態的材料(諸如自由基)根據基板處理的目的而沈積於基板表面上。
然而,在根據先前技術的基板處理裝置中,當電漿經產生以執行基板處理時,基板及形成於基板上的電路元件可受電弧產生、離子碰撞、離子的注入以及其類似者的損害,從而引起處理瑕疵。
又,在根據先前技術的基板處理裝置中,由於反應氣體電漿的均勻移動及分佈藉由使用僅分佈反應氣體的簇射頭為困難 的,因此電漿可能不能均勻地分佈於基板的整個表面上,而是集中於一個點。因此,沈積於基板上的膜或經蝕刻的膜可能具有不均勻厚度。
(專利文獻1)韓國專利註冊號第10-0880767號
本發明提供一種基板處理裝置,在所述基板處理裝置中,電漿均勻地分佈於基板的進入表面上以改良基板處理的均勻性。
根據例示性實施例,一種基板處理裝置包含:腔室,其經配置以提供基板處理空間;處理氣體供應管線,其經配置以將處理氣體供應至所述腔室中;第一擴散板,其在其邊緣部分中具有注入孔,所述處理氣體經由所述注入孔被注入;基板支撐件,其經安置以面向所述第一擴散板並經配置以支撐基板;第二擴散板,其安置於所述第一擴散板與所述基板支撐件之間且具有多個分佈孔;以及電漿產生單元,其經配置以在所述第一擴散板與所述第二擴散板之間的空間中產生電漿。
基板處理裝置可更包含連接至所述第二擴散板的邊緣且具有多個進氣孔的側壁構件。
所述第二擴散板可具有所述分佈孔的有效面積密度,所述分佈孔根據所述分佈孔的位置而彼此不同。
所述分佈孔在所述第二擴散板的中心部分中的所述有效面積密度可大於所述分佈孔在所述第二擴散板的邊緣部分中的有效面積密度。
所述基板處理裝置可更包含插入主體,其插入至所述分佈孔中的每一者中以調整所述第二擴散板的開口面積。
所述插入主體可具有穿過所述插入主體的中心部分的通孔。
所述第二擴散板具有包括多個級的多級結構,且鄰接於彼此的所述級中的所述分佈孔在位置上可彼此不同。
基板處理裝置可更包含經配置以調整所述第一擴散板與所述第二擴散板之間的距離的位置調整單元。
所述基板處理裝置可更包含多個排氣口,所述排氣口在鄰近於所述腔室的內壁的位置處沿著所述基板支撐件的周界彼此對稱地安置且具有多級結構。
基板處理裝置可更包含沿著所述基板支撐件的周界自所述基板支撐件的邊緣部分延伸的阻擋環。
10‧‧‧基板
110‧‧‧腔室
120‧‧‧處理氣體供應管線
130‧‧‧第一擴散板
131‧‧‧注入孔/排放孔
140‧‧‧基板支撐件
150‧‧‧第二擴散板
151、151a、151b‧‧‧分佈孔
160‧‧‧電漿產生單元
161‧‧‧天線
162‧‧‧排放管路
163‧‧‧電源
164‧‧‧電漿
170‧‧‧側壁構件
171‧‧‧進氣孔
180‧‧‧排氣口
181‧‧‧排氣口板
181a‧‧‧最上排氣口板
181b‧‧‧短長度
181c‧‧‧長長度
190‧‧‧阻擋環
210‧‧‧排氣單元
220、220a、220b‧‧‧插入主體
221‧‧‧通孔
自結合隨附圖式進行的以下描述可更詳細地理解例示性實施例,其中:圖1為根據例示性實施例的基板處理裝置的剖面圖。
圖2為根據例示性實施例的第二擴散板的平面圖。
圖3為根據例示性實施例的側壁構件的透視圖。
圖4為根據例示性實施例的第二擴散板及側壁構件的耦接(coupling)透視圖。
圖5為根據例示性實施例的具有大分佈孔的第二擴散板的平面圖。
圖6為根據例示性實施例的具有小分佈孔的第二擴散板的平面圖。
圖7為根據例示性實施例的在中心部分中具有大分佈孔且在邊緣部分中具有小分佈孔的第二擴散板的平面圖。
圖8為根據例示性實施例的插入至第二擴散板的分佈孔中的插入主體的視圖。
圖9為根據例示性實施例的具有包含多個級的多級(multi-stage)結構的第二擴散板的剖面圖,其中多個級的多個分佈孔在位置上彼此不同。
圖10為根據例示性實施例的具有包含多個級的多級結構的第二擴散板的剖面圖,其中多個級的多個分佈孔在位置及大小(size)上彼此不同。
在下文中,將參看附圖更詳細地描述特定實施例。然而,可以不同形式體現本發明,且不應將本發明視為限於本文中所闡述的實施例。確切而言,提供此等實施例以使得本發明將為透徹且完整的,且將向所屬領域中具通常知識者充分傳達本發明的範疇。在描述內容中,相同元件用相同參考數字來指示。在諸圖中,為了說明清楚起見而誇示了層及區的尺寸。相似參考數字貫穿全文指相似元件。
圖1為根據例示性實施例的基板處理裝置的剖面圖。
參看圖1,根據例示性實施例的基板處理裝置包含:腔室110,其經配置以提供基板處理空間;處理氣體供應管線120,其 將處理氣體供應至腔室110中;第一擴散板130,其在邊緣部分中具有注入孔131,處理氣體經由所述注入孔被注入;基板支撐件140,其面向第一擴散板130安置以支撐基板10;第二擴散板150,其安置於第一擴散板130與基板支撐件140之間且具有多個分佈孔151;以及電漿產生單元160,其在第一擴散板130與第二擴散板150之間的空間中產生電漿164。
腔室110提供執行基板處理所在的空間。腔室的內部可處於真空狀態,且電漿可在腔室中產生以有效地執行基板處理。又,腔室110可包含用於排出氣體的排氣單元210。舉例而言,排氣單元210可安置於腔室110的下部部分中。又,腔室110可由諸如金屬、陶瓷、玻璃、聚合物以及化合物的各種材料形成。腔室110可具有直角形狀、拱形形狀、圓柱形形狀等。
處理氣體供應管線120將處理氣體自處理氣體供應源(未圖示)供應至腔室110。處理氣體可包含蝕刻氣體及用於沈積薄膜的源氣體。此處,處理氣體供應管線120在執行蝕刻製程時可供應蝕刻氣體,且在執行薄膜沈積製程時供應用於沈積薄膜的源氣體。亦即,處理氣體供應管線120可供應適合用於基板處理目的的處理氣體。蝕刻氣體可包含諸如三氟化氮(nitrogen trifluoride;NF3)及氨(ammonia)的天然氧化物蝕刻氣體。用於沈積薄膜的源氣體可包含矽沈積氣體,諸如單矽烷(SiH4)及磷化氫(PH3)。氣體可根據待沈積的薄膜的種類而經恰當選擇。又,可供應諸如氫氣(H2)、氮氣(N2)以及氬氣(Ar)的惰性氣體與蝕刻氣體或用於沈積薄膜的源氣體一起作為處理氣體。
第一擴散板130分佈處理氣體。注入處理氣體經由的注 入孔131可界定於第一擴散板130的邊緣部分中。由於處理氣體經分佈並經由第一擴散板130注入,因此處理器氣體可均勻地到達基板10。為了均勻地分佈處理氣體,處理氣體供應管線120可安置於腔室110的中心部分中。在此狀況下,當注入孔131界定於中心部分中時,相對大量的處理氣體在與其他部分相比較時可從與處理氣體供應管線120連通的中心部分注入。因此,到達基板10的處理氣體的量根據位置可為不均勻的,且又,可根據位置不均勻地執行經由處理氣體的基板處理。然而,類似於例示性實施例,當注入孔131界定於邊緣部分中時,處理氣體可均勻地分佈且藉由繞過(bypass)而不與處理氣體供應管線120連通而注入,從而允許處理氣體均勻地到達基板10。可恰當地判定注入孔131的準確位置、注入方向以及數目,使得處理氣體在腔室110中均勻地流動。
基板支撐件140可面向第一擴散板130安置以支撐基板10。基板支撐件140可安置於腔室的內部下部部分中以支撐基板10。又,基板支撐件140可包含可充電靜電夾盤,使得基板10由基板支撐件140支撐,且基板維持於靜電狀態。
第二擴散板150可安置於第一擴散板130與基板支撐件140之間,且具有多個分佈孔151。處理氣體在腔室110內的均勻流動可藉由僅使用第一擴散板130來實現。若僅使用第一擴散板130,則處理氣體(或電漿)的流動可歸因於第一擴散板130與基板10之間的距離由排氣單元210集中在排氣方向上。因此,可發生處理氣體(或電漿)在基板10上的不均勻分佈。然而,若一起使用第二擴散板150與第一擴散板130,則可控制處理氣體(或電 漿)的流動以實現處理氣體(或電漿)在基板10上的均勻分佈。
又,可將第二擴散板150接地,或可施加電壓至第二擴散板150以對電漿中帶電荷的離子及電子進行過濾。亦即,當電漿穿過第二擴散板150時,離子及電子可被阻擋,使得僅中性反應物種(species)在基板10上反應。第二擴散板150可配置成使得電漿與第二擴散板150碰撞至少一次以到達基板10。又,當電漿與接地的(或具有不同極性的電壓施加至)第二擴散板150碰撞時,具有大能量的離子及電子可被吸收至第二擴散板150中。因此,帶電離子及電子對基板10及基板10周圍的有害影響可被最小化。又,由於僅中性反應物種與基板10或基板10上的薄膜反應,因此儘管長期地使用基板處理裝置,但腔室110內的周圍構件可用於防止基板10的表面被損傷。第二擴散板150亦可阻擋電漿的光。因此,電漿的光可與第二擴散板150碰撞,且因此可能不會透射(transmitted)穿過第二擴散板150。又,第二擴散板150可在不提供次級電極的情況下經由與腔室110的接觸而接地。
又,當產生電漿時,經由第二擴散板150,基板10不會直接暴露至電漿。因此,可防止基板10及形成於基板10上的電路元件在腔室110內受到電弧產生、離子碰撞及離子注入的損害。因此,基板10及根據基板處理製程形成於基板10上的電路元件的處理瑕疵可被最小化。
電漿產生單元160可在第一擴散板130與第二擴散板150之間的空間中產生電漿164。電漿產生單元160可激發(excite)處理氣體以產生電漿164。因此,電漿產生單元160可包含排放管路(discharge tube)162以及經安置以包圍排放管路162的天線 161(或電感耦合線圈)。排放管路162可由藍寶石、石英或陶瓷形成,且具有預定拱形(或盒子)形狀。排放管路162可安置於腔室110的內部上部部分中。排放管路162可具有連接至處理氣體供應管線120的上部部分及與第二擴散板150一起界定電漿產生空間(亦即,第一擴散板130與第二擴散板150之間的空間)的下部部分。此處,處理氣體可被分佈至排放管路162之上部部分與第一擴散板130之間的空間中,且接著經由第一擴散板130的注入孔131注入。天線161可經安置以包圍腔室110中的排放管路162。又,天線161可自電源163接收電力以激發排放管路162內的處理氣體,藉此產生電漿164。替代地,提供電極於腔室110的內部空間中之後,電力可施加至所提供的電極以產生電漿。
在根據例示性實施例的基板處理裝置中,處理氣體可經由第一擴散板130而繞過安置於腔室110的中心部分處的處理氣體供應管線120,且接著經由排放孔131均勻地注入。又,處理氣體可廣泛地散佈於第一擴散板130與第二擴散板150之間的空間中。此外,僅中性反應物種可經由第二擴散板150的分佈孔151均勻地引入於基板10上。因此,根據例示性實施例的基板處理裝置可對基板10的整個表面執行均勻基板處理。第一擴散板130及第二擴散板150中的每一者可影響氣體(例如,處理氣體、電漿以及中性反應物種)的流動以允許中性反應物種均勻地散佈於基板10上。
圖2為根據例示性實施例的第二擴散板的平面圖,圖3為根據例示性實施例的側壁構件的透視圖,且圖4為根據例示性實施例的第二擴散板及側壁構件的耦接透視圖。
參看圖2至圖4,根據例示性實施例的基板處理裝置可更包含側壁構件170,其連接至第二擴散板150的邊緣且具有多個進氣孔(gas induction holes)171。側壁構件170可耦接至第二擴散板150且提供穿過第二擴散板150的中性反應物種在基板10上反應的空間。若不提供側壁構件170,則中性反應物種歸因於由排氣單元210的排氣而可能不充分反應,且接著被排氣。然而,若提供側壁構件170,則中性反應物種的流動可經控制以允許中性反應物種在基板10上充分地反應。多個進氣孔171界定於側壁構件170中。因此,氣體歸因於排氣單元210的抽吸(或泵送)的流動可根據氣體進氣孔171的大小、位置以及數目而進行調整。因此,中性反應物種的流動可受到控制。因此,亦可控制氣體在電漿產生空間中的流動。又,呈氣態狀態的製程(例如,蝕刻或沈積)副產物可由排氣單元210的抽吸(或泵送)而排氣至進氣孔171。又,中性反應物種的移動速度及排氣速度可根據進氣孔171的大小、位置以及數目來調整。中性反應物種可穿過第二擴散板150的分佈孔151以在基板10上反應。因此,經由側壁構件170的進氣孔171到達基板10的中性反應物種的流動可得到控制。因此,中性反應物種的移動速度可得到調整,且中性反應物種可保持在基板10上以提供在基板10上充分反應花費的時間。第二擴散板150及側壁構件170可彼此整合在一起。
圖5為根據例示性實施例的具有大分佈孔的第二擴散板的平面圖,圖6為根據例示性實施例的具有小分佈孔的第二擴散板的平面圖,且圖7為根據例示性實施例的在中心部分中具有大分佈孔及在邊緣部分中具有小分佈孔的第二擴散板的平面圖。圖5 至圖7說明根據例示性實施例的第二擴散板的修改實例。
參看圖5至圖7,第二擴散板150可具有分佈孔151的有效面積密度(effective area density),所述分佈孔151根據位置而彼此不同。此處,有效面積密度可為每單位面積的分佈孔151的總面積,亦即,第二擴散板150的每單位面積的開口面積(opening area)(亦即,由分佈孔打開的面積)。如圖5中所說明,大的分佈孔151a可總體界定於第二擴散板150中。若分佈孔151過大,則中性反應物種的流動可由排氣單元210集中至排氣方向上以引起中性反應物種在基板10上的不均勻分佈。如圖6中所說明,小的分佈孔151b可總體界定於第二擴散板150中。若分佈孔151b過小,則中性反應物種的移動速度可為緩慢而增加處理時間。又,當具有相同大小的分佈孔151總體界定於第二擴散板150中時,歸因於第一擴散板130的注入孔131的界定於邊緣中的位置及排氣單元210提供於邊緣中的排氣方向,相較於基板10的中心部分,更多量的中性反應物種可被供應至基板的邊緣部分以引起中性反應物種的不均勻分佈。然而,分佈孔151可根據位置而具有彼此不同的大小或密度以允許中性反應物種均勻地分佈。因此,第二擴散板150可根據位置而具有在大小或密度上不同的分佈孔151,且因此具有分佈孔151的有效面積密度,分佈孔根據位置而彼此不同。舉例而言,界定於第二擴散板150的中心部分中的每一分佈孔151的大小可大於界定於邊緣部分中的每一分佈孔151的大小,或分佈孔151可根據距第二擴散板150的中心的距離而具有逐漸增加或減少的大小。
在第二擴散板150中,分佈孔151在中心部分處的有效 面積密度可大於邊緣部分的有效面積密度。舉例而言,如圖7中所說明,中心部分中的分佈孔151a的大小可大於邊緣部分中的分佈孔151b的大小,使得中心部分中分佈孔151a的有效面積密度大於邊緣部分中分佈孔151b的有效面積密度。在此狀況下,引入至第二擴散板150的中心部分中的中性反應物種可增加以允許中性反應物種在基板10上均勻地分佈。一般而言,由於第一擴散板130的注入孔131界定於邊緣部分中,且排氣單元210的排氣方向在邊緣部分的方向上導向(directed),因此氣體的流動可集中至邊緣部分中。因此,由於到達基板10的中性反應物種的量在第二擴散板150的中心部分處較少,因此基板10的中心部分處的反應可能不會良好地發生。出於此原因,當界定於第二擴散板150的中心部分中的分佈孔151a的有效面積密度大於界定於第二擴散板150的邊緣部分中的分佈孔151b的有效面積密度時,引入至第二擴散板150的中心部分中的中性反應物種的流入量可增加。因此,中性反應物種可在基板10上均勻地分佈。
圖8為根據例示性實施例的插入至第二擴散板的分佈孔中的插入主體的視圖。
參看圖8,基板處理裝置可更包含插入至分佈孔151中以調整第二擴散板150的開口面積的插入主體220。插入主體220可具有插塞形狀。插入主體220可插入至分佈孔151中以阻擋分佈孔151。在此狀況下,可能不需要再次製造第二擴散板150就改變分佈孔151的排列結構。亦即,可藉由僅將插入主體220a插入來輕易改變分佈孔151的排列結構。此外,分佈孔151可具有分佈孔151的有效面積密度,分佈孔根據位置而彼此不同。因此, 僅將插入主體220a插入就可輕易調整中性反應物種的流動。
插入主體220b可包含穿過插入主體的中心部分的通孔221。當具有通孔221的插入主體220b插入至分佈孔151中時,可調整分佈孔151的大小以調整中性反應物種的精細流動。因此,根據腔室110的條件以及諸如泵送速度的製程條件的精細差異可藉由將插入主體220b插入來調整。因此,中性反應物種可更均勻地分佈於基板10上。又,通孔221可具有各種大小。因此,經由具有各種大小的通孔221,可更精細地調整中性反應物種的流動。
經阻擋的插入主體220a及具有通孔221的插入主體220b可彼此一起使用。在此狀況下,可更準確地調整中性反應物種的流動。
圖9為根據例示性實施例的具有包含多個級的多級結構的第二擴散板的剖面圖,其中所述級的分佈孔在位置上彼此不同,且圖10為根據例示性實施例的具有包含多個級的多級結構的第二擴散板的剖面圖,其中所述級的分佈孔在位置及大小上彼此不同。圖9至圖10說明根據例示性實施例的用於解釋第二擴散板的多級結構的概念圖。
參看圖9及圖10,第二擴散板150可具有多個多級結構。此處,鄰接於彼此的級中的分佈孔151在位置上可彼此不同。界定於鄰接於彼此的級中的分佈孔151,如圖9中所說明在位置上彼此不同,如圖10中所說明可在位置及大小上彼此不同,或在大小上可彼此不同但可界定於相同位置處。在此狀況下,中性反應物種可控制成流動至多個第二擴散板150。到達基板10的中性反應物種的量及移動(或引入)速度可根據基板10的位置進行調整。 當第二擴散板150與基板10之間的距離為短時,中性反應物種的引入速度可為快速的,且中性反應物種在基板10上的反應時間可能被縮短。因此,在界定分佈孔151所在的位置處以及不界定分佈孔151所在的位置處,基板處理的均勻性差異可發生。因此,當第二擴散板150具有多個多級結構時,儘管第二擴散板150與基板10之間的距離為短的,但歸因於中性反應物種流動的瓶頸現象,中性反應物種的引入速度可降低,以允許中性反應物種在基板10上的均勻分佈。
根據例示性實施例的基板處理裝置可更包含用於調整第一擴散板130與第二擴散板150之間的距離的位置調整單元(未圖示)。位置調整單元可調整第二擴散板150的位置以調整第一擴散板130與第二擴散板150之間的距離。當調整第一擴散板130與第二擴散板150之間的距離時,可調整電漿產生空間以提供處理氣體經廣泛散佈的足夠空間。又,當處理氣體以擴散板130與第二擴散板150之間的預定距離可在第一擴散板130與第二擴散板150之間的空間中被均勻地分佈時,可產生電漿164。又,第二擴散板150可在位置上進行調整以調整基板10與第二擴散板150之間的距離。此處,第一擴散板130與第二擴散板150之間的距離亦可根據第二擴散板150的位置進行調整。若基板10與第二擴散板150之間的距離為短的,則可更均勻地執行諸如蝕刻的基板處理,且因此基板處理速率(例如,蝕刻速率)可增加更多。又,在蝕刻製程中,選擇率(例如,天然氧化物層與氮化物層的蝕刻比率)亦可增加更多。若基板10與第二擴散板150之間的距離為大約50mm或50mm以下且分佈孔151的直徑為10mm或10mm 以上,當蝕刻基板10的表面之後於基板10的表面上沈積薄膜時,膜色彩現象的發生可歸因於第二擴散板150與分佈孔151的安排配置。然而,若基板10與第二擴散板150之間的距離為大約50mm或50mm以下,則分佈孔151的直徑可為10mm或10mm以下以解決上述限制。此處,第二擴散板150可具有多級結構以允許瓶頸現象發生於中性反應物種的流動中,藉此實現更均勻的諸如蝕刻及沈積的基板處理。當基板10的表面為不均勻時,膜色彩可被看到,或所沈積薄膜歸因於不均勻蝕刻而具有不均勻厚度。當分佈孔151的直徑為10mm或10mm以下時,中性反應物種的流動可為均勻的以防止膜色彩現象發生。
根據例示性實施例的基板處理裝置可更包含多個排氣口(exhaust ports)180,所述排氣口180具有多級結構,所述排氣口180以鄰近於腔室110的內壁的位置沿著基板支撐件140的周界彼此對稱地安置。排氣口180可具有多級結構。亦即,包含多個排氣口180的排氣口板181可以多級形式安置,使得排氣口180沿著基板支撐件140的周界對稱於彼此地安置。每一級中的排氣口180的大小及形狀可進行改變以調整氣體的流動且允許中性反應物種均勻地分佈於基板10上。腔室110內的真空度可由排氣口180維持,且中性反應物種的流動在基板10的整個表面上可為均勻的。此外,製程副產物可由排氣口180排氣。排氣口板181可經提供作為環形板。排氣口板181可包含自環形板彎曲的側壁。側壁可具有短長度(short length)181b及長長度(long length)181c。側壁可誘發排氣流。此處,側壁可防止排氣至排氣口180中的廢氣洩漏至另一地方且亦誘發排氣流,使得廢氣經良好排氣至排氣 單元210。最上排氣口板181a可連接至側壁構件170。最上排氣口板181a及側壁構件170可彼此連接以防止排氣至進氣孔171中的廢氣洩漏至另一地方以允許廢氣被良好排氣至排氣口180中。
根據例示性實施例的基體基板處理裝置可更包含阻擋環190,所述阻擋環190沿著基板支撐件140的周界自基板支撐件140的邊緣部分延伸。阻擋環190可引導基板10,使得基板10在基板10移動時由基板支撐件140穩定地支撐。又,阻擋環190可減小基板支撐件140與側壁構件170之間的間隙,以使中性反應物種歸因於排氣單元210的排氣而於在基板10上不反應的情況下被排氣的現象最小化。亦即,阻擋環190可控制中性反應物種的流動,使得中性反應物種穿過第二擴散板150的分佈孔151以在基板10上反應,且接著經由進氣孔171排氣至排氣口180。又,阻擋環190可充當排氣口板181的側壁以使排氣至排氣口180中的廢氣洩漏至另一地方的現象最小化且誘發排氣流,使得廢氣被良好排氣至排氣單元210。亦即,阻擋環190可誘發包含由蝕刻及沈積產生的製程副產物的廢氣的排氣路徑,使得廢氣穿過側壁構件170的進氣孔171,且接著經由排氣口180經排氣至排氣單元210中。
在根據例示性實施例的基板處理裝置中,第一擴散板130及第二擴散板150中的每一者可影響氣體(例如,處理氣體、電漿以及中性反應物種)的流動以允許中性反應物種均勻地分佈於基板10上。又,可經由側壁構件170及排氣口180更準確執行基板處理。如上文所描述,根據例示性實施例的基板處理裝置可藉由經由各種組件調整氣體的流動而在基板10的整個表面上執行均勻的諸如蝕刻及沈積的基板處理。此外,組件可在結構上改變以 執行更均勻的基板處理。
如上文所描述,在根據例示性實施例的基板處理裝置中,用於分佈處理氣體的第一擴散板及用於分佈電漿的第二擴散板可用以實現電漿的均勻分佈。因此,可在基板的整個表面上均勻地執行諸如蝕刻及沈積的基板處理。又,當產生電漿時,經由第二擴散板,基板不會直接暴露至電漿。因此,可防止基板及形成於基板上的電路元件在腔室內受到電弧產生、離子碰撞以及離子注入的損害。因此,基板及形成於基板上的電路元件的處理瑕疵可被最小化。又,可將第二擴散板接地以對電漿中帶電荷的離子及電子進行過濾。因此,由於僅中性反應物種被引入至基板上,因此帶電荷離子及電子對基板及基板周圍的有害影響可被最小化。又,可防止基板及基板周圍受到電漿損害。此外,分佈孔的有效面積密度可藉由使用插入至第二擴散板的分佈孔中的插入主體來簡單地調整。因此,儘管改變了製程條件,但中性反應物種可被均勻地分佈。又,第二擴散板可具有多級結構以控制中性反應物種的流動。又,每一級中的排氣口大小及形狀可改變以調整氣體的流動且允許中性反應物種均勻地分佈於基板上。腔室內的真空度可藉由排氣口維持,且中性反應物種的流動在基板的整個表面上可為均勻的。此外,製程副產物可由排氣口排氣。
在根據例示性實施例的基板處理裝置中,用於分佈處理氣體的第一擴散板及用於分佈電漿的第二擴散板可用以實現電漿的均勻分佈。因此,諸如蝕刻及沈積的基板處理可在基板的整個表面上均勻地執行。
又,當產生電漿時,經由第二擴散板,基板不會直接暴 露至電漿。因此,可防止基板及形成於基板上的電路元件在腔室內受到電弧產生、離子碰撞以及離子注入的損害。因此,基板及形成於基板上的電路元件的處理瑕疵可被最小化。又,可將第二擴散板接地以對電漿中帶電荷的離子及電子進行過濾。因此,由於僅中性反應物種被引入至基板上,因此帶電荷離子及電子對基板及基板周圍的有害影響可被最小化。又,可防止基板及基板周圍受到電漿損害。
此外,分佈孔的有效面積密度可藉由使用插入至第二擴散板的分佈孔中的插入主體來簡單地調整。因此,儘管改變製程條件,但中性反應物種(或電漿)可被均勻地分佈。又,第二擴散板可具有多級結構以控制中性反應物種(或電漿)的流動。
儘管已參考實施例的數個說明性實施例描述了實施例,但應理解,可由所屬領域中具通常知識者設計出將屬於本發明的原理的精神及範疇內的眾多其他修改及實施例。更特定而言,可能存在屬於本發明、圖式以及所附申請專利範圍的範疇內的標的組合排列的組成部分及/或排列的各種變化及修改。除組件構件及/或排列的變化及修改之外,替代性使用對於所屬領域中具通常知識者亦將為顯而易見。因此,本發明的受保護範疇應由隨附申請專利範圍的技術範疇來判定。

Claims (9)

  1. 一種基板處理裝置,其包括:腔室,其經配置以提供基板處理空間;處理氣體供應管線,其經配置以將處理氣體供應至所述腔室中;第一擴散板,其在其邊緣部分中具有注入孔,所述處理氣體經由所述注入孔被注入;基板支撐件,其經安置以面向所述第一擴散板並經配置以支撐基板;第二擴散板,其安置於所述第一擴散板與所述基板支撐件之間且具有多個分佈孔;電漿產生單元,其經配置以在所述第一擴散板與所述第二擴散板之間的空間中產生電漿;以及側壁構件,其連接至所述第二擴散板的邊緣且具有多個進氣孔。
  2. 如申請專利範圍第1項所述的基板處理裝置,其中所述第二擴散板具有所述分佈孔的有效面積密度,所述分佈孔根據所述分佈孔的位置而彼此不同。
  3. 如申請專利範圍第2項所述的基板處理裝置,其中所述分佈孔在所述第二擴散板的中心部分中的所述有效面積密度大於所述分佈孔在所述第二擴散板的邊緣部分中的有效面積密度。
  4. 如申請專利範圍第1項所述的基板處理裝置,其更包括插入主體,所述插入主體插入至所述分佈孔中的每一者中以調整所述第二擴散板的開口面積。
  5. 如申請專利範圍第4項所述的基板處理裝置,其中所述插入主體具有穿過所述插入主體的中心部分的通孔。
  6. 如申請專利範圍第1項所述的基板處理裝置,其中所述第二擴散板具有包括多個級的多級結構,且鄰接於彼此的所述級中的所述分佈孔在位置上彼此不同。
  7. 如申請專利範圍第1項所述的基板處理裝置,其更包括位置調整單元,所述位置調整單元經配置以調整所述第一擴散板與所述第二擴散板之間的距離。
  8. 如申請專利範圍第1項所述的基板處理裝置,其更包括多個排氣口,所述排氣口在鄰近於所述腔室的內壁的位置處沿著所述基板支撐件的周界彼此對稱地安置且具有多級結構。
  9. 如申請專利範圍第1項所述的基板處理裝置,其更包括阻擋環,所述阻擋環沿著所述基板支撐件的周界自所述基板支撐件的邊緣部分延伸。
TW105110177A 2015-04-20 2016-03-31 基板處理裝置 TWI634587B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020150055297A KR101682155B1 (ko) 2015-04-20 2015-04-20 기판 처리 장치
??10-2015-0055297 2015-04-20

Publications (2)

Publication Number Publication Date
TW201705197A TW201705197A (zh) 2017-02-01
TWI634587B true TWI634587B (zh) 2018-09-01

Family

ID=57143453

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105110177A TWI634587B (zh) 2015-04-20 2016-03-31 基板處理裝置

Country Status (6)

Country Link
US (1) US20180122638A1 (zh)
JP (1) JP6499771B2 (zh)
KR (1) KR101682155B1 (zh)
CN (1) CN107466421B (zh)
TW (1) TWI634587B (zh)
WO (1) WO2016171451A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
CN111527583B (zh) * 2017-12-27 2023-10-20 玛特森技术公司 等离子体处理设备和方法
JP7166147B2 (ja) * 2018-11-14 2022-11-07 東京エレクトロン株式会社 プラズマ処理装置
WO2020154244A1 (en) * 2019-01-23 2020-07-30 Lam Research Corporation Substrate processing system including dual ion filter for downstream plasma
US20200243305A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post Plasma Gas Injection In A Separation Grid
GB201904587D0 (en) * 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus
KR102187121B1 (ko) * 2019-04-30 2020-12-07 피에스케이 주식회사 기판 처리 장치
CN110170286B (zh) * 2019-07-06 2021-10-01 河南佰利联新材料有限公司 一种可在线调节的干气密封氧化加料反应器
CN111471980B (zh) * 2020-04-15 2022-05-27 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法
KR20230122133A (ko) * 2021-05-11 2023-08-22 어플라이드 머티어리얼스, 인코포레이티드 에피택시 및 cvd 챔버용 가스 인젝터

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW473865B (en) * 1999-11-10 2002-01-21 Nippon Electric Co Plasma CVD apparatus for large area CVD film
US20090025877A1 (en) * 2003-11-14 2009-01-29 Gwang Ho Hur Flat panel display manufacturing apparatus
KR20090024518A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
CN101849279A (zh) * 2007-09-04 2010-09-29 株式会社Eugene科技 排出单元、使用该排出单元的排出方法以及包括该排出单元的基底制程装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4405496B2 (ja) * 1997-02-24 2010-01-27 株式会社エフオーアイ プラズマ処理装置
KR100748798B1 (ko) 1999-05-06 2007-08-13 동경 엘렉트론 주식회사 플라즈마 에칭 장치
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2005251803A (ja) * 2004-03-01 2005-09-15 Canon Inc プラズマ処理装置およびその設計方法
CN101189708A (zh) * 2005-05-31 2008-05-28 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP2008282888A (ja) * 2007-05-09 2008-11-20 Canon Anelva Corp 真空処理装置および真空処理方法
JP4883368B2 (ja) * 2007-07-31 2012-02-22 三菱マテリアル株式会社 プラズマエッチング用単結晶シリコン電極板
KR20090024522A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
EP2360292B1 (en) * 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
JP5685094B2 (ja) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
TWI568319B (zh) * 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW473865B (en) * 1999-11-10 2002-01-21 Nippon Electric Co Plasma CVD apparatus for large area CVD film
US20090025877A1 (en) * 2003-11-14 2009-01-29 Gwang Ho Hur Flat panel display manufacturing apparatus
KR20090024518A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
CN101849279A (zh) * 2007-09-04 2010-09-29 株式会社Eugene科技 排出单元、使用该排出单元的排出方法以及包括该排出单元的基底制程装置

Also Published As

Publication number Publication date
CN107466421B (zh) 2019-05-28
JP6499771B2 (ja) 2019-04-10
CN107466421A (zh) 2017-12-12
US20180122638A1 (en) 2018-05-03
KR101682155B1 (ko) 2016-12-02
TW201705197A (zh) 2017-02-01
KR20160124534A (ko) 2016-10-28
WO2016171451A1 (ko) 2016-10-27
JP2018517276A (ja) 2018-06-28

Similar Documents

Publication Publication Date Title
TWI634587B (zh) 基板處理裝置
TWI804472B (zh) 電漿屏、電漿處理腔室和處理基板的方法
TWI704845B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
US20150348755A1 (en) Gas distribution apparatus and substrate processing apparatus including same
TWI452627B (zh) Plasma processing apparatus and method
KR100725037B1 (ko) 반도체 플라즈마 처리 장치 및 방법
JP2017528923A (ja) 選択的堆積のための方法及び装置
KR102455239B1 (ko) 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10388528B2 (en) Non-ambipolar electric pressure plasma uniformity control
KR20170041154A (ko) 피처리체의 처리 방법
KR20160134908A (ko) 기판 처리 장치
JP2001181848A (ja) プラズマ処理装置
KR101445226B1 (ko) 배기 링 어셈블리 및 이를 포함하는 기판 처리 장치
TWI760472B (zh) 成膜方法
KR101632376B1 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
KR101197020B1 (ko) 균일한 플라즈마 방전을 위한 기판처리장치 및 이를이용하여 플라즈마 방전세기를 조절하는 방법
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
KR101614032B1 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US20180258531A1 (en) Diffuser design for flowable cvd
KR20140126518A (ko) 기판 처리 장치
US20230207262A1 (en) Plasma generation unit, and apparatus for treating substrate with the same
WO2023063194A1 (ja) プラズマ処理を行う装置、及びプラズマ処理を行う方法
JP2010267670A (ja) プラズマ処理方法
KR100444753B1 (ko) 반도체 소자 제조에 사용되는 증착 장치
TW202413701A (zh) 用於處理腔室的泵襯墊