TWI634587B - Substrate processing apparatus - Google Patents

Substrate processing apparatus Download PDF

Info

Publication number
TWI634587B
TWI634587B TW105110177A TW105110177A TWI634587B TW I634587 B TWI634587 B TW I634587B TW 105110177 A TW105110177 A TW 105110177A TW 105110177 A TW105110177 A TW 105110177A TW I634587 B TWI634587 B TW I634587B
Authority
TW
Taiwan
Prior art keywords
diffusion plate
substrate
processing apparatus
substrate processing
distribution holes
Prior art date
Application number
TW105110177A
Other languages
Chinese (zh)
Other versions
TW201705197A (en
Inventor
鄭愚德
崔圭鎭
朴松煥
金勁勳
韓星珉
崔聖廈
Original Assignee
尤金科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 尤金科技有限公司 filed Critical 尤金科技有限公司
Publication of TW201705197A publication Critical patent/TW201705197A/en
Application granted granted Critical
Publication of TWI634587B publication Critical patent/TWI634587B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Abstract

提供一種基板處理裝置。基板處理裝置包含:腔室,其經配置以提供基板處理空間;處理氣體供應管線,其經配置以將處理氣體供應至腔室中;第一擴散板,其在邊緣部分中具有注入孔,處理氣體經由所述注入孔被注入;基板支撐件,其經安置以面向第一擴散板且經配置以支撐基板;第二擴散板,其安置於第一擴散板與基板支撐件之間且具有多個分佈孔;以及電漿產生單元,其經配置以在第一擴散板與第二擴散板之間的空間中產生電漿。A substrate processing apparatus is provided. The substrate processing apparatus includes a chamber configured to provide a substrate processing space, a processing gas supply line configured to supply a processing gas into the chamber, and a first diffusion plate having an injection hole in an edge portion to process The gas is injected through the injection hole; a substrate support member is disposed to face the first diffusion plate and is configured to support the substrate; a second diffusion plate is disposed between the first diffusion plate and the substrate support and has a plurality of Distribution holes; and a plasma generating unit configured to generate a plasma in a space between the first diffusion plate and the second diffusion plate.

Description

基板處理裝置Substrate processing device

本揭露內容是關於一種基板處理裝置,且更特定而言是關於一種能夠改良基板處理中的均勻性的基板處理裝置。 The present disclosure relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus capable of improving uniformity in substrate processing.

基板處理裝置可為在真空狀態下藉由使用諸如電漿現象的物理或化學反應而用於執行諸如蝕刻或沈積的基板處理的裝置。一般而言,在使用基板處理裝置的基板處理製程中,反應氣體可經由安設於腔室中的簇射頭注入以執行基板處理。又,所注入反應氣體可藉由施加電力而在腔室內產生電漿。因此,可執行諸如以下製程的基板處理:基板表面由形成於腔室中的具有電漿狀態的材料(諸如自由基)蝕刻,或具有電漿狀態的材料(諸如自由基)根據基板處理的目的而沈積於基板表面上。 The substrate processing apparatus may be an apparatus for performing substrate processing such as etching or deposition by using a physical or chemical reaction such as a plasma phenomenon in a vacuum state. Generally, in a substrate processing process using a substrate processing apparatus, a reaction gas may be injected through a shower head installed in a chamber to perform substrate processing. In addition, the injected reaction gas can generate a plasma in the chamber by applying electric power. Therefore, a substrate process such as a process in which the surface of the substrate is etched by a material having a plasma state (such as a radical) formed in the chamber, or a material having a plasma state (such as a radical) can be performed according to the purpose of the substrate And deposited on the surface of the substrate.

然而,在根據先前技術的基板處理裝置中,當電漿經產生以執行基板處理時,基板及形成於基板上的電路元件可受電弧產生、離子碰撞、離子的注入以及其類似者的損害,從而引起處理瑕疵。 However, in the substrate processing apparatus according to the prior art, when a plasma is generated to perform substrate processing, the substrate and circuit elements formed on the substrate may be damaged by arc generation, ion collision, ion implantation, and the like, As a result, processing defects are caused.

又,在根據先前技術的基板處理裝置中,由於反應氣體電漿的均勻移動及分佈藉由使用僅分佈反應氣體的簇射頭為困難 的,因此電漿可能不能均勻地分佈於基板的整個表面上,而是集中於一個點。因此,沈積於基板上的膜或經蝕刻的膜可能具有不均勻厚度。 Furthermore, in the substrate processing apparatus according to the prior art, it is difficult to use a shower head that distributes only the reaction gas due to the uniform movement and distribution of the reaction gas plasma. Therefore, the plasma may not be evenly distributed on the entire surface of the substrate, but may be concentrated at one point. Therefore, the film deposited on the substrate or the etched film may have a non-uniform thickness.

(專利文獻1)韓國專利註冊號第10-0880767號 (Patent Document 1) Korean Patent Registration No. 10-0880767

本發明提供一種基板處理裝置,在所述基板處理裝置中,電漿均勻地分佈於基板的進入表面上以改良基板處理的均勻性。 The present invention provides a substrate processing apparatus in which a plasma is uniformly distributed on an entrance surface of a substrate to improve uniformity of the substrate processing.

根據例示性實施例,一種基板處理裝置包含:腔室,其經配置以提供基板處理空間;處理氣體供應管線,其經配置以將處理氣體供應至所述腔室中;第一擴散板,其在其邊緣部分中具有注入孔,所述處理氣體經由所述注入孔被注入;基板支撐件,其經安置以面向所述第一擴散板並經配置以支撐基板;第二擴散板,其安置於所述第一擴散板與所述基板支撐件之間且具有多個分佈孔;以及電漿產生單元,其經配置以在所述第一擴散板與所述第二擴散板之間的空間中產生電漿。 According to an exemplary embodiment, a substrate processing apparatus includes: a chamber configured to provide a substrate processing space; a processing gas supply line configured to supply a processing gas into the chamber; and a first diffusion plate, which An injection hole is provided in an edge portion thereof, and the processing gas is injected through the injection hole; a substrate support member is disposed to face the first diffusion plate and configured to support the substrate; and a second diffusion plate, which is disposed There are a plurality of distribution holes between the first diffusion plate and the substrate support; and a plasma generating unit configured to space between the first diffusion plate and the second diffusion plate Generates plasma.

基板處理裝置可更包含連接至所述第二擴散板的邊緣且具有多個進氣孔的側壁構件。 The substrate processing apparatus may further include a side wall member connected to an edge of the second diffusion plate and having a plurality of air inlet holes.

所述第二擴散板可具有所述分佈孔的有效面積密度,所述分佈孔根據所述分佈孔的位置而彼此不同。 The second diffusion plate may have an effective area density of the distribution holes, and the distribution holes are different from each other according to a position of the distribution holes.

所述分佈孔在所述第二擴散板的中心部分中的所述有效面積密度可大於所述分佈孔在所述第二擴散板的邊緣部分中的有效面積密度。 The effective area density of the distribution holes in a central portion of the second diffusion plate may be greater than the effective area density of the distribution holes in an edge portion of the second diffusion plate.

所述基板處理裝置可更包含插入主體,其插入至所述分佈孔中的每一者中以調整所述第二擴散板的開口面積。 The substrate processing apparatus may further include an insertion body inserted into each of the distribution holes to adjust an opening area of the second diffusion plate.

所述插入主體可具有穿過所述插入主體的中心部分的通孔。 The insertion body may have a through hole passing through a center portion of the insertion body.

所述第二擴散板具有包括多個級的多級結構,且鄰接於彼此的所述級中的所述分佈孔在位置上可彼此不同。 The second diffusion plate has a multi-stage structure including a plurality of stages, and the distribution holes in the stages adjacent to each other may be different from each other in position.

基板處理裝置可更包含經配置以調整所述第一擴散板與所述第二擴散板之間的距離的位置調整單元。 The substrate processing apparatus may further include a position adjustment unit configured to adjust a distance between the first diffusion plate and the second diffusion plate.

所述基板處理裝置可更包含多個排氣口,所述排氣口在鄰近於所述腔室的內壁的位置處沿著所述基板支撐件的周界彼此對稱地安置且具有多級結構。 The substrate processing apparatus may further include a plurality of exhaust ports, which are arranged symmetrically to each other along the periphery of the substrate support at a position adjacent to the inner wall of the chamber and have multiple stages structure.

基板處理裝置可更包含沿著所述基板支撐件的周界自所述基板支撐件的邊緣部分延伸的阻擋環。 The substrate processing apparatus may further include a blocking ring extending from an edge portion of the substrate support along a periphery of the substrate support.

10‧‧‧基板 10‧‧‧ substrate

110‧‧‧腔室 110‧‧‧ chamber

120‧‧‧處理氣體供應管線 120‧‧‧Processing gas supply line

130‧‧‧第一擴散板 130‧‧‧first diffuser

131‧‧‧注入孔/排放孔 131‧‧‧Injection hole / Drain hole

140‧‧‧基板支撐件 140‧‧‧ substrate support

150‧‧‧第二擴散板 150‧‧‧Second diffuser

151、151a、151b‧‧‧分佈孔 151, 151a, 151b‧‧‧ Distribution holes

160‧‧‧電漿產生單元 160‧‧‧plasma generation unit

161‧‧‧天線 161‧‧‧antenna

162‧‧‧排放管路 162‧‧‧Discharge pipeline

163‧‧‧電源 163‧‧‧Power

164‧‧‧電漿 164‧‧‧ Plasma

170‧‧‧側壁構件 170‧‧‧ sidewall members

171‧‧‧進氣孔 171‧‧‧Air inlet

180‧‧‧排氣口 180‧‧‧ exhaust port

181‧‧‧排氣口板 181‧‧‧Exhaust port plate

181a‧‧‧最上排氣口板 181a‧‧‧Upper exhaust panel

181b‧‧‧短長度 181b‧‧‧short length

181c‧‧‧長長度 181c‧‧‧length

190‧‧‧阻擋環 190‧‧‧block ring

210‧‧‧排氣單元 210‧‧‧Exhaust unit

220、220a、220b‧‧‧插入主體 220, 220a, 220b ‧‧‧ Inserted into the main body

221‧‧‧通孔 221‧‧‧through hole

自結合隨附圖式進行的以下描述可更詳細地理解例示性實施例,其中:圖1為根據例示性實施例的基板處理裝置的剖面圖。 An exemplary embodiment can be understood in more detail from the following description taken in conjunction with the accompanying drawings, wherein: FIG. 1 is a cross-sectional view of a substrate processing apparatus according to an exemplary embodiment.

圖2為根據例示性實施例的第二擴散板的平面圖。 FIG. 2 is a plan view of a second diffusion plate according to an exemplary embodiment.

圖3為根據例示性實施例的側壁構件的透視圖。 FIG. 3 is a perspective view of a sidewall member according to an exemplary embodiment.

圖4為根據例示性實施例的第二擴散板及側壁構件的耦接(coupling)透視圖。 FIG. 4 is a coupling perspective view of a second diffusion plate and a side wall member according to an exemplary embodiment.

圖5為根據例示性實施例的具有大分佈孔的第二擴散板的平面圖。 FIG. 5 is a plan view of a second diffusion plate having a large distribution hole according to an exemplary embodiment.

圖6為根據例示性實施例的具有小分佈孔的第二擴散板的平面圖。 FIG. 6 is a plan view of a second diffusion plate having small distribution holes according to an exemplary embodiment.

圖7為根據例示性實施例的在中心部分中具有大分佈孔且在邊緣部分中具有小分佈孔的第二擴散板的平面圖。 7 is a plan view of a second diffusion plate having a large distribution hole in a center portion and a small distribution hole in an edge portion according to an exemplary embodiment.

圖8為根據例示性實施例的插入至第二擴散板的分佈孔中的插入主體的視圖。 FIG. 8 is a view of an insertion body inserted into a distribution hole of a second diffusion plate according to an exemplary embodiment.

圖9為根據例示性實施例的具有包含多個級的多級(multi-stage)結構的第二擴散板的剖面圖,其中多個級的多個分佈孔在位置上彼此不同。 9 is a cross-sectional view of a second diffusion plate having a multi-stage structure including a plurality of stages, in which a plurality of distribution holes of the plurality of stages are different from each other in position according to an exemplary embodiment.

圖10為根據例示性實施例的具有包含多個級的多級結構的第二擴散板的剖面圖,其中多個級的多個分佈孔在位置及大小(size)上彼此不同。 10 is a cross-sectional view of a second diffuser plate having a multi-stage structure including a plurality of stages in which a plurality of distribution holes of the plurality of stages are different from each other in position and size according to an exemplary embodiment.

在下文中,將參看附圖更詳細地描述特定實施例。然而,可以不同形式體現本發明,且不應將本發明視為限於本文中所闡述的實施例。確切而言,提供此等實施例以使得本發明將為透徹且完整的,且將向所屬領域中具通常知識者充分傳達本發明的範疇。在描述內容中,相同元件用相同參考數字來指示。在諸圖中,為了說明清楚起見而誇示了層及區的尺寸。相似參考數字貫穿全文指相似元件。 Hereinafter, specific embodiments will be described in more detail with reference to the accompanying drawings. The invention may, however, be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this invention will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the description, the same elements are designated by the same reference numerals. In the drawings, the sizes of layers and regions are exaggerated for clarity of illustration. Similar reference numbers refer to similar elements throughout.

圖1為根據例示性實施例的基板處理裝置的剖面圖。 FIG. 1 is a cross-sectional view of a substrate processing apparatus according to an exemplary embodiment.

參看圖1,根據例示性實施例的基板處理裝置包含:腔室110,其經配置以提供基板處理空間;處理氣體供應管線120,其 將處理氣體供應至腔室110中;第一擴散板130,其在邊緣部分中具有注入孔131,處理氣體經由所述注入孔被注入;基板支撐件140,其面向第一擴散板130安置以支撐基板10;第二擴散板150,其安置於第一擴散板130與基板支撐件140之間且具有多個分佈孔151;以及電漿產生單元160,其在第一擴散板130與第二擴散板150之間的空間中產生電漿164。 1, a substrate processing apparatus according to an exemplary embodiment includes: a chamber 110 configured to provide a substrate processing space; and a processing gas supply line 120, which The processing gas is supplied into the chamber 110; the first diffusion plate 130 has an injection hole 131 in an edge portion through which the processing gas is injected; and the substrate support 140 is disposed facing the first diffusion plate 130 to A supporting substrate 10; a second diffusion plate 150 disposed between the first diffusion plate 130 and the substrate support 140 and having a plurality of distribution holes 151; and a plasma generating unit 160 between the first diffusion plate 130 and the second A plasma 164 is generated in a space between the diffusion plates 150.

腔室110提供執行基板處理所在的空間。腔室的內部可處於真空狀態,且電漿可在腔室中產生以有效地執行基板處理。又,腔室110可包含用於排出氣體的排氣單元210。舉例而言,排氣單元210可安置於腔室110的下部部分中。又,腔室110可由諸如金屬、陶瓷、玻璃、聚合物以及化合物的各種材料形成。腔室110可具有直角形狀、拱形形狀、圓柱形形狀等。 The chamber 110 provides a space in which substrate processing is performed. The inside of the chamber may be in a vacuum state, and a plasma may be generated in the chamber to efficiently perform substrate processing. The chamber 110 may include an exhaust unit 210 for exhausting gas. For example, the exhaust unit 210 may be disposed in a lower portion of the chamber 110. Also, the chamber 110 may be formed of various materials such as metal, ceramic, glass, polymer, and compound. The cavity 110 may have a right-angled shape, an arched shape, a cylindrical shape, and the like.

處理氣體供應管線120將處理氣體自處理氣體供應源(未圖示)供應至腔室110。處理氣體可包含蝕刻氣體及用於沈積薄膜的源氣體。此處,處理氣體供應管線120在執行蝕刻製程時可供應蝕刻氣體,且在執行薄膜沈積製程時供應用於沈積薄膜的源氣體。亦即,處理氣體供應管線120可供應適合用於基板處理目的的處理氣體。蝕刻氣體可包含諸如三氟化氮(nitrogen trifluoride;NF3)及氨(ammonia)的天然氧化物蝕刻氣體。用於沈積薄膜的源氣體可包含矽沈積氣體,諸如單矽烷(SiH4)及磷化氫(PH3)。氣體可根據待沈積的薄膜的種類而經恰當選擇。又,可供應諸如氫氣(H2)、氮氣(N2)以及氬氣(Ar)的惰性氣體與蝕刻氣體或用於沈積薄膜的源氣體一起作為處理氣體。 The processing gas supply line 120 supplies a processing gas from a processing gas supply source (not shown) to the chamber 110. The process gas may include an etching gas and a source gas for depositing a thin film. Here, the processing gas supply line 120 may supply an etching gas when an etching process is performed, and a source gas for depositing a thin film when performing a thin film deposition process. That is, the processing gas supply line 120 may supply a processing gas suitable for a substrate processing purpose. The etching gas may include a natural oxide etching gas such as nitrogen trifluoride (NF 3 ) and ammonia. The source gas used to deposit the thin film may include a silicon deposition gas, such as monosilane (SiH 4 ) and phosphine (PH 3 ). The gas may be appropriately selected according to the kind of the thin film to be deposited. In addition, an inert gas such as hydrogen (H 2 ), nitrogen (N 2 ), and argon (Ar) may be supplied as the processing gas together with an etching gas or a source gas for depositing a thin film.

第一擴散板130分佈處理氣體。注入處理氣體經由的注 入孔131可界定於第一擴散板130的邊緣部分中。由於處理氣體經分佈並經由第一擴散板130注入,因此處理器氣體可均勻地到達基板10。為了均勻地分佈處理氣體,處理氣體供應管線120可安置於腔室110的中心部分中。在此狀況下,當注入孔131界定於中心部分中時,相對大量的處理氣體在與其他部分相比較時可從與處理氣體供應管線120連通的中心部分注入。因此,到達基板10的處理氣體的量根據位置可為不均勻的,且又,可根據位置不均勻地執行經由處理氣體的基板處理。然而,類似於例示性實施例,當注入孔131界定於邊緣部分中時,處理氣體可均勻地分佈且藉由繞過(bypass)而不與處理氣體供應管線120連通而注入,從而允許處理氣體均勻地到達基板10。可恰當地判定注入孔131的準確位置、注入方向以及數目,使得處理氣體在腔室110中均勻地流動。 The first diffusion plate 130 distributes a processing gas. Injection of process gas The access hole 131 may be defined in an edge portion of the first diffusion plate 130. Since the processing gas is distributed and injected through the first diffusion plate 130, the processing gas can reach the substrate 10 uniformly. To uniformly distribute the processing gas, the processing gas supply line 120 may be disposed in a central portion of the chamber 110. In this case, when the injection hole 131 is defined in the center portion, a relatively large amount of processing gas may be injected from the center portion communicating with the processing gas supply line 120 when compared with other portions. Therefore, the amount of the processing gas reaching the substrate 10 may be uneven depending on the position, and further, the substrate processing via the processing gas may be performed unevenly depending on the position. However, similar to the exemplary embodiment, when the injection holes 131 are defined in the edge portion, the processing gas may be uniformly distributed and injected by bypassing without communicating with the processing gas supply line 120, thereby allowing the processing gas Reach the substrate 10 uniformly. The precise position, injection direction, and number of the injection holes 131 can be appropriately determined so that the processing gas flows uniformly in the chamber 110.

基板支撐件140可面向第一擴散板130安置以支撐基板10。基板支撐件140可安置於腔室的內部下部部分中以支撐基板10。又,基板支撐件140可包含可充電靜電夾盤,使得基板10由基板支撐件140支撐,且基板維持於靜電狀態。 The substrate support 140 may be disposed facing the first diffusion plate 130 to support the substrate 10. The substrate support 140 may be disposed in an inner lower portion of the chamber to support the substrate 10. In addition, the substrate support 140 may include a rechargeable electrostatic chuck, so that the substrate 10 is supported by the substrate support 140 and the substrate is maintained in an electrostatic state.

第二擴散板150可安置於第一擴散板130與基板支撐件140之間,且具有多個分佈孔151。處理氣體在腔室110內的均勻流動可藉由僅使用第一擴散板130來實現。若僅使用第一擴散板130,則處理氣體(或電漿)的流動可歸因於第一擴散板130與基板10之間的距離由排氣單元210集中在排氣方向上。因此,可發生處理氣體(或電漿)在基板10上的不均勻分佈。然而,若一起使用第二擴散板150與第一擴散板130,則可控制處理氣體(或電 漿)的流動以實現處理氣體(或電漿)在基板10上的均勻分佈。 The second diffusion plate 150 may be disposed between the first diffusion plate 130 and the substrate support 140 and has a plurality of distribution holes 151. The uniform flow of the process gas in the chamber 110 may be achieved by using only the first diffusion plate 130. If only the first diffusion plate 130 is used, the flow of the processing gas (or plasma) can be attributed to the distance between the first diffusion plate 130 and the substrate 10 being concentrated in the exhaust direction by the exhaust unit 210. Therefore, uneven distribution of the processing gas (or plasma) on the substrate 10 may occur. However, if the second diffusion plate 150 and the first diffusion plate 130 are used together, the process gas (or electricity) can be controlled. (Plasma) to achieve a uniform distribution of the processing gas (or plasma) on the substrate 10.

又,可將第二擴散板150接地,或可施加電壓至第二擴散板150以對電漿中帶電荷的離子及電子進行過濾。亦即,當電漿穿過第二擴散板150時,離子及電子可被阻擋,使得僅中性反應物種(species)在基板10上反應。第二擴散板150可配置成使得電漿與第二擴散板150碰撞至少一次以到達基板10。又,當電漿與接地的(或具有不同極性的電壓施加至)第二擴散板150碰撞時,具有大能量的離子及電子可被吸收至第二擴散板150中。因此,帶電離子及電子對基板10及基板10周圍的有害影響可被最小化。又,由於僅中性反應物種與基板10或基板10上的薄膜反應,因此儘管長期地使用基板處理裝置,但腔室110內的周圍構件可用於防止基板10的表面被損傷。第二擴散板150亦可阻擋電漿的光。因此,電漿的光可與第二擴散板150碰撞,且因此可能不會透射(transmitted)穿過第二擴散板150。又,第二擴散板150可在不提供次級電極的情況下經由與腔室110的接觸而接地。 In addition, the second diffusion plate 150 may be grounded, or a voltage may be applied to the second diffusion plate 150 to filter charged ions and electrons in the plasma. That is, when the plasma passes through the second diffusion plate 150, ions and electrons may be blocked, so that only neutral reactive species react on the substrate 10. The second diffusion plate 150 may be configured such that the plasma collides with the second diffusion plate 150 at least once to reach the substrate 10. In addition, when the plasma collides with the ground (or a voltage having a different polarity is applied to) the second diffusion plate 150, ions and electrons having large energy can be absorbed into the second diffusion plate 150. Therefore, harmful effects of the charged ions and electrons on the substrate 10 and the periphery of the substrate 10 can be minimized. In addition, since only the neutral reactive species reacts with the substrate 10 or the thin film on the substrate 10, although the substrate processing apparatus is used for a long time, the surrounding members in the chamber 110 can be used to prevent the surface of the substrate 10 from being damaged. The second diffusion plate 150 can also block the light from the plasma. Therefore, the light of the plasma may collide with the second diffusion plate 150, and thus may not be transmitted through the second diffusion plate 150. In addition, the second diffusion plate 150 may be grounded through contact with the chamber 110 without providing a secondary electrode.

又,當產生電漿時,經由第二擴散板150,基板10不會直接暴露至電漿。因此,可防止基板10及形成於基板10上的電路元件在腔室110內受到電弧產生、離子碰撞及離子注入的損害。因此,基板10及根據基板處理製程形成於基板10上的電路元件的處理瑕疵可被最小化。 When the plasma is generated, the substrate 10 is not directly exposed to the plasma through the second diffusion plate 150. Therefore, it is possible to prevent the substrate 10 and the circuit elements formed on the substrate 10 from being damaged by arc generation, ion collision, and ion implantation in the chamber 110. Therefore, processing defects of the substrate 10 and circuit elements formed on the substrate 10 according to a substrate processing process can be minimized.

電漿產生單元160可在第一擴散板130與第二擴散板150之間的空間中產生電漿164。電漿產生單元160可激發(excite)處理氣體以產生電漿164。因此,電漿產生單元160可包含排放管路(discharge tube)162以及經安置以包圍排放管路162的天線 161(或電感耦合線圈)。排放管路162可由藍寶石、石英或陶瓷形成,且具有預定拱形(或盒子)形狀。排放管路162可安置於腔室110的內部上部部分中。排放管路162可具有連接至處理氣體供應管線120的上部部分及與第二擴散板150一起界定電漿產生空間(亦即,第一擴散板130與第二擴散板150之間的空間)的下部部分。此處,處理氣體可被分佈至排放管路162之上部部分與第一擴散板130之間的空間中,且接著經由第一擴散板130的注入孔131注入。天線161可經安置以包圍腔室110中的排放管路162。又,天線161可自電源163接收電力以激發排放管路162內的處理氣體,藉此產生電漿164。替代地,提供電極於腔室110的內部空間中之後,電力可施加至所提供的電極以產生電漿。 The plasma generating unit 160 may generate a plasma 164 in a space between the first diffusion plate 130 and the second diffusion plate 150. The plasma generating unit 160 may excite a process gas to generate a plasma 164. Therefore, the plasma generating unit 160 may include a discharge tube 162 and an antenna disposed to surround the discharge tube 162 161 (or inductively coupled coil). The discharge line 162 may be formed of sapphire, quartz, or ceramic, and has a predetermined arched (or box) shape. The discharge line 162 may be disposed in an inner upper portion of the chamber 110. The exhaust line 162 may have an upper portion connected to the process gas supply line 120 and a plasma generating space (ie, a space between the first diffusion plate 130 and the second diffusion plate 150) that is defined with the second diffusion plate 150. The lower part. Here, the processing gas may be distributed into a space between the upper portion of the exhaust pipe 162 and the first diffusion plate 130, and then injected through the injection hole 131 of the first diffusion plate 130. The antenna 161 may be positioned to surround a discharge line 162 in the chamber 110. In addition, the antenna 161 can receive power from the power source 163 to excite the processing gas in the exhaust pipe 162, thereby generating the plasma 164. Alternatively, after an electrode is provided in the internal space of the chamber 110, power may be applied to the provided electrode to generate a plasma.

在根據例示性實施例的基板處理裝置中,處理氣體可經由第一擴散板130而繞過安置於腔室110的中心部分處的處理氣體供應管線120,且接著經由排放孔131均勻地注入。又,處理氣體可廣泛地散佈於第一擴散板130與第二擴散板150之間的空間中。此外,僅中性反應物種可經由第二擴散板150的分佈孔151均勻地引入於基板10上。因此,根據例示性實施例的基板處理裝置可對基板10的整個表面執行均勻基板處理。第一擴散板130及第二擴散板150中的每一者可影響氣體(例如,處理氣體、電漿以及中性反應物種)的流動以允許中性反應物種均勻地散佈於基板10上。 In the substrate processing apparatus according to the exemplary embodiment, the processing gas may bypass the processing gas supply line 120 disposed at the center portion of the chamber 110 via the first diffusion plate 130 and then be uniformly injected through the discharge hole 131. In addition, the processing gas can be widely distributed in a space between the first diffusion plate 130 and the second diffusion plate 150. In addition, only the neutral reactive species may be uniformly introduced on the substrate 10 through the distribution holes 151 of the second diffusion plate 150. Therefore, the substrate processing apparatus according to the exemplary embodiment may perform uniform substrate processing on the entire surface of the substrate 10. Each of the first diffusion plate 130 and the second diffusion plate 150 may affect the flow of a gas (eg, a process gas, a plasma, and a neutral reactive species) to allow the neutral reactive species to be uniformly dispersed on the substrate 10.

圖2為根據例示性實施例的第二擴散板的平面圖,圖3為根據例示性實施例的側壁構件的透視圖,且圖4為根據例示性實施例的第二擴散板及側壁構件的耦接透視圖。 FIG. 2 is a plan view of a second diffusion plate according to an exemplary embodiment, FIG. 3 is a perspective view of a sidewall member according to an exemplary embodiment, and FIG. 4 is a coupling of the second diffusion plate and the sidewall member according to an exemplary embodiment. Then perspective.

參看圖2至圖4,根據例示性實施例的基板處理裝置可更包含側壁構件170,其連接至第二擴散板150的邊緣且具有多個進氣孔(gas induction holes)171。側壁構件170可耦接至第二擴散板150且提供穿過第二擴散板150的中性反應物種在基板10上反應的空間。若不提供側壁構件170,則中性反應物種歸因於由排氣單元210的排氣而可能不充分反應,且接著被排氣。然而,若提供側壁構件170,則中性反應物種的流動可經控制以允許中性反應物種在基板10上充分地反應。多個進氣孔171界定於側壁構件170中。因此,氣體歸因於排氣單元210的抽吸(或泵送)的流動可根據氣體進氣孔171的大小、位置以及數目而進行調整。因此,中性反應物種的流動可受到控制。因此,亦可控制氣體在電漿產生空間中的流動。又,呈氣態狀態的製程(例如,蝕刻或沈積)副產物可由排氣單元210的抽吸(或泵送)而排氣至進氣孔171。又,中性反應物種的移動速度及排氣速度可根據進氣孔171的大小、位置以及數目來調整。中性反應物種可穿過第二擴散板150的分佈孔151以在基板10上反應。因此,經由側壁構件170的進氣孔171到達基板10的中性反應物種的流動可得到控制。因此,中性反應物種的移動速度可得到調整,且中性反應物種可保持在基板10上以提供在基板10上充分反應花費的時間。第二擴散板150及側壁構件170可彼此整合在一起。 2 to 4, the substrate processing apparatus according to the exemplary embodiment may further include a side wall member 170 connected to an edge of the second diffusion plate 150 and having a plurality of gas induction holes 171. The side wall member 170 may be coupled to the second diffusion plate 150 and provide a space for neutral reactive species passing through the second diffusion plate 150 to react on the substrate 10. If the side wall member 170 is not provided, the neutral reactive species may be insufficiently reacted due to the exhaust from the exhaust unit 210 and then exhausted. However, if the sidewall member 170 is provided, the flow of the neutral reactive species may be controlled to allow the neutral reactive species to fully react on the substrate 10. A plurality of air intake holes 171 are defined in the side wall member 170. Therefore, the flow of gas due to the suction (or pumping) of the exhaust unit 210 can be adjusted according to the size, position, and number of the gas inlet holes 171. Therefore, the flow of neutral reactive species can be controlled. Therefore, the flow of gas in the plasma generation space can also be controlled. In addition, a by-product of a process (for example, etching or deposition) in a gaseous state may be exhausted to the air inlet 171 by suction (or pumping) of the exhaust unit 210. The moving speed and exhaust speed of the neutral reaction species can be adjusted according to the size, position, and number of the air inlet holes 171. The neutral reactive species may pass through the distribution holes 151 of the second diffusion plate 150 to react on the substrate 10. Therefore, the flow of the neutral reactive species reaching the substrate 10 through the air inlet 171 of the side wall member 170 can be controlled. Therefore, the moving speed of the neutral reactive species can be adjusted, and the neutral reactive species can be held on the substrate 10 to provide a time required for a sufficient reaction on the substrate 10. The second diffusion plate 150 and the side wall member 170 may be integrated with each other.

圖5為根據例示性實施例的具有大分佈孔的第二擴散板的平面圖,圖6為根據例示性實施例的具有小分佈孔的第二擴散板的平面圖,且圖7為根據例示性實施例的在中心部分中具有大分佈孔及在邊緣部分中具有小分佈孔的第二擴散板的平面圖。圖5 至圖7說明根據例示性實施例的第二擴散板的修改實例。 FIG. 5 is a plan view of a second diffusion plate with large distribution holes according to an exemplary embodiment, FIG. 6 is a plan view of a second diffusion plate with small distribution holes according to an exemplary embodiment, and FIG. 7 is an exemplary implementation according to an exemplary embodiment An example is a plan view of a second diffusion plate having a large distribution hole in the center portion and a small distribution hole in the edge portion. Figure 5 To FIG. 7 illustrate a modified example of the second diffusion plate according to the exemplary embodiment.

參看圖5至圖7,第二擴散板150可具有分佈孔151的有效面積密度(effective area density),所述分佈孔151根據位置而彼此不同。此處,有效面積密度可為每單位面積的分佈孔151的總面積,亦即,第二擴散板150的每單位面積的開口面積(opening area)(亦即,由分佈孔打開的面積)。如圖5中所說明,大的分佈孔151a可總體界定於第二擴散板150中。若分佈孔151過大,則中性反應物種的流動可由排氣單元210集中至排氣方向上以引起中性反應物種在基板10上的不均勻分佈。如圖6中所說明,小的分佈孔151b可總體界定於第二擴散板150中。若分佈孔151b過小,則中性反應物種的移動速度可為緩慢而增加處理時間。又,當具有相同大小的分佈孔151總體界定於第二擴散板150中時,歸因於第一擴散板130的注入孔131的界定於邊緣中的位置及排氣單元210提供於邊緣中的排氣方向,相較於基板10的中心部分,更多量的中性反應物種可被供應至基板的邊緣部分以引起中性反應物種的不均勻分佈。然而,分佈孔151可根據位置而具有彼此不同的大小或密度以允許中性反應物種均勻地分佈。因此,第二擴散板150可根據位置而具有在大小或密度上不同的分佈孔151,且因此具有分佈孔151的有效面積密度,分佈孔根據位置而彼此不同。舉例而言,界定於第二擴散板150的中心部分中的每一分佈孔151的大小可大於界定於邊緣部分中的每一分佈孔151的大小,或分佈孔151可根據距第二擴散板150的中心的距離而具有逐漸增加或減少的大小。 5 to 7, the second diffusion plate 150 may have an effective area density of the distribution holes 151, which are different from each other according to positions. Here, the effective area density may be a total area of the distribution holes 151 per unit area, that is, an opening area per unit area of the second diffusion plate 150 (ie, an area opened by the distribution holes). As illustrated in FIG. 5, the large distribution holes 151 a may be generally defined in the second diffusion plate 150. If the distribution holes 151 are too large, the flow of the neutral reactive species may be concentrated in the exhaust direction by the exhaust unit 210 to cause uneven distribution of the neutral reactive species on the substrate 10. As illustrated in FIG. 6, the small distribution holes 151 b may be generally defined in the second diffusion plate 150. If the distribution hole 151b is too small, the moving speed of the neutral reaction species may be slow and increase the processing time. In addition, when the distribution holes 151 having the same size are generally defined in the second diffusion plate 150, the positions of the injection holes 131 due to the first diffusion plate 130 are defined in the edges and the exhaust unit 210 provided in the edges In the exhaust direction, a larger amount of the neutral reactive species may be supplied to the edge portion of the substrate than the central portion of the substrate 10 to cause uneven distribution of the neutral reactive species. However, the distribution holes 151 may have different sizes or densities from each other depending on the position to allow the neutral reactive species to be uniformly distributed. Therefore, the second diffusion plate 150 may have distribution holes 151 that are different in size or density depending on the position, and thus have an effective area density of the distribution holes 151 that are different from each other depending on the position. For example, the size of each distribution hole 151 defined in the center portion of the second diffusion plate 150 may be larger than the size of each distribution hole 151 defined in the edge portion, or the distribution holes 151 may be determined according to the distance from the second diffusion plate. The distance of the center of 150 has a size that gradually increases or decreases.

在第二擴散板150中,分佈孔151在中心部分處的有效 面積密度可大於邊緣部分的有效面積密度。舉例而言,如圖7中所說明,中心部分中的分佈孔151a的大小可大於邊緣部分中的分佈孔151b的大小,使得中心部分中分佈孔151a的有效面積密度大於邊緣部分中分佈孔151b的有效面積密度。在此狀況下,引入至第二擴散板150的中心部分中的中性反應物種可增加以允許中性反應物種在基板10上均勻地分佈。一般而言,由於第一擴散板130的注入孔131界定於邊緣部分中,且排氣單元210的排氣方向在邊緣部分的方向上導向(directed),因此氣體的流動可集中至邊緣部分中。因此,由於到達基板10的中性反應物種的量在第二擴散板150的中心部分處較少,因此基板10的中心部分處的反應可能不會良好地發生。出於此原因,當界定於第二擴散板150的中心部分中的分佈孔151a的有效面積密度大於界定於第二擴散板150的邊緣部分中的分佈孔151b的有效面積密度時,引入至第二擴散板150的中心部分中的中性反應物種的流入量可增加。因此,中性反應物種可在基板10上均勻地分佈。 In the second diffusion plate 150, the distribution holes 151 are effective at the center portion The area density may be greater than the effective area density of the edge portion. For example, as illustrated in FIG. 7, the size of the distribution holes 151a in the center portion may be larger than the size of the distribution holes 151b in the edge portion, so that the effective area density of the distribution holes 151a in the center portion is greater than the distribution holes 151b in the edge portion Effective area density. In this case, the neutral reactive species introduced into the center portion of the second diffusion plate 150 may be increased to allow the neutral reactive species to be uniformly distributed on the substrate 10. Generally speaking, since the injection hole 131 of the first diffusion plate 130 is defined in the edge portion, and the exhaust direction of the exhaust unit 210 is directed in the direction of the edge portion, the flow of the gas can be concentrated in the edge portion . Therefore, since the amount of the neutral reactive species reaching the substrate 10 is small at the center portion of the second diffusion plate 150, the reaction at the center portion of the substrate 10 may not occur well. For this reason, when the effective area density of the distribution holes 151a defined in the center portion of the second diffusion plate 150 is greater than the effective area density of the distribution holes 151b defined in the edge portion of the second diffusion plate 150, it is introduced to the first The inflow amount of the neutral reactive species in the center portion of the two diffusion plate 150 may increase. Therefore, the neutral reactive species can be uniformly distributed on the substrate 10.

圖8為根據例示性實施例的插入至第二擴散板的分佈孔中的插入主體的視圖。 FIG. 8 is a view of an insertion body inserted into a distribution hole of a second diffusion plate according to an exemplary embodiment.

參看圖8,基板處理裝置可更包含插入至分佈孔151中以調整第二擴散板150的開口面積的插入主體220。插入主體220可具有插塞形狀。插入主體220可插入至分佈孔151中以阻擋分佈孔151。在此狀況下,可能不需要再次製造第二擴散板150就改變分佈孔151的排列結構。亦即,可藉由僅將插入主體220a插入來輕易改變分佈孔151的排列結構。此外,分佈孔151可具有分佈孔151的有效面積密度,分佈孔根據位置而彼此不同。因此, 僅將插入主體220a插入就可輕易調整中性反應物種的流動。 Referring to FIG. 8, the substrate processing apparatus may further include an insertion body 220 inserted into the distribution hole 151 to adjust an opening area of the second diffusion plate 150. The insertion body 220 may have a plug shape. The insertion body 220 may be inserted into the distribution hole 151 to block the distribution hole 151. In this case, the arrangement structure of the distribution holes 151 may not be changed without manufacturing the second diffusion plate 150 again. That is, the arrangement structure of the distribution holes 151 can be easily changed by inserting only the insertion body 220a. In addition, the distribution holes 151 may have an effective area density of the distribution holes 151, and the distribution holes are different from each other according to a position. therefore, By simply inserting the insertion body 220a, the flow of the neutral reactive species can be easily adjusted.

插入主體220b可包含穿過插入主體的中心部分的通孔221。當具有通孔221的插入主體220b插入至分佈孔151中時,可調整分佈孔151的大小以調整中性反應物種的精細流動。因此,根據腔室110的條件以及諸如泵送速度的製程條件的精細差異可藉由將插入主體220b插入來調整。因此,中性反應物種可更均勻地分佈於基板10上。又,通孔221可具有各種大小。因此,經由具有各種大小的通孔221,可更精細地調整中性反應物種的流動。 The insertion body 220b may include a through hole 221 passing through a center portion of the insertion body. When the insertion body 220b having the through hole 221 is inserted into the distribution hole 151, the size of the distribution hole 151 can be adjusted to adjust the fine flow of the neutral reaction species. Therefore, fine differences according to the conditions of the chamber 110 and process conditions such as the pumping speed can be adjusted by inserting the insertion body 220b. Therefore, the neutral reactive species can be more uniformly distributed on the substrate 10. The through hole 221 may have various sizes. Therefore, through the through holes 221 having various sizes, the flow of the neutral reactive species can be more finely adjusted.

經阻擋的插入主體220a及具有通孔221的插入主體220b可彼此一起使用。在此狀況下,可更準確地調整中性反應物種的流動。 The blocked insertion body 220a and the insertion body 220b having the through hole 221 may be used with each other. In this situation, the flow of neutral reactive species can be adjusted more accurately.

圖9為根據例示性實施例的具有包含多個級的多級結構的第二擴散板的剖面圖,其中所述級的分佈孔在位置上彼此不同,且圖10為根據例示性實施例的具有包含多個級的多級結構的第二擴散板的剖面圖,其中所述級的分佈孔在位置及大小上彼此不同。圖9至圖10說明根據例示性實施例的用於解釋第二擴散板的多級結構的概念圖。 FIG. 9 is a cross-sectional view of a second diffuser plate having a multi-stage structure including a plurality of stages according to an exemplary embodiment, in which distribution holes of the stages are different from each other in position, and FIG. 10 is a cross-sectional view of an exemplary embodiment. Sectional view of a second diffuser plate having a multi-stage structure including a plurality of stages, wherein the distribution holes of the stages are different from each other in position and size. 9 to 10 illustrate conceptual views for explaining a multi-stage structure of a second diffusion plate according to an exemplary embodiment.

參看圖9及圖10,第二擴散板150可具有多個多級結構。此處,鄰接於彼此的級中的分佈孔151在位置上可彼此不同。界定於鄰接於彼此的級中的分佈孔151,如圖9中所說明在位置上彼此不同,如圖10中所說明可在位置及大小上彼此不同,或在大小上可彼此不同但可界定於相同位置處。在此狀況下,中性反應物種可控制成流動至多個第二擴散板150。到達基板10的中性反應物種的量及移動(或引入)速度可根據基板10的位置進行調整。 當第二擴散板150與基板10之間的距離為短時,中性反應物種的引入速度可為快速的,且中性反應物種在基板10上的反應時間可能被縮短。因此,在界定分佈孔151所在的位置處以及不界定分佈孔151所在的位置處,基板處理的均勻性差異可發生。因此,當第二擴散板150具有多個多級結構時,儘管第二擴散板150與基板10之間的距離為短的,但歸因於中性反應物種流動的瓶頸現象,中性反應物種的引入速度可降低,以允許中性反應物種在基板10上的均勻分佈。 Referring to FIGS. 9 and 10, the second diffusion plate 150 may have a plurality of multi-stage structures. Here, the distribution holes 151 in the stages adjacent to each other may be different from each other in position. The distribution holes 151 defined in stages adjacent to each other are different from each other in position as illustrated in FIG. 9, and may be different from each other in position and size as illustrated in FIG. 10, or may be different from each other in size but may be defined At the same location. In this case, the neutral reactive species may be controlled to flow to the plurality of second diffusion plates 150. The amount of the neutral reactive species reaching the substrate 10 and the moving (or introducing) speed can be adjusted according to the position of the substrate 10. When the distance between the second diffusion plate 150 and the substrate 10 is short, the introduction rate of the neutral reactive species may be fast, and the reaction time of the neutral reactive species on the substrate 10 may be shortened. Therefore, at the position where the distribution holes 151 are defined and where the distribution holes 151 are not defined, a difference in uniformity of substrate processing may occur. Therefore, when the second diffusion plate 150 has a plurality of multi-stage structures, although the distance between the second diffusion plate 150 and the substrate 10 is short, due to the bottleneck phenomenon of the flow of the neutral reactive species, the neutral reactive species The introduction speed may be reduced to allow uniform distribution of the neutral reactive species on the substrate 10.

根據例示性實施例的基板處理裝置可更包含用於調整第一擴散板130與第二擴散板150之間的距離的位置調整單元(未圖示)。位置調整單元可調整第二擴散板150的位置以調整第一擴散板130與第二擴散板150之間的距離。當調整第一擴散板130與第二擴散板150之間的距離時,可調整電漿產生空間以提供處理氣體經廣泛散佈的足夠空間。又,當處理氣體以擴散板130與第二擴散板150之間的預定距離可在第一擴散板130與第二擴散板150之間的空間中被均勻地分佈時,可產生電漿164。又,第二擴散板150可在位置上進行調整以調整基板10與第二擴散板150之間的距離。此處,第一擴散板130與第二擴散板150之間的距離亦可根據第二擴散板150的位置進行調整。若基板10與第二擴散板150之間的距離為短的,則可更均勻地執行諸如蝕刻的基板處理,且因此基板處理速率(例如,蝕刻速率)可增加更多。又,在蝕刻製程中,選擇率(例如,天然氧化物層與氮化物層的蝕刻比率)亦可增加更多。若基板10與第二擴散板150之間的距離為大約50mm或50mm以下且分佈孔151的直徑為10mm或10mm 以上,當蝕刻基板10的表面之後於基板10的表面上沈積薄膜時,膜色彩現象的發生可歸因於第二擴散板150與分佈孔151的安排配置。然而,若基板10與第二擴散板150之間的距離為大約50mm或50mm以下,則分佈孔151的直徑可為10mm或10mm以下以解決上述限制。此處,第二擴散板150可具有多級結構以允許瓶頸現象發生於中性反應物種的流動中,藉此實現更均勻的諸如蝕刻及沈積的基板處理。當基板10的表面為不均勻時,膜色彩可被看到,或所沈積薄膜歸因於不均勻蝕刻而具有不均勻厚度。當分佈孔151的直徑為10mm或10mm以下時,中性反應物種的流動可為均勻的以防止膜色彩現象發生。 The substrate processing apparatus according to the exemplary embodiment may further include a position adjustment unit (not shown) for adjusting a distance between the first diffusion plate 130 and the second diffusion plate 150. The position adjustment unit can adjust the position of the second diffusion plate 150 to adjust the distance between the first diffusion plate 130 and the second diffusion plate 150. When the distance between the first diffusion plate 130 and the second diffusion plate 150 is adjusted, the plasma generation space can be adjusted to provide sufficient space for the processing gas to be widely distributed. Also, when the processing gas can be uniformly distributed in a space between the first diffusion plate 130 and the second diffusion plate 150 at a predetermined distance between the diffusion plate 130 and the second diffusion plate 150, the plasma 164 may be generated. In addition, the second diffusion plate 150 can be adjusted in position to adjust the distance between the substrate 10 and the second diffusion plate 150. Here, the distance between the first diffusion plate 130 and the second diffusion plate 150 can also be adjusted according to the position of the second diffusion plate 150. If the distance between the substrate 10 and the second diffusion plate 150 is short, substrate processing such as etching can be performed more uniformly, and thus the substrate processing rate (for example, the etching rate) can be increased more. In addition, in the etching process, the selectivity (for example, the etching ratio of the natural oxide layer and the nitride layer) can be increased more. If the distance between the substrate 10 and the second diffusion plate 150 is about 50 mm or less and the diameter of the distribution hole 151 is 10 mm or 10 mm Above, when a thin film is deposited on the surface of the substrate 10 after the surface of the substrate 10 is etched, the occurrence of the film color phenomenon can be attributed to the arrangement of the second diffusion plate 150 and the distribution holes 151. However, if the distance between the substrate 10 and the second diffusion plate 150 is about 50 mm or less, the diameter of the distribution holes 151 may be 10 mm or less to solve the above limitation. Here, the second diffusion plate 150 may have a multi-stage structure to allow a bottleneck phenomenon to occur in the flow of the neutral reactive species, thereby achieving more uniform substrate processing such as etching and deposition. When the surface of the substrate 10 is uneven, the film color can be seen, or the deposited thin film has an uneven thickness due to uneven etching. When the diameter of the distribution holes 151 is 10 mm or less, the flow of the neutral reactive species may be uniform to prevent the film color phenomenon from occurring.

根據例示性實施例的基板處理裝置可更包含多個排氣口(exhaust ports)180,所述排氣口180具有多級結構,所述排氣口180以鄰近於腔室110的內壁的位置沿著基板支撐件140的周界彼此對稱地安置。排氣口180可具有多級結構。亦即,包含多個排氣口180的排氣口板181可以多級形式安置,使得排氣口180沿著基板支撐件140的周界對稱於彼此地安置。每一級中的排氣口180的大小及形狀可進行改變以調整氣體的流動且允許中性反應物種均勻地分佈於基板10上。腔室110內的真空度可由排氣口180維持,且中性反應物種的流動在基板10的整個表面上可為均勻的。此外,製程副產物可由排氣口180排氣。排氣口板181可經提供作為環形板。排氣口板181可包含自環形板彎曲的側壁。側壁可具有短長度(short length)181b及長長度(long length)181c。側壁可誘發排氣流。此處,側壁可防止排氣至排氣口180中的廢氣洩漏至另一地方且亦誘發排氣流,使得廢氣經良好排氣至排氣 單元210。最上排氣口板181a可連接至側壁構件170。最上排氣口板181a及側壁構件170可彼此連接以防止排氣至進氣孔171中的廢氣洩漏至另一地方以允許廢氣被良好排氣至排氣口180中。 The substrate processing apparatus according to the exemplary embodiment may further include a plurality of exhaust ports 180 having a multi-stage structure. The exhaust ports 180 are adjacent to the inner wall of the chamber 110. The positions are arranged symmetrically to each other along the periphery of the substrate support 140. The exhaust port 180 may have a multi-stage structure. That is, the exhaust port plates 181 including the plurality of exhaust ports 180 may be disposed in a multi-stage form such that the exhaust ports 180 are disposed symmetrically to each other along the periphery of the substrate support 140. The size and shape of the exhaust port 180 in each stage can be changed to adjust the gas flow and allow the neutral reactive species to be evenly distributed on the substrate 10. The degree of vacuum in the chamber 110 may be maintained by the exhaust port 180, and the flow of the neutral reactive species may be uniform over the entire surface of the substrate 10. In addition, process by-products can be exhausted from the exhaust port 180. The exhaust port plate 181 may be provided as an annular plate. The exhaust port plate 181 may include a side wall bent from the annular plate. The sidewall may have a short length 181b and a long length 181c. The side walls can induce exhaust flow. Here, the side wall can prevent the exhaust gas from leaking into the exhaust port 180 from leaking to another place and also induce the exhaust flow, so that the exhaust gas is exhausted to the exhaust well. Unit 210. The uppermost exhaust port plate 181 a may be connected to the side wall member 170. The uppermost exhaust port plate 181a and the side wall member 170 may be connected to each other to prevent exhaust gas exhausted into the intake hole 171 from leaking to another place to allow exhaust gas to be exhausted well into the exhaust port 180.

根據例示性實施例的基體基板處理裝置可更包含阻擋環190,所述阻擋環190沿著基板支撐件140的周界自基板支撐件140的邊緣部分延伸。阻擋環190可引導基板10,使得基板10在基板10移動時由基板支撐件140穩定地支撐。又,阻擋環190可減小基板支撐件140與側壁構件170之間的間隙,以使中性反應物種歸因於排氣單元210的排氣而於在基板10上不反應的情況下被排氣的現象最小化。亦即,阻擋環190可控制中性反應物種的流動,使得中性反應物種穿過第二擴散板150的分佈孔151以在基板10上反應,且接著經由進氣孔171排氣至排氣口180。又,阻擋環190可充當排氣口板181的側壁以使排氣至排氣口180中的廢氣洩漏至另一地方的現象最小化且誘發排氣流,使得廢氣被良好排氣至排氣單元210。亦即,阻擋環190可誘發包含由蝕刻及沈積產生的製程副產物的廢氣的排氣路徑,使得廢氣穿過側壁構件170的進氣孔171,且接著經由排氣口180經排氣至排氣單元210中。 The base substrate processing apparatus according to the exemplary embodiment may further include a blocking ring 190 extending from an edge portion of the substrate support 140 along a periphery of the substrate support 140. The blocking ring 190 may guide the substrate 10 so that the substrate 10 is stably supported by the substrate support 140 when the substrate 10 is moved. In addition, the blocking ring 190 can reduce the gap between the substrate support 140 and the side wall member 170 so that the neutral reactive species is discharged due to the exhaust of the exhaust unit 210 and does not react on the substrate 10 The phenomenon of gas is minimized. That is, the blocking ring 190 may control the flow of the neutral reactive species so that the neutral reactive species passes through the distribution holes 151 of the second diffusion plate 150 to react on the substrate 10, and then exhausts to exhaust through the air inlet 171 Mouth 180. In addition, the blocking ring 190 can serve as a side wall of the exhaust port plate 181 to minimize the leakage of exhaust gas to the exhaust port 180 to another place and induce an exhaust flow, so that the exhaust gas is well exhausted to the exhaust gas. Unit 210. That is, the barrier ring 190 may induce an exhaust path including exhaust gas that is a by-product of the process generated by etching and deposition, so that the exhaust gas passes through the air inlet hole 171 of the side wall member 170 and is then exhausted to the exhaust through the exhaust port 180气 单元 210。 In the gas unit 210.

在根據例示性實施例的基板處理裝置中,第一擴散板130及第二擴散板150中的每一者可影響氣體(例如,處理氣體、電漿以及中性反應物種)的流動以允許中性反應物種均勻地分佈於基板10上。又,可經由側壁構件170及排氣口180更準確執行基板處理。如上文所描述,根據例示性實施例的基板處理裝置可藉由經由各種組件調整氣體的流動而在基板10的整個表面上執行均勻的諸如蝕刻及沈積的基板處理。此外,組件可在結構上改變以 執行更均勻的基板處理。 In the substrate processing apparatus according to an exemplary embodiment, each of the first diffusion plate 130 and the second diffusion plate 150 may affect the flow of a gas (for example, a processing gas, a plasma, and a neutral reactive species) to allow neutralization. Sexually reactive species are evenly distributed on the substrate 10. Moreover, the substrate processing can be performed more accurately through the side wall member 170 and the exhaust port 180. As described above, the substrate processing apparatus according to the exemplary embodiment may perform uniform substrate processing such as etching and deposition on the entire surface of the substrate 10 by adjusting the flow of a gas through various components. In addition, components can be structurally changed to Perform more uniform substrate processing.

如上文所描述,在根據例示性實施例的基板處理裝置中,用於分佈處理氣體的第一擴散板及用於分佈電漿的第二擴散板可用以實現電漿的均勻分佈。因此,可在基板的整個表面上均勻地執行諸如蝕刻及沈積的基板處理。又,當產生電漿時,經由第二擴散板,基板不會直接暴露至電漿。因此,可防止基板及形成於基板上的電路元件在腔室內受到電弧產生、離子碰撞以及離子注入的損害。因此,基板及形成於基板上的電路元件的處理瑕疵可被最小化。又,可將第二擴散板接地以對電漿中帶電荷的離子及電子進行過濾。因此,由於僅中性反應物種被引入至基板上,因此帶電荷離子及電子對基板及基板周圍的有害影響可被最小化。又,可防止基板及基板周圍受到電漿損害。此外,分佈孔的有效面積密度可藉由使用插入至第二擴散板的分佈孔中的插入主體來簡單地調整。因此,儘管改變了製程條件,但中性反應物種可被均勻地分佈。又,第二擴散板可具有多級結構以控制中性反應物種的流動。又,每一級中的排氣口大小及形狀可改變以調整氣體的流動且允許中性反應物種均勻地分佈於基板上。腔室內的真空度可藉由排氣口維持,且中性反應物種的流動在基板的整個表面上可為均勻的。此外,製程副產物可由排氣口排氣。 As described above, in the substrate processing apparatus according to the exemplary embodiment, the first diffusion plate for distributing the processing gas and the second diffusion plate for distributing the plasma may be used to achieve uniform distribution of the plasma. Therefore, substrate processing such as etching and deposition can be performed uniformly on the entire surface of the substrate. When a plasma is generated, the substrate is not directly exposed to the plasma through the second diffusion plate. Therefore, the substrate and the circuit elements formed on the substrate can be prevented from being damaged by arc generation, ion collision, and ion implantation in the chamber. Therefore, processing defects of the substrate and circuit elements formed on the substrate can be minimized. In addition, the second diffusion plate can be grounded to filter the charged ions and electrons in the plasma. Therefore, since only neutral reactive species are introduced onto the substrate, harmful effects of the charged ions and electrons on the substrate and the periphery of the substrate can be minimized. In addition, it is possible to prevent the substrate and the periphery of the substrate from being damaged by the plasma. In addition, the effective area density of the distribution holes can be easily adjusted by using an insertion body inserted into the distribution holes of the second diffusion plate. Therefore, despite changing process conditions, neutral reactive species can be evenly distributed. Also, the second diffuser plate may have a multi-stage structure to control the flow of the neutral reactive species. In addition, the size and shape of the exhaust ports in each stage can be changed to adjust the gas flow and allow the neutral reactive species to be evenly distributed on the substrate. The degree of vacuum in the chamber can be maintained by the exhaust port, and the flow of the neutral reactive species can be uniform over the entire surface of the substrate. In addition, process by-products can be vented through the exhaust port.

在根據例示性實施例的基板處理裝置中,用於分佈處理氣體的第一擴散板及用於分佈電漿的第二擴散板可用以實現電漿的均勻分佈。因此,諸如蝕刻及沈積的基板處理可在基板的整個表面上均勻地執行。 In the substrate processing apparatus according to the exemplary embodiment, a first diffusion plate for distributing a processing gas and a second diffusion plate for distributing a plasma may be used to achieve uniform distribution of the plasma. Therefore, substrate processing such as etching and deposition can be performed uniformly over the entire surface of the substrate.

又,當產生電漿時,經由第二擴散板,基板不會直接暴 露至電漿。因此,可防止基板及形成於基板上的電路元件在腔室內受到電弧產生、離子碰撞以及離子注入的損害。因此,基板及形成於基板上的電路元件的處理瑕疵可被最小化。又,可將第二擴散板接地以對電漿中帶電荷的離子及電子進行過濾。因此,由於僅中性反應物種被引入至基板上,因此帶電荷離子及電子對基板及基板周圍的有害影響可被最小化。又,可防止基板及基板周圍受到電漿損害。 In addition, when the plasma is generated, the substrate will not be directly exposed via the second diffusion plate. Exposed to the plasma. Therefore, the substrate and the circuit elements formed on the substrate can be prevented from being damaged by arc generation, ion collision, and ion implantation in the chamber. Therefore, processing defects of the substrate and circuit elements formed on the substrate can be minimized. In addition, the second diffusion plate can be grounded to filter the charged ions and electrons in the plasma. Therefore, since only neutral reactive species are introduced onto the substrate, harmful effects of the charged ions and electrons on the substrate and the periphery of the substrate can be minimized. In addition, it is possible to prevent the substrate and the periphery of the substrate from being damaged by the plasma.

此外,分佈孔的有效面積密度可藉由使用插入至第二擴散板的分佈孔中的插入主體來簡單地調整。因此,儘管改變製程條件,但中性反應物種(或電漿)可被均勻地分佈。又,第二擴散板可具有多級結構以控制中性反應物種(或電漿)的流動。 In addition, the effective area density of the distribution holes can be easily adjusted by using an insertion body inserted into the distribution holes of the second diffusion plate. Therefore, despite changing process conditions, the neutral reactive species (or plasma) can be evenly distributed. In addition, the second diffusion plate may have a multi-stage structure to control the flow of the neutral reactive species (or plasma).

儘管已參考實施例的數個說明性實施例描述了實施例,但應理解,可由所屬領域中具通常知識者設計出將屬於本發明的原理的精神及範疇內的眾多其他修改及實施例。更特定而言,可能存在屬於本發明、圖式以及所附申請專利範圍的範疇內的標的組合排列的組成部分及/或排列的各種變化及修改。除組件構件及/或排列的變化及修改之外,替代性使用對於所屬領域中具通常知識者亦將為顯而易見。因此,本發明的受保護範疇應由隨附申請專利範圍的技術範疇來判定。 Although the embodiments have been described with reference to several illustrative embodiments thereof, it should be understood that numerous other modifications and embodiments can be devised by those of ordinary skill in the art that will fall within the spirit and scope of the principles of the invention. More specifically, there may be various changes and modifications to the components and / or arrangements of the subject combination arrangement that fall within the scope of the invention, the drawings, and the scope of the appended patent applications. In addition to variations and modifications in the component parts and / or arrangements, alternative uses will also be apparent to those having ordinary knowledge in the art. Therefore, the protected scope of the present invention should be determined by the technical scope of the accompanying patent application scope.

Claims (9)

一種基板處理裝置,其包括:腔室,其經配置以提供基板處理空間;處理氣體供應管線,其經配置以將處理氣體供應至所述腔室中;第一擴散板,其在其邊緣部分中具有注入孔,所述處理氣體經由所述注入孔被注入;基板支撐件,其經安置以面向所述第一擴散板並經配置以支撐基板;第二擴散板,其安置於所述第一擴散板與所述基板支撐件之間且具有多個分佈孔;電漿產生單元,其經配置以在所述第一擴散板與所述第二擴散板之間的空間中產生電漿;以及側壁構件,其連接至所述第二擴散板的邊緣且具有多個進氣孔。A substrate processing apparatus includes a chamber configured to provide a substrate processing space, a processing gas supply line configured to supply a processing gas into the chamber, and a first diffusion plate at an edge portion thereof There is an injection hole therein, and the processing gas is injected through the injection hole; a substrate support member is disposed to face the first diffusion plate and configured to support the substrate; a second diffusion plate is disposed at the first There is a plurality of distribution holes between a diffusion plate and the substrate support; a plasma generating unit configured to generate a plasma in a space between the first diffusion plate and the second diffusion plate; And a side wall member connected to an edge of the second diffuser plate and having a plurality of air inlet holes. 如申請專利範圍第1項所述的基板處理裝置,其中所述第二擴散板具有所述分佈孔的有效面積密度,所述分佈孔根據所述分佈孔的位置而彼此不同。The substrate processing apparatus according to claim 1, wherein the second diffusion plate has an effective area density of the distribution holes, and the distribution holes are different from each other according to a position of the distribution holes. 如申請專利範圍第2項所述的基板處理裝置,其中所述分佈孔在所述第二擴散板的中心部分中的所述有效面積密度大於所述分佈孔在所述第二擴散板的邊緣部分中的有效面積密度。The substrate processing apparatus according to item 2 of the scope of patent application, wherein the effective area density of the distribution holes in a central portion of the second diffusion plate is greater than that of the distribution holes in an edge of the second diffusion plate Effective area density in sections. 如申請專利範圍第1項所述的基板處理裝置,其更包括插入主體,所述插入主體插入至所述分佈孔中的每一者中以調整所述第二擴散板的開口面積。The substrate processing apparatus according to item 1 of the scope of patent application, further comprising an insertion body inserted into each of the distribution holes to adjust an opening area of the second diffusion plate. 如申請專利範圍第4項所述的基板處理裝置,其中所述插入主體具有穿過所述插入主體的中心部分的通孔。The substrate processing apparatus according to item 4 of the scope of patent application, wherein the insertion body has a through hole passing through a central portion of the insertion body. 如申請專利範圍第1項所述的基板處理裝置,其中所述第二擴散板具有包括多個級的多級結構,且鄰接於彼此的所述級中的所述分佈孔在位置上彼此不同。The substrate processing apparatus according to item 1 of the scope of patent application, wherein the second diffusion plate has a multi-stage structure including a plurality of stages, and the distribution holes in the stages adjacent to each other are different from each other in position. . 如申請專利範圍第1項所述的基板處理裝置,其更包括位置調整單元,所述位置調整單元經配置以調整所述第一擴散板與所述第二擴散板之間的距離。The substrate processing apparatus according to item 1 of the scope of patent application, further comprising a position adjustment unit configured to adjust a distance between the first diffusion plate and the second diffusion plate. 如申請專利範圍第1項所述的基板處理裝置,其更包括多個排氣口,所述排氣口在鄰近於所述腔室的內壁的位置處沿著所述基板支撐件的周界彼此對稱地安置且具有多級結構。The substrate processing apparatus according to item 1 of the scope of patent application, further comprising a plurality of exhaust ports along a periphery of the substrate support at a position adjacent to an inner wall of the chamber. The circles are arranged symmetrically to each other and have a multi-level structure. 如申請專利範圍第1項所述的基板處理裝置,其更包括阻擋環,所述阻擋環沿著所述基板支撐件的周界自所述基板支撐件的邊緣部分延伸。The substrate processing apparatus according to item 1 of the scope of patent application, further comprising a blocking ring extending from an edge portion of the substrate support along a periphery of the substrate support.
TW105110177A 2015-04-20 2016-03-31 Substrate processing apparatus TWI634587B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
??10-2015-0055297 2015-04-20
KR1020150055297A KR101682155B1 (en) 2015-04-20 2015-04-20 Substrate processing apparatus

Publications (2)

Publication Number Publication Date
TW201705197A TW201705197A (en) 2017-02-01
TWI634587B true TWI634587B (en) 2018-09-01

Family

ID=57143453

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105110177A TWI634587B (en) 2015-04-20 2016-03-31 Substrate processing apparatus

Country Status (6)

Country Link
US (1) US20180122638A1 (en)
JP (1) JP6499771B2 (en)
KR (1) KR101682155B1 (en)
CN (1) CN107466421B (en)
TW (1) TWI634587B (en)
WO (1) WO2016171451A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
KR102009348B1 (en) * 2017-09-20 2019-08-09 주식회사 유진테크 Batch type plasma substrate processing apparatus
KR20200072557A (en) * 2017-12-27 2020-06-22 매슨 테크놀로지 인크 Plasma processing apparatus and method
JP7166147B2 (en) * 2018-11-14 2022-11-07 東京エレクトロン株式会社 Plasma processing equipment
KR20210109640A (en) * 2019-01-23 2021-09-06 램 리써치 코포레이션 Substrate Processing System Including Dual Ion Filters for Downstream Plasma
CN116884826A (en) * 2019-01-25 2023-10-13 玛特森技术公司 Post plasma gas injection in barrier
GB201904587D0 (en) * 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus
KR102187121B1 (en) * 2019-04-30 2020-12-07 피에스케이 주식회사 A substrate processing apparatus
CN110170286B (en) * 2019-07-06 2021-10-01 河南佰利联新材料有限公司 Dry gas sealing oxidation charging reactor capable of being adjusted on line
CN111471980B (en) * 2020-04-15 2022-05-27 北京北方华创微电子装备有限公司 Reaction chamber suitable for remote plasma cleaning, deposition equipment and cleaning method
KR20230122133A (en) * 2021-05-11 2023-08-22 어플라이드 머티어리얼스, 인코포레이티드 Gas injectors for epitaxy and CVD chambers

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW473865B (en) * 1999-11-10 2002-01-21 Nippon Electric Co Plasma CVD apparatus for large area CVD film
US20090025877A1 (en) * 2003-11-14 2009-01-29 Gwang Ho Hur Flat panel display manufacturing apparatus
KR20090024518A (en) * 2007-09-04 2009-03-09 주식회사 유진테크 Substrate processing unit
CN101849279A (en) * 2007-09-04 2010-09-29 株式会社Eugene科技 Exhaust unit, exhaust method using the exhaust unit, and substrate processing apparatus including the exhaust unit

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4405496B2 (en) * 1997-02-24 2010-01-27 株式会社エフオーアイ Plasma processing equipment
KR100748798B1 (en) 1999-05-06 2007-08-13 동경 엘렉트론 주식회사 Plasma etching apparatus
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2005251803A (en) * 2004-03-01 2005-09-15 Canon Inc Plasma processing apparatus and method of designing the same
JPWO2006129643A1 (en) * 2005-05-31 2009-01-08 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR100849929B1 (en) * 2006-09-16 2008-08-26 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a showerhead regulating the injection velocity of reactive gases positively and a method thereof
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP2008282888A (en) * 2007-05-09 2008-11-20 Canon Anelva Corp Vacuum processing apparatus and vacuum processing method
JP4883368B2 (en) * 2007-07-31 2012-02-22 三菱マテリアル株式会社 Single crystal silicon electrode plate for plasma etching
KR20090024522A (en) * 2007-09-04 2009-03-09 주식회사 유진테크 Substrate processing unit
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
JP5323628B2 (en) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 Plasma processing equipment
EP2360292B1 (en) * 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
JP5685094B2 (en) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
TWI762170B (en) * 2011-10-05 2022-04-21 美商應用材料股份有限公司 Plasma processing apparatus comprising symmetric plasma process chamber and lid assembly for the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW473865B (en) * 1999-11-10 2002-01-21 Nippon Electric Co Plasma CVD apparatus for large area CVD film
US20090025877A1 (en) * 2003-11-14 2009-01-29 Gwang Ho Hur Flat panel display manufacturing apparatus
KR20090024518A (en) * 2007-09-04 2009-03-09 주식회사 유진테크 Substrate processing unit
CN101849279A (en) * 2007-09-04 2010-09-29 株式会社Eugene科技 Exhaust unit, exhaust method using the exhaust unit, and substrate processing apparatus including the exhaust unit

Also Published As

Publication number Publication date
TW201705197A (en) 2017-02-01
JP6499771B2 (en) 2019-04-10
CN107466421A (en) 2017-12-12
WO2016171451A1 (en) 2016-10-27
US20180122638A1 (en) 2018-05-03
KR101682155B1 (en) 2016-12-02
KR20160124534A (en) 2016-10-28
JP2018517276A (en) 2018-06-28
CN107466421B (en) 2019-05-28

Similar Documents

Publication Publication Date Title
TWI634587B (en) Substrate processing apparatus
TWI804472B (en) Plasma screen, plasma processing chamber and method for processing substrate
TWI704845B (en) Process chamber for cyclic and selective material removal and etching
US20150348755A1 (en) Gas distribution apparatus and substrate processing apparatus including same
TWI452627B (en) Plasma processing apparatus and method
KR100725037B1 (en) Apparatus and method for treating semiconductor device with plasma
WO2017027165A1 (en) Apparatus and techniques to treat substrates using directional plasma and reactive gas
JP2017528923A (en) Method and apparatus for selective deposition
US10388528B2 (en) Non-ambipolar electric pressure plasma uniformity control
KR20160134908A (en) Substrate processing apparatus
JP2001181848A (en) Plasma treatment equipment
KR101445226B1 (en) Exhaust ring assembly and apparatus for treating including the assembly
TWI760472B (en) Film forming method
KR101632376B1 (en) Substrate processing apparatus
KR101197020B1 (en) Substrate processing apparatus for uniform plasma discharge and method of adjusting strength of plasma discharge
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
KR101614032B1 (en) Substrate processing apparatus
US20180258531A1 (en) Diffuser design for flowable cvd
KR20140126518A (en) Substrate processing apparatus
US20230207262A1 (en) Plasma generation unit, and apparatus for treating substrate with the same
TW201426897A (en) Deposition shield for plasma enhanced substrate processing
WO2023063194A1 (en) Plasma processing device and plasma processing method
JP2010267670A (en) Plasma processing method
TW202413701A (en) Pump liner for process chamber
KR20130078814A (en) Plasma source and substrate treatment apparatus having the same