KR100782369B1 - 반도체 제조장치 - Google Patents

반도체 제조장치 Download PDF

Info

Publication number
KR100782369B1
KR100782369B1 KR1020040091828A KR20040091828A KR100782369B1 KR 100782369 B1 KR100782369 B1 KR 100782369B1 KR 1020040091828 A KR1020040091828 A KR 1020040091828A KR 20040091828 A KR20040091828 A KR 20040091828A KR 100782369 B1 KR100782369 B1 KR 100782369B1
Authority
KR
South Korea
Prior art keywords
gas
supply passage
supply
process gas
passage
Prior art date
Application number
KR1020040091828A
Other languages
English (en)
Other versions
KR20060044039A (ko
Inventor
최진혁
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040091828A priority Critical patent/KR100782369B1/ko
Priority to US11/142,246 priority patent/US20060096540A1/en
Publication of KR20060044039A publication Critical patent/KR20060044039A/ko
Application granted granted Critical
Publication of KR100782369B1 publication Critical patent/KR100782369B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Abstract

본 발명은 반응실 내부의 반응영역으로 공급되는 공정가스의 분포가 균일해질 수 있도록 하는 반도체 제조장치를 개시한 것이다. 개시한 반도체 제조장치는 반응실 내부의 반도체기판 쪽으로 공정가스를 공급하기 위한 가스공급노즐을 포함하며, 상기 가스공급노즐은 길이방향으로 형성된 제1공급유로와, 제1공급유로를 통해 공급되는 공정가스의 확산을 위해 제1공급유로 출구 쪽에 제1공급유로의 방향에 대하여 소정각도 경사를 가지도록 형성되는 제1출구유로를 포함하는 것이다.

Description

반도체 제조장치{DEVICE FOR MAKING SEMICONDUCTOR}
도 1은 본 발명에 따른 반도체 제조장치의 구성을 나타낸 단면도이다.
도 2은 본 발명에 따른 반도체 제조장치의 상부 가스공급노즐의 구성을 나타낸 단면도이다.
* 도면의 주요 부분에 대한 부호의 설명 *
10: 반도체 제조장치, 11: 몸체,
12: 덮개, 13: 척,
14: 가스 분배링, 17: 제1가스공급부,
19: 배기구, 21: 압력제어장치,
22: 진공펌프, 24: 유도코일,
30: 측방 가스공급노즐, 40: 상부 가스공급노즐,
41: 제1공급유로, 42: 제2공급유로,
43: 제1출구유로, 44: 제2출구유로,
45: 제2가스공급부, 47: 제3가스공급부.
본 발명은 반도체 제조장치에 관한 것으로, 더욱 상세하게는 반도체 기판 쪽으로 공급하는 공정가스를 고르게 분사할 수 있도록 가스공급노즐을 개선한 반도체 제조장치에 관한 것이다.
반도체를 제조하는 과정에서 통상의 증착공정이나 식각공정 등을 수행할 때는 진공상태의 반응실 내부에 반응성 공정가스를 공급한 후, 고주파전원을 인가하여 공정가스가 플라즈마 상태로 해리되도록 함과 동시에 화학적 반응이 생기도록 함으로써 반도체 기판 표면에 증착이나 식각이 이루어지도록 한다.
이러한 공정들을 수행할 때는 반도체 제조장치의 반응실 내부로 공급되는 공정가스가 반도체 기판(W) 주위에 균일하게 분포한 상태일 때 반도체 기판(W) 표면의 증착이 균일해져 우수한 막을 얻을 수 있게 된다. 또 식각공정을 수행할 때도 공정가스의 분포가 균일할 때 전체적으로 스퍼터링(sputtering)이 균일해지면서 소망하는 식각을 수행할 수 있게 된다. 따라서 통상적인 반도체 제조장치의 내부에는 공정가스를 기판 주위의 반응영역에 고르게 공급하기 위한 가스공급노즐들이 설치된다.
미국특허 6,486,081호에는 반도체 제조장치의 내부에 공정가스들을 공급하기 위한 가스공급노즐들의 설치구조가 개시되어 있다. 개시된 반도체 제조장치는 측면 둘레부분에 설치되어 반응실 내부로 공정가스를 공급하는 다수의 측방 가스공급노즐들과, 반응실의 상부 중앙부분에 설치되어 반도체 기판의 상부로 공정가스를 공급하는 상부 가스공급노즐을 포함한다. 측방 가스공급노즐들은 제1공정가스와 제2공정가스를 반응실 내에 공급할 수 있도록 제1가스공급원과 제2가스공급원에 각각 연결되는 제1 및 제2가스공급노즐들로 구성되어 있고, 상부 가스공급노즐은 제3공정가스와 제4공정가스가 반응실 내에 공급될 수 있도록 제3가스공급원과 연결되는 제3가스공급통로와 제4가스공급원과 연결되는 제4가스공급통로를 구비하고 있다.
그러나 이러한 반도체 제조장치는 상부 가스공급노즐의 출구가 직선형이기 때문에 상부 가스노즐을 통하여 공급되는 공정가스가 반도체 기판의 중앙부분에 집중되는 현상으로 인해 기판 상부의 공정가스 분포가 전체적으로 균일해지기 어려웠다. 따라서 반도체기판의 중앙부분에만 집중적으로 막이 형성되는 등 기판의 전체에 균일한 막을 얻기가 어려웠다.
본 발명은 이와 같은 문제점을 해결하기 위한 것으로, 본 발명의 목적은 가스공급노즐로부터 공급되는 공정가스의 확산범위가 커져서 기판 상부의 반응영역으로 공급되는 공정가스의 분포가 균일해지도록 함으로써 소망하는 가공공정이 균일하게 이루어질 수 있도록 하는 반도체 제조장치를 제공하는 것이다.
이러한 목적을 달성하기 위한 본 발명에 따른 반도체 제조장치는 반응실 내부의 반도체기판 쪽으로 공정가스를 공급하기 위한 가스공급노즐을 포함하며, 상기 가스공급노즐은 길이방향으로 형성된 제1공급유로와, 상기 제1공급유로를 통해 공급되는 공정가스의 확산을 위해 상기 제1공급유로 출구 쪽에 상기 제1공급유로의 방향에 대하여 소정각도 경사를 가지도록 형성되는 제1출구유로를 포함하는 것을 특징으로 한다.
또한 상기 가스공급노즐은 상기 제1공급유로와 별도로 길이방향으로 형성되는 제2공급유로와, 상기 제2공급유로를 통해 공급되는 공정가스의 확산을 위해 상기 제2공급유로의 출구 쪽에 상기 제1 및 제2공급유로의 방향에 대하여 소정각도 경사를 가지도록 형성되는 제2출구유로를 더 포함하는 것을 특징으로 한다.
또한 상기 가스공급노즐은 상기 제1공급유로가 중심부에 배치되고, 상기 제2공급유로가 상기 제1공급유로 외곽 쪽에 형성되며 상기 가스공급노즐의 중심축을 중심으로 대칭을 이루도록 복수개 형성되는 것을 특징으로 한다.
또한 상기 가스공급노즐은 상기 반도체기판의 중심축 위치와 일치하는 상기 반응실의 상부에 설치되며, 상기 제1공급유로의 방향과 상기 반도체기판 중심축 방향이 일치하도록 된 것을 특징으로 한다.
또한 상기 제1출구유로와 상기 제2출구유로는 상기 가스공급노즐의 중심축을 중심으로 대칭을 이루도록 각각 복수개 형성되는 것을 특징으로 한다.
또한 상기 제1 및 제2공급유로 중 적어도 하나에는 서로 다른 복수의 공정가스가 혼합된 상태로 공급되며, 상기 복수의 공정가스는 반응성 공정가스와 비 반응성 공정가스가 혼합된 상태인 것을 특징으로 한다.
또한 상기 제1 및 제2공급유로 중 하나에는 반응성 공정가스가 유입되고 다른 하나에는 비 반응성 공정가스가 유입되는 것을 특징으로 한다.
이하에서는 본 발명에 따른 바람직한 실시 예를 첨부 도면을 참조하여 상세히 설명한다.
본 발명에 따른 반도체 제조장치는 도 1에 도시한 바와 같이, 반도체 기판 (W)의 가공공정을 수행하기 위한 반응실(18)을 형성하는 것으로 상부가 개방된 원통형의 몸체(11)와, 몸체(11)의 개방된 상부를 덮는 덮개(12)를 포함한다. 여기서 이러한 반도체 제조장치(10)를 통해 수행하는 가공공정이란 반도체 기판(W) 상에 박막을 형성시키는 증착공정일 수 있고, 반도체 기판(W) 표면에 형성된 막을 식각하여 특정 패턴을 형성시키는 식각공정일 수 있다.
몸체(11)의 내부의 반응실(18)에는 반도체 기판(W)을 지지하기 위한 척(13)이 설치된다. 척(13)은 정전기력을 이용하여 반도체 기판(W)을 고정할 수 있는 정전 척으로 이루어진다. 또 덮개(12)의 하단부분과 덮개(12)의 상측 중앙부에는 반응실(18) 내에서 증착 또는 식각공정을 수행할 수 있도록 반응실(18) 내부로 공정가스를 공급하기 위한 다수의 가스공급노즐들(30,40)이 설치된다.
몸체(11) 하부 쪽에는 반응실(18) 내부의 반응 부산물 및 미 반응가스를 배출시키기 위한 배출구(19)가 형성되고, 배출구(19)와 연결된 배출관(20)에는 반응실(18) 내부를 진공상태로 유지할 수 있는 진공펌프(22) 및 압력제어장치(21)가 설치된다.
덮개(12) 상부에는 반응실(18) 내부로 공급되는 공정가스를 플라스마 상태로 만들기 위한 전기장을 형성하도록 유도코일(24)이 설치되고, 유도코일(24)에는 고주파전원(25)이 연결된다. 또 덮개(12)는 유도코일(24)에 의해 생기는 전기장이 덮개(12) 내측에 형성되어 반응실(18) 내부의 공정가스를 플라즈마 상태로 만들 수 있도록 세라믹 재질로 이루어진다. 반응실(18) 내부의 척(13)에는 플라즈마 상태의 공정가스를 반도체 기판(W)으로 유도할 수 있도록 바이어스 전원이 인가된다.
이러한 반도체 제조장치(10)를 이용해 증착공정을 수행할 때는 반응실(18) 내부의 척(13)에 반도체 기판(W)을 고정시키고, 증착을 수행하기 위한 공정가스가 가스공급노즐들(30,40)을 통해 반응실(18) 내부로 공급되도록 한다. 또 진공펌프(22)와 압력제어장치(21)의 동작에 의해 반응실(18) 내부가 진공상태로 유지되도록 하며, 유도코일(24)에 전원을 인가하여 공정가스가 플라즈마 상태로 되도록 한다. 이렇게 하면 공정가스가 해리되고 화학반응이 생기면서 반도체 기판(W) 표면에 증착에 의한 박막이 형성된다.
기판(W) 표면의 식각공정을 수행할 때는 반응실(18) 내에 식각공정을 수행하기 위한 공정가스를 주입하여 플라즈마 상태가 되도록 하면, 이온화 된 입자들이 반도체 기판(W)에 충돌하는 물리적작용과 함께 화학적 작용이 생기면서 식각이 이루어진다.
이러한 증착공정이나 식각공정을 수행할 때는 공정가스가 반도체 기판(W) 주위에 균일하게 분포하고 공정가스의 밀도가 높을 때 소망하는 공정을 균일하게 수행할 수 있게 되는데, 본 발명은 기판(W) 상부의 반응영역에 공정가스가 고르게 공급될 수 있도록 반응실(18)의 측방 둘레부분에 설치되는 다수의 측방 가스공급노즐들(30)과, 덮개(12)의 상측 중앙부에 설치되는 상부 가스공급노즐(40)을 구비한다.
측방 가스공급노즐들(30)은 덮개(12)의 하단에 결합되는 원형의 가스 분배링(14) 내측에 상호 등 간격을 이루도록 설치된다. 그리고 가스 분배링(14)에는 측방 가스공급노즐들(30)로 공정가스를 공급할 수 있도록 가스안내홈(15)이 형성되고, 가스안내홈(15)은 제1공정가스를 공급하는 제1가스공급부(17)와 배관(16)을 통해 연결된다. 이는 제1가스공급부(17)로부터 공급되는 제1공정가스가 다수의 측방 가스공급노즐들(30)을 통하여 반응실(18) 내부로 공급될 수 있도록 한 것이다.
상부 가스공급노즐(40)은 도 2에 도시한 바와 같이, 중심부에 상하방향으로 길게 형성되는 제1공급유로(41)와, 제1공급유로(41)의 외측 둘레에 역시 상하방향으로 길게 형성되며 제1공급유로(41)와 평행하게 형성되는 복수의 제2공급유로(42)를 구비한다. 이때 제1공급유로(41)의 방향과 반도체기판(W) 중심축의 방향은 일치하도록 한다.
또 제1공급유로(41)의 출구 쪽에는 제1공급유로(41)의 방향에 대하여 소정각도(θ1) 경사지게 형성되며 중심축(X)을 중심으로 대칭을 이루는 복수의 제1출구유로(43)가 형성되고, 제2공급유로(42)의 출구 쪽에는 제1 및 제2공급유로(41,42)의 방향에 대하여 역시 소정각도(θ2) 경사지게 형성되며 중심축(X)을 중심으로 대칭을 이루는 복수의 제2출구유로(44)가 형성된다. 제1출구유로(43)의 경사각(θ1)과 제2출구유로(44)의 경사각(θ2)은 동일하게 이루어지는 것이 바람직하나 반도체기판(W)의 크기나 가공공정의 조건 등에 따라 상호 다른 각도로 구성될 수도 있다.
이러한 구성은 제1 및 제2공급유로(41,42)를 통하여 공급되는 공정가스들이 경사진 출구유로들(43,44)을 통해 반응실(18) 내부의 반도체기판(W) 상면에 고루 확산되면서 공급되도록 함으로써 기판(W) 상부의 공정가스 분포가 균일해져 기판(W)의 가공공정(증착 또는 식각공정 등)을 균일하게 수행할 수 있도록 한 것이다.
상부 가스공급노즐(40)의 제1공급유로(41)에는 도 1에 도시한 바와 같이, 제2공정가스를 공급하는 제2가스공급부(45)가 배관(46)을 통해 연결되고, 제2공급유 로(42)에는 제3공정가스를 공급하는 제3가스공급부(47)가 배관(48)을 통해 연결된다. 이는 제1공급유로(41)와 제2공급유로(42)에 별도의 공정가스를 공급할 수 있도록 한 것이다. 여기서 제1가스공급부(17), 제2가스공급부(45), 제3가스공급부(47)는 구체적으로 도시하지는 않았지만 공정가스를 저장하는 저장용기이거나 공정가스를 발생시키는 가스발생기일 수 있고, 공정가스의 공급을 조절하는 밸브시스템을 포함하는 것일 수 있다.
반응실(18)의 내부로 공급되는 공정가스는 측방 가스공급노즐(30)을 통해 공급되는 제1공정가스가 실란(SiH4)와 같은 반응성 가스이고, 상부 가스공급노즐(40)의 제1공급유로(41)를 통해 공급되는 제2공정가스가 산소(O2)와 같은 반응성 가스일 수 있다. 또 상부 가스공급노즐(40)의 제2공급유로(42)를 통해 공급되는 제3공정가스는 헬륨(He)과 아르곤(Ar)과 같은 비 반응성 가스일 수 있다.
또한 상부 가스공급노즐(40)의 제1공급유로(41)를 통해 실란(SiH4)과 같은 반응성 가스가 공급되도록 하고, 상부가스공급노즐(40)의 제2공급유로(42)를 통해 반응성 가스인 산소(O2)와 비 반응성 가스인 헬륨(He)이나 아르곤(Ar)이 섞인 상태로 함께 공급되도록 할 수도 있다.
이처럼 상부 가스공급노즐(40)을 통하여 반응성 가스와 비 반응성 가스가 함께 공급되도록 하는 것은 반응성 가스(O2)가 비 반응성 가스(He, Ar 등)에 의해 밀리면서 반도체 기판(W)의 상부영역에 고르게 분포하도록 하거나, 비 반응성 가스의 공급을 통해 반응성 가스의 공급방향을 제어할 수 있도록 한 것이다. 이는 반응성 가스의 고른 분포를 유도하여 반도체기판(W) 전역에 고른 막이 형성되도록 하기 위 함이다.
이상에서 상세히 설명한 바와 같이, 본 발명에 따른 반도체 제조장치는 상부의 가스공급노즐을 통하여 복수의 공정가스를 함께 공급할 수 있고, 상부 가스공급노즐을 통해 공급되는 공정가스들이 경사진 제1출구유로와 제2출구유로를 통해 확산되기 때문에 공정가스가 반도체 기판 상부에 고르게 분포하여 증착이나 식각공정 등을 균일하게 수행할 수 있는 효과가 있다.

Claims (8)

  1. 반응실 내부의 반도체기판 쪽으로 공정가스를 공급하기 위한 가스공급노즐을 포함하는 반도체 제조장치에 있어서,
    상기 가스공급노즐은 길이방향으로 형성된 제1공급유로와, 상기 제1공급유로를 통해 공급되는 공정가스의 확산을 위해 상기 제1공급유로 출구 쪽에 상기 제1공급유로의 방향에 대하여 소정각도 경사를 가지도록 형성되는 제1출구유로를 포함하는 것을 특징으로 하는 반도체 제조장치.
  2. 제1항에 있어서,
    상기 가스공급노즐은 상기 제1공급유로와 별도로 길이방향으로 형성되는 제2공급유로와, 상기 제2공급유로를 통해 공급되는 공정가스의 확산을 위해 상기 제2공급유로의 출구 쪽에 상기 제1 및 제2공급유로의 방향에 대하여 소정각도 경사를 가지도록 형성되는 제2출구유로를 더 포함하는 것을 특징으로 하는 반도체 제조장치.
  3. 제2항에 있어서,
    상기 가스공급노즐은 상기 제1공급유로가 중심부에 배치되고, 상기 제2공급유로가 상기 제1공급유로 외곽 쪽에 형성되며 상기 가스공급노즐의 중심축을 중심으로 대칭을 이루도록 복수개 형성되는 것을 특징으로 하는 반도체 제조장치.
  4. 제3항에 있어서,
    상기 가스공급노즐은 상기 반도체기판의 중심축 위치와 일치하는 상기 반응실의 상부에 설치되며, 상기 제1공급유로의 방향과 상기 반도체기판 중심축 방향이 일치하도록 된 것을 특징으로 하는 반도체 제조장치.
  5. 제3항에 있어서,
    상기 제1출구유로와 상기 제2출구유로는 상기 가스공급노즐의 중심축을 중심으로 대칭을 이루도록 각각 복수개 형성되는 것을 특징으로 하는 반도체 제조장치.
  6. 제2항에 있어서,
    상기 제1 및 제2공급유로 중 적어도 하나에는 서로 다른 복수의 공정가스가 혼합된 상태로 공급되는 것을 특징으로 하는 반도체 제조장치.
  7. 제6항에 있어서,
    상기 복수의 공정가스는 반응성 공정가스와 비 반응성 공정가스가 혼합된 상태인 것을 특징으로 하는 반도체 제조장치.
  8. 제2항에 있어서,
    상기 제1 및 제2공급유로 중 하나에는 반응성 공정가스가 유입되고 다른 하 나에는 비 반응성 공정가스가 유입되는 것을 특징으로 하는 반도체 제조장치.
KR1020040091828A 2004-11-11 2004-11-11 반도체 제조장치 KR100782369B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020040091828A KR100782369B1 (ko) 2004-11-11 2004-11-11 반도체 제조장치
US11/142,246 US20060096540A1 (en) 2004-11-11 2005-06-02 Apparatus to manufacture semiconductor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040091828A KR100782369B1 (ko) 2004-11-11 2004-11-11 반도체 제조장치

Publications (2)

Publication Number Publication Date
KR20060044039A KR20060044039A (ko) 2006-05-16
KR100782369B1 true KR100782369B1 (ko) 2007-12-07

Family

ID=36315038

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040091828A KR100782369B1 (ko) 2004-11-11 2004-11-11 반도체 제조장치

Country Status (2)

Country Link
US (1) US20060096540A1 (ko)
KR (1) KR100782369B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100977146B1 (ko) 2007-12-27 2010-08-23 세메스 주식회사 유체 공급유닛 및 이를 갖는 기판 처리 장치

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP5232512B2 (ja) * 2008-03-26 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) * 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
TWI539517B (zh) * 2010-02-12 2016-06-21 應用材料股份有限公司 使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9790596B1 (en) * 2013-01-30 2017-10-17 Kyocera Corporation Gas nozzle and plasma device employing same
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN205177785U (zh) * 2013-03-14 2016-04-20 应用材料公司 处理腔室及用于将热线源耦接至该处理腔室的装置
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI651103B (zh) 2013-12-13 2019-02-21 萊特醫技股份有限公司 多相骨移植替代材料
KR102381816B1 (ko) * 2014-02-14 2022-04-04 어플라이드 머티어리얼스, 인코포레이티드 주입 어셈블리를 갖는 상부 돔
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
KR102255200B1 (ko) * 2014-08-18 2021-05-25 삼성디스플레이 주식회사 증착원 노즐 및 그를 구비한 박막 증착 장치
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
NL2015215B1 (en) * 2015-07-23 2017-02-08 Meyer Burger (Netherlands) B V Programmable deposition apparatus.
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
JP6792786B2 (ja) * 2016-06-20 2020-12-02 東京エレクトロン株式会社 ガス混合装置および基板処理装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110904432B (zh) * 2018-09-14 2024-04-05 中微半导体设备(上海)股份有限公司 一种mocvd反应器
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CN112695302B (zh) * 2019-10-22 2023-05-30 中微半导体设备(上海)股份有限公司 一种mocvd反应器
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111599717B (zh) * 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022039858A1 (en) * 2020-08-19 2022-02-24 Applied Materials, Inc. Substrate processing chamber with side gas injection
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040045750A (ko) * 2002-11-25 2004-06-02 삼성전자주식회사 고밀도 플라즈마식 화학기상증착장치
KR20040051658A (ko) * 2002-12-11 2004-06-19 삼성전자주식회사 반도체장치 제조설비의 열처리장치 및 그 공정방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US20050092245A1 (en) * 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040045750A (ko) * 2002-11-25 2004-06-02 삼성전자주식회사 고밀도 플라즈마식 화학기상증착장치
KR20040051658A (ko) * 2002-12-11 2004-06-19 삼성전자주식회사 반도체장치 제조설비의 열처리장치 및 그 공정방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100977146B1 (ko) 2007-12-27 2010-08-23 세메스 주식회사 유체 공급유닛 및 이를 갖는 기판 처리 장치

Also Published As

Publication number Publication date
US20060096540A1 (en) 2006-05-11
KR20060044039A (ko) 2006-05-16

Similar Documents

Publication Publication Date Title
KR100782369B1 (ko) 반도체 제조장치
JP4430003B2 (ja) 高密度プラズマ化学気相蒸着装置
KR100862658B1 (ko) 반도체 처리 시스템의 가스 주입 장치
US6590344B2 (en) Selectively controllable gas feed zones for a plasma reactor
US5772771A (en) Deposition chamber for improved deposition thickness uniformity
KR100614648B1 (ko) 반도체 소자 제조에 사용되는 기판 처리 장치
JP5364054B2 (ja) 調整可能なマルチゾーンガス噴射システム
JP6499771B2 (ja) 基板処理装置
KR100646017B1 (ko) 가스 분리형의 다수의 공동 전극을 이용한 샤워헤드
KR20060059305A (ko) 반도체 공정 장비
JP2005033167A (ja) シャワープレート、プラズマ処理装置、及び、製品の製造方法
KR100984121B1 (ko) 기판처리장치 및 방법
KR20070101977A (ko) 플라즈마 처리장치
KR20060107683A (ko) 화학 기상 증착 장치
KR20080098992A (ko) 분리형 노즐을 가지는 고밀도 플라즈마 화학 기상 증착장치
TW202132618A (zh) 噴淋頭板、反應器總成以及組態反應器總成的方法
KR20100071604A (ko) 분사각도의 조절이 가능한 분사노즐을 가지는 고밀도 플라즈마 화학기상증착장치
KR100686724B1 (ko) 화학기상증착장치
KR20040014760A (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치 및 이를 이용한 반도체소자 제조방법
JP2020510307A (ja) 流動性cvdのためのディフューザー設計
KR20060099098A (ko) 반도체 제조장치
KR101114247B1 (ko) 반도체 소자 제조 장치
KR100444753B1 (ko) 반도체 소자 제조에 사용되는 증착 장치
KR20060036727A (ko) 반도체 제조용 공정챔버의 가스공급노즐
KR100557761B1 (ko) 반도체 제조용 공정챔버

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121031

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee