TWI539517B - 使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室 - Google Patents

使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室 Download PDF

Info

Publication number
TWI539517B
TWI539517B TW100104316A TW100104316A TWI539517B TW I539517 B TWI539517 B TW I539517B TW 100104316 A TW100104316 A TW 100104316A TW 100104316 A TW100104316 A TW 100104316A TW I539517 B TWI539517 B TW I539517B
Authority
TW
Taiwan
Prior art keywords
gas
processing chamber
annular
injection nozzle
kit
Prior art date
Application number
TW100104316A
Other languages
English (en)
Other versions
TW201135839A (en
Inventor
德特馬爾史坦利
偉斯特布萊恩T
史喬爾羅納德維諾
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201135839A publication Critical patent/TW201135839A/zh
Application granted granted Critical
Publication of TWI539517B publication Critical patent/TWI539517B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室
本發明之具體實施例一般關於半導體基材處理裝備。特別地,本發明關於用以改良處理腔室內之製程氣體流的設備及方法。
超大型積體(Ultra Large Scale Integrated Circuit,ULSI)電路可在諸如矽(Si)基材等半導體基材上,形成超過一百萬個電子裝置(例如,電晶體),並相互合作執行多種功能。ULSI電路中使用的電子裝置之實例為互補金屬氧化物半導體(CMOS)場效應電晶體。CMOS電晶體具有閘極結構,其包含多晶矽閘極以及閘極介電層,且閘極結構設置在形成於基材中的源極與汲極區域之間。
電漿蝕刻經常被使用於電晶體及其它電子裝置的製造。然而,現今的電漿處理腔室在製程氣體的注射、流動以及排放上遭受缺陷。舉例來說,先前技術的電漿蝕刻腔室提供圍繞著腔室周圍的複數個氣體注射噴嘴,以及位在腔室之一側上的大型排放埠。氣體注射及排放的如此先前技術配置導致遍及待處理基材表面的不對稱製程氣體流、基材的不均勻蝕刻、製程氣體的無效率使用、以及最終的產量損失。
因此,有需要對電漿蝕刻腔室進行改良,以提供更有效率的製程氣體使用,並改善基材處理的均勻度。
於本發明之一具體實施例中,使用於處理腔室中之套件包含:氣體注射噴嘴,經配置以於遍及基材之表面的複數個同心的圓錐狀氣體流中分佈製程氣體,基材安置於處理腔室內之基材支撐件上;環狀流量控制元件,經配置以相對製程區域同心地安置於處理腔室中,以分隔製程區域與環狀排放區域,致使耦接至處理腔室的一或多個真空泵自基材支撐件周圍將氣體抽取進入環狀排放區域。
於另一具體實施例中,製程氣體注射噴嘴包含:支持元件;第一管體,耦接至支持元件;第二管體,經同心地安置於第一管體內,以於第二管體與第一管體之間形成第一環狀流體渠道;以及第一分散元件,耦接至第二管體,致使第一環狀間隙設置於第一管體與第一分散元件之間。
於本發明之又一具體實施例中,製程氣體注射噴嘴包含:噴嘴主體,其具有穿過其中的複數個氣體通道;複數個分散元件,經排列以提供複數個環狀間隙;以及複數個襯墊元件,具有形成於其中的一或多個狹縫,經安置以將氣體通道流動地耦接環狀間隙。
本發明之具體實施例一般提供電漿蝕刻製程腔室改良。茲提供經改良的氣體注射噴嘴,以於腔室之蓋體的中心位置處使用。氣體注射噴嘴可用於現有的電漿蝕刻腔室中,並經配置以提供一連串的圓錐狀氣體流遍及安置於腔室內之基材的表面。於一具體實施例中,茲提供經改良的排放套件,以於電漿蝕刻腔室中使用。排放套件包括可用於現有的電漿蝕刻腔室中的設備,並經配置以自腔室的處理區域提供環狀的排放氣體流。本發明之具體實施例使用氣體注射及/或排放之改良來提供更一致的處理氣體流遍及晶圓表面,造成更一致的蝕刻製程。此外,可以本發明之具體實施例來達成製程氣體的更有效使用。
第1圖為先前技術的電漿蝕刻處理腔室100之概要剖面圖。製程腔室100具有腔室本體,其具有側壁106、底部108以及圓頂型蓋體112經配置以部分封閉製程區域110。可於製程腔室100內置中提供基材支撐件114,使得提供於其上之基材101安置於製程區域110內。可提供控制器130來控制製程腔室100的多個態樣。
可於接近製程腔室100之蓋體112處提供一或多個天線或線圈164。線圈164經過匹配電路168耦接至RF功率源166。於製程腔室100內,施加至線圈164的功率感應耦合製程氣體,以形成電漿。一或多個偏壓功率源172經過匹配電路174耦接至基材支撐件114,以於處理期間偏壓基材101。
可透過周圍地設置於側壁106中的複數個側面注射噴嘴162以及設置於蓋體112中的上方氣體分佈噴嘴160,自一或多個氣體源102提供製程氣體進入製程腔室100的製程區域110。排放埠122位於製程腔室100之一側並耦接至真空泵104。設置於排放埠122附近的節流閥124可用以連結真空泵104,以控制製程區域110中的壓力。
氣體流路徑「P1」描繪於第1圖中,以圖解先前技術處理腔室100中的典型氣體流。可以看出,因上方氣體分佈噴嘴160、側面注射噴嘴162以及排放埠122之配置及位置的緣故,大部分的製程氣體流被導引離開製程腔室100而非均勻地分佈遍及基材101。事實上,已發現到,先前技術製程腔室100內高達95%的所有離子化氣體被直接抽取離開腔室100而未接觸基材101。因此,先前技術製程腔室100中之氣體注射及排放配置造成製程氣體的無效率使用,也造成基材101的不均勻蝕刻。
第2圖為根據本發明的某些具體實施例之製程腔室200的概要剖面圖。類似於先前技術製程腔室100,製程腔室200具有腔室本體,其具有側壁106、底部108以及圓頂型蓋體112經配置以部分封閉製程區域110。可於製程腔室100內置中提供基材支撐件114,使得提供於其上之基材101安置於製程區域110內。可藉由主幹216支撐基材支撐件114。主幹216可耦接至致動器,如馬達215,其用於基材支撐件114的垂直移動。控制器230可耦接至馬達215及一或多個動作控制感應器(未繪示),以於處理之前、之間或之後提供對基材支撐件的垂直移動之控制。
控制器230一般包括記憶體232、CPU 234以及支援電路236。CPU 234可為任何形式的電腦處理器之一,其可用在供控制多種腔室及製程所用之工業設備中。支援電路236耦接至CPU 234,以習用的方式支援處理器。這類電路包括快取記憶體、電源供應、時脈電路、輸入/輸出電路、次系統,等等。記憶體232耦接至CPU 234。記憶體232,或電腦可讀取媒體,可為諸如本地或遠端之隨機存取記憶體(RAM)、唯讀記憶體(ROM)或任何其它形式的數位儲存器等之容易獲得的記憶體之一或多者。供進行製程所用之指令可儲存於記憶體232。當由控制器230執行時,指令可造成處理腔室200進行製程,如電漿蝕刻製程。
於一具體實施例中,控制器230可透過馬達215、主幹216以及基材支撐件114,於處理期間協調基材101的垂直移動。於一具體實施例中,控制器230可以某種方式協調基材101的垂直移動,使得電漿特徵、製程材料流動型態以及排放型態等小變化可得到補償或調整以達成期望的蝕刻型態。於一實例中,控制器230可搭配製程配方(process recip)的變化或其它處理參數來協調基材101的垂直移動,如材料流動、材料供應型態、材料類型、RF及DC場斜上(ramping)或脈衝、腔室200之溫度、基材支撐件114之溫度,等等。
就製程腔室100來說,可於製程腔室200的蓋體112鄰近處提供一或多個天線或線圈164。線圈164經過匹配電路168耦接至RF功率源166。施加至線圈164的功率可感應地耦合製程腔室100內的製程氣體,以形成電漿。一或多個偏壓功率源172經過匹配電路174耦接至基材支撐件114,以於處理期間偏壓基材101。可藉由控制器230提供對RF功率源166及偏壓功率源172之控制。
於一具體實施例中,可自一或多個氣體源202經過氣體分佈噴嘴260提供製程氣體,氣體分佈噴嘴260置中定位於圓頂型蓋體112內。於一具體實施例中,氣體分佈噴嘴260定位於製程腔室200內的位置,與上方氣體分佈噴嘴160定位於製程腔室100內的位置相同。因此,可以氣體分佈噴嘴260來翻新製程腔室100。然而,氣體分佈噴嘴260包括對氣體分佈噴嘴160的數個改良。於一具體實施例中,氣體分佈噴嘴260包括配置於其中的複數個特徵結構265,以提供製程氣體平均分佈遍及基材101的面。特徵結構265可包括一或多個可控制的氣體入口以及供各個氣體入口所用的一或多個特定形狀之氣體出口。氣體出口可包括通孔狀、環狀外型之噴嘴、流動導引型態,等等。於一具體實施例中,經過個別入口及出口的氣體流可透過質量流控制器295進行控制,以平衡或調控各別的氣體流。於另一具體實施例中,可透過一或多個由控制器230控制的馬達,來自動調整或調控特徵結構265的位置。於某些具體實施例中,可於處理循環期間控制特徵結構265的調控,以將聲波能量(acoustic energy)以及塑型壓力脈衝(shaped pressure pulse)賦予製程氣體流,使能更大地控制製程腔室200中之基材101上所進行的特定製程。
於一具體實施例中,氣體分佈噴嘴260利用Coanda效應,通過噴嘴260中的一或多個環狀通孔注射氣體。在這樣的具體實施例中,氣體流沿著噴嘴260表面之預定路徑,直到其受力而自噴嘴260表面以期望角度分開。於一具體實施例中,可將表現Coanda效應的複數個特徵結構265利用來創造一連串的圓錐狀氣體流,其是專門用來供應平均的氣體流至基材101的表面。此外,噴嘴260內的特徵結構265可經配置,以造成氣體流在傳送至基材101表面的期間旋轉並混合。隨後將就第3及4圖來描述氣體分佈噴嘴260的特定實例。
於一具體實施例中,類似第1圖中之製程腔室100,排放埠122位在製程腔室200之一側上並耦接至真空泵104。設置於排放埠122附近的節流閥124協同真空泵104控制製程區域110中的壓力。於一具體實施例中,限制元件290安置於通往排放埠122的側面入口中。限制元件290可經配置以限制或防止氣體流通過側面入口流至排放埠122。於一具體實施例中,相同的真空泵104及節流閥124,或一或多個額外的真空泵104及/或節流閥124,流體連通複數個側面排放埠262,側面排放埠262設置於圍繞著腔室200周圍的側壁106中。於一具體實施例中,製程腔室200中之排放埠262的位置與製程腔室100中之側面氣體注射噴嘴162的位置重合。因此,可以排放埠262取代側面氣體注射噴嘴162來翻新腔室100。
於一具體實施例中,環狀流量控制元件280設置於製程腔室200內,以分隔製程區域110及排放區域250。環狀流量控制元件280可經配置以自側壁106的上部區域延伸至製程腔室200的基材支撐件114與底部108之間的區域。因此,製程腔室200的製程區域110比先前技術腔室100的製程區域110更圓滑也更一致,於製程區域110中造成更集中的電漿,且導致比先前技術腔室100中可能產生的更高的離子碰撞及更高的電漿密度。
於一具體實施例中,環狀流量控制元件280基本上為具中央開口281的碗形元件,中央開口281具有的直徑稍大於基材支撐件114的直徑,例如大於200 mm。環狀流量控制元件280可具有上方凸出部283,上方凸出部283自上方唇部284向下延伸至下方凹陷部285。下方凹陷部285可延伸至界定開口281的下方唇部286。
於一具體實施例中,環狀流量控制元件280包括位在其上方周圍處的一或多個連接特徵結構282,用以附接至側壁106的上方部分。因此,可用環狀流量控制元件280翻新製程腔室100。於一具體實施例中,流量控制元件280是一個連續的固體件。於一具體實施例中,流量控制元件280具有穿過其形成的複數個通孔或穿孔,供專門的氣體流穿過。於一具體實施例中,流量控制元件280可由金屬材料製成,例如鋁或不鏽鋼。於另一具體實施例中,流量控制元件280可由陶瓷或聚合物材料製作,其可抵抗製程腔室200中進行的電漿蝕刻製程。於一具體實施例中,流量控制元件280可透過一或多個間隔物272與腔室200的側壁106及/或底部108分隔開來。
氣體流路徑「P2」繪製於第2圖中,以圖解製程腔室200中的改良氣體流。如可見於圖中,因氣體分佈噴嘴260及流量控制元件280的配置與定位之故,氣體流可平均分佈於基材101的表面上,並向下抽至基材支撐件114的周圍與流量控制元件280之間。在離開基材101的表面之後,可將氣體流抽至流量控制元件280及製程腔室200的底部108之間。接著通過排放埠262及/或122將氣體抽離製程腔室200。
改良的氣體流路徑「P2」造成製程氣體應用效能以及RF功率效能的顯著增加。如此較大的效能也減少加熱製程腔室200,造成改良的效能以及減少的流出物。進而,藉由通過腔室200的下方區域抽取排放製程氣體,可抑制或防止處理電漿到達排放埠262及/或122,因而壓制那些區塊中的沉積物形成,也減少清潔腔室200所需的時間,並降低微粒於基材101的表面上發展之可能性。
於一具體實施例中,可於套件中提供氣體分佈噴嘴260及環狀流量控制元件280,與必要的硬體一起用來翻新電漿蝕刻腔室,如腔室100。於一具體實施例中,套件可進一步包括限制元件290。於一具體實施例中,套件可包括必要的硬體以及管,以使注射噴嘴162轉變為排放埠262。
第3圖為根據本發明之一具體實施例的用於製程腔室200中的氣體分佈噴嘴300的概要剖面圖。繪製於第3圖中的具體實施例包括複數個不同長度之嵌套管體,以提供複數個供製程氣體所用之流動間隙,造成重疊的圓錐狀氣體流「P3」於第2圖中繪製的製程腔室200中平均地分佈遍及基材101。
於一具體實施例中,氣體分佈噴嘴300包括支持元件302,其可經配置以接附至一或多個製程氣體入口管體。氣體分佈噴嘴300進一步包括外側管304接附至支持元件302。於一具體實施例中,第一中間管306係同心地安置於外側管304內並銜接支持元件302,致使第一中間管306的外側表面與外側管304的內側表面之間可界定外側環狀流體渠道308。第一分散元件310經配置以銜接第一中間管306的下方端,在第一分散元件310與外側管304的下方端之間留下外側環狀間隙312,致使外側環狀間隙312流體連通外側環狀流體渠道308。第一分散元件310可為可移動地銜接第一中間管306(例如,螺釘連接),致使外側環狀間隙312成為可調整的,以調整穿過其而分散的製程氣體流。於一具體實施例中,第一分散元件310牢固地附接至第一中間管306,以維持製程氣體流的間隔。於另一具體實施例中,第一分散元件包括等距間隔於其上而呈圓形圖案的複數個凸起,以銜接外側管304並維持製程氣體流的間隔。
於一具體實施例中,第二中間管314係同心地安置於第一中間管306內並銜接支持元件302,使得第二中間管314之外側表面及第一中間管306之內側表面界定中間環狀流體渠道316。第二分散元件318經配置以銜接第二中間管314的下方端。可於第一分散元件310內設置一或多個孔洞320,其流體連通中間環狀流體渠道316。孔洞328可圍繞著第一分散元件310的周圍等距間隔。第二分散元件318可為可移動地銜接第二中間管314(例如,螺釘連接),致使中間環狀間隙321,其也流體連通中間環狀流體渠道316,形成於第二分散元件318與第一分散元件310之間。中間環狀間隙321可成為可調整的,以使用第二分散元件318與第二中間管314之間的可動性銜接,來調整穿過其而分散的製程氣體流。於一具體實施例中,第二分散元件318附接至第二中間管314,以維持製程氣體流的間隔。於另一具體實施例中,第二分散元件318包括自其向上延伸的複數個凸起,以銜接第一分散元件310並維持製程氣體流的間隔。
於一具體實施例中,內側管322同心地安置於第二中間管314內,並銜接支持元件302,致使內側環狀流體渠道324由內側管322的外側表面及第二中間管314的內側表面所定義。第三分散元件326經配置以銜接內側管322的下方端。可於第二分散元件318內設置一或多個孔洞328,其流體連通內側環狀流體渠道324。孔洞328可圍繞著第二分散元件318的周圍等距間隔。第三分散元件326可為可移動地銜接內側管322(例如,螺釘連接),致使內側環狀間隙329形成於第三分散元件326與第二分散元件318之間,內側環狀間隙329也流體連通內側環狀流體渠道324。內側環狀間隙329可成為可調整的,以使用第三分散元件326及內側管322之間的可動性銜接,來調整穿過其而分散的製程氣體流。於一具體實施例中,第三分散元件326接附至內側管322,以維持氣體流的間隔。於另一具體實施例中,第三分散元件326包括自其向上延伸的複數個凸起,以銜接第二分散元件318並維持製程氣體流的間隔。
於一具體實施例中,中央桿體330或螺栓可銜接支持元件302以及第三分散元件326,以將氣體分佈噴嘴300的個別部件固定在一起。於一具體實施例中,可使中央桿體330伸展或縮回,以調整外側環狀間隙312、中間環狀間隙321以及內側環狀間隙329,而依此調整通過氣體分佈噴嘴300分散之製程氣體的流動型態(flow pattern)。可藉由如螺紋連接(threaded connection)來手動調整中央桿體330及/或間隙312、321、329。或者,可藉由諸如線性推進器或壓電馬達等一或多個致動器自動調整,並藉由控制器230進行控制。於一具體實施例中,可藉由改變外側管304、第一中間管306、第二中間管314以及內側管322的長度,來分別調整外側環狀間隙312、中間環狀間隙321以及內側環狀間隙329。此外,也可調整管體直徑以及壁厚度,以提供期望的容積給外側環狀流體渠道308、中間環狀流體渠道316以及內側環狀流體渠道324。氣體分佈噴嘴300的各個組件可包含能抵抗用於期望應用中之特定製程氣體的材料,如陶瓷材料(例如,Al2O3、SiC、SiN)、金屬材料(例如,陽極化鋁、不鏽鋼、鎳),或阻性聚合材料。
支持元件302可具有穿過其中形成的複數個通孔332,用以容許來自一或多個製程氣體入口管體之製程氣體經過支持元件302而通過,並進入氣體分佈噴嘴300中之期望的流體渠道。通孔332可經配置以從單一氣體入口傳送製程氣體進入各個單獨的流體渠道,即,外側環狀流體渠道308、中間環狀流體渠道316以及內側環狀流體渠道324。通孔332可經配置以分別自單獨的入口管傳送製程氣體至各個流體渠道。舉例來說,第一入口管可連接至外側環狀流體渠道308,且第二入口管可連接至中間環狀流體渠道316,且第三入口管可連接至內側環狀流體渠道324。於一具體實施例中,各個入口管連接至單獨的氣體源。於一具體實施例中,為了單獨調整流經氣體分佈噴嘴300中之各環狀間隙的流體,各個入口管透過單獨的質量流控制器連接至單一製程氣體源。
應注意的是,雖然與第3圖相關聯而描繪及描述的氣體分佈噴嘴300係針對三個同心分散間隙來配置,但可將管及分散元件增加至組件或自組件去掉管及分散元件,以根據需求獲得較大或較小數目的分散間隙。結果,氣體分佈噴嘴300可達成重疊的圓錐狀氣體流的任何期望配置,而重疊的圓錐狀氣體流可均勻地分佈遍及第2圖中所描繪之製程腔室200中的基材101。
第4圖為根據本發明之另一具體實施例的氣體分佈噴嘴400之概要、等距、展開圖。繪製於第4圖中的具體實施例包括複數個交集的襯墊,此些襯墊經配置以選擇性地導引製程氣體流通過複數個環狀間隙,以提供重疊的圓錐狀氣體流(類似第3圖中之氣體流「P3」)於第2圖中繪製的製程腔室200中平均地分佈遍及基材101。
於一具體實施例中,氣體分佈噴嘴400包括接附至噴嘴主體404的支持元件402。支持元件402及噴嘴主體404二者皆具有穿過其中而設置的複數個對齊的通孔406、407,以容許一或多種製程氣體穿過其中而通過。支持元件402可經配置以耦接至一或多個製程氣體入口管體,以提供製程氣體供應至氣體分佈噴嘴400。
第一襯墊408可安置於噴嘴主體404與第一分散元件412之間。第一襯墊408及第一分散元件412兩者皆具有穿過其中形成的複數個通孔409、411,通孔409、411並與穿過噴嘴主體404而形成的複數個通孔407對齊。第一分散元件412銜接噴嘴主體404,以在第一分散元件412與噴嘴主體404之間提供第一環狀間隙414。第一襯墊408進一步包括穿過其形成的一或多個狹縫410,且狹縫410選擇性地與噴嘴主體404中的一或多個通孔406對齊。一或多個狹縫410通往第一襯墊408的邊緣,以容許製程氣體自一或多個通孔406通過並經過第一環狀間隙414。結果,製程氣體可沿著路徑「P4」自氣體入口管流動經過支持元件402及噴嘴主體404,以經過如第4圖所示之第一環狀間隙414分散。
第二襯墊416可安置於第一分散元件412與第二分散元件420之間。第二襯墊416及第二分散元件420二者皆具有穿過其中形成的複數個通孔417、419,通孔417、419並與穿過第一分散元件412而形成的複數個通孔411對齊。第二分散元件420銜接第一分散元件412,以在第二分散元件420與第一分散元件412之間提供第二環狀間隙422。第二襯墊416進一步包括穿過其形成之一或多個狹縫418,且狹縫418選擇性地與穿過第一分散元件412形成的一或多個通孔411對齊。一或多個狹縫418通往第二襯墊416的邊緣,以容許製程氣體自一或多個通孔411通過並經過第二環狀間隙422。結果,製程氣體可沿著路徑「P5」自氣體入口管,通過支持元件402、噴嘴主體404、第一襯墊408以及第一分散元件412,以通過第4圖中所繪示之第二環狀間隙422分散。
於一具體實施例中,第三襯墊424安置於第二分散元件420與第三分散元件428之間。第三襯墊424具有穿透其形成的複數個通孔425,其與穿透第二分散元件420形成的複數個通孔417對齊。第三分散元件428銜接第二分散元件420,使得第三環狀間隙430被提供於第三分散元件428與第二分散元件420之間。第三襯墊424進一步包括穿過其形成的一或多個狹縫426,且一或多個狹縫426選擇性地與穿過第二分散元件420而形成的一或多個通孔417對齊。一或多個狹縫426通往第三襯墊424的邊緣,以容許製程氣體自一或多個通孔417通過並經過第三環狀間隙430。結果,製程氣體可沿著路徑「P6」自氣體入口管,通過支持元件402、噴嘴主體404、第一襯墊408、第一分散元件412、第二襯墊416以及第二分散元件420,以通過第4圖中所繪示之第三環狀間隙430分散。
中央桿體432或螺栓可銜接支持元件402以及第三分散元件428,以將氣體分佈噴嘴400的個別部件固定在一起。注意,為清晰起見,中央桿體432係以假想方式繪示於第4圖中。分散元件412、420、428及/或襯墊408、416、424之一或多者可接附至中央桿體432,致使當中央桿體432旋轉時,通孔之一或多者重疊以改變角度,造成對穿過其中之製程氣體流的調整。於一具體實施例中,可手動調整中央桿體432以及通過氣體分佈噴嘴400之製程氣體流。或者,可藉由諸如馬達等一或多個致動器自動調整,並藉由控制器230進行控制。可改變多個通孔的尺寸及數目或多個襯墊的厚度,以提供期望的氣體流。氣體分佈噴嘴400的各個組件可包含能抵抗用於期望應用中之特定製程氣體的材料,如陶瓷材料(例如,Al2O3、SiC、SiN)、金屬材料(例如,陽極化鋁、不鏽鋼、鎳),或阻性聚合材料。
應注意的是,雖然與第4圖相關聯而描繪及描述的氣體分佈噴嘴400係針對三個同心分散間隙來配置,但可將襯墊及分散元件增加至組件或自組件去掉襯墊及分散元件,以根據需求獲得較大或較小數目的分散間隙。結果,氣體分佈噴嘴400可達成重疊的圓錐狀氣體流的任何期望配置,而重疊的圓錐狀氣體流可均勻地分佈遍及第2圖中所描繪之製程腔室200中的基材101。
因此,本發明之具體實施例一般提供電漿蝕刻製程腔室改良。於一具體實施例中,於腔室之蓋體的中心位置處提供經改良的氣體注射噴嘴。氣體注射噴嘴可用於現有的電漿蝕刻腔室中,並經配置以提供一連串的圓錐狀氣體流遍及安置於腔室內之基材的表面。於一具體實施例中,茲提供經改良的排放構造。排放構造包括可用於現有的電漿蝕刻腔室中的設備,並經配置以自腔室的處理區域提供環狀的排放氣體流。本發明之具體實施例使用氣體注射及/或排放之改良來提供更一致的處理氣體流遍及晶圓表面,造成更一致的蝕刻製程。此外,可以本發明之具體實施例來達成製程氣體得更有效使用。
儘管以上內容係針對本發明之具體實施例,但是可在不脫離本發明之基本範疇的情況下設計本發明之其它及進一步的具體實施例,且其範疇由以下申請專利範圍決定。
100...腔室
101...基材
102...氣體源
104...真空泵
106...側壁
108...底部
110...製程區域
112...蓋體
114...基材支撐件
122...排放埠
124...節流閥
130...控制器
160...氣體分佈噴嘴
162...注射噴嘴
164...線圈
166...RF功率源
168...匹配電路
172...偏壓功率源
174...匹配電路
200...腔室
202...氣體源
215...馬達
216...主幹
230...控制器
232...記憶體
234...CPU
236...支援電路
250...排放區域
260...氣體分佈噴嘴
262...排放埠
265...特徵結構
272...間隔物
280...流量控制元件
281...中央開口
282...連接特徵結構
283...上方凸出部
284...上方唇部
285...下方凹陷部
286...下方唇部
290...限制元件
295...質量流控制器
300...氣體分佈噴嘴
302...支持元件
304...外側管
306...第一中間管
308...外側環狀流體渠道
310...第一分散元件
312...外側環狀間隙
314...第二中間管
316...中間環狀流體渠道
318...第二分散元件
320...孔洞
321...中間環狀間隙
322...內側管
324...內側環狀流體渠道
326...第三分散元件
328...孔洞
329...內側環狀間隙
330...中央桿體
332...通孔
400...氣體分佈噴嘴
402...支持元件
404...噴嘴主體
406...通孔
407...通孔
408...第一襯墊
409...通孔
410...狹縫
411...通孔
412...第一分散元件
414...第一環狀間隙
416...第二襯墊
417...通孔
418...狹縫
419...通孔
420...第二分散元件
422...第二環狀間隙
424...第三襯墊
425...通孔
426...狹縫
428...第三分散元件
430...第三環狀間隙
432...中央桿體
P1、P2...氣體流路徑
P3...氣體流
P4、P5、P6...路徑
為使本發明之上述特徵得以更詳細被瞭解,係已參照具體實施例而更具體說明以上所簡述之發明,其中部分具體實施例係繪示於如附圖式中。然而,需注意的是,所附圖式僅為說明本發明之典型具體實施例,而非用於限制其範疇,本發明亦允許其它等效具體實施例。
第1圖為先前技術之電漿蝕刻處理腔室的概要剖面圖。
第2圖為根據本發明的某些具體實施例之製程腔室的概要剖面圖。
第3圖為根據本發明之一具體實施例的用於第2圖之製程腔室中的氣體分佈噴嘴的概要剖面圖。
第4圖為根據本發明之另一具體實施例的氣體分佈噴嘴之概要、等距、展開圖。
101...基材
104...真空泵
106...側壁
108...底部
110...製程區域
112...蓋體
114...基材支撐件
122...排放埠
124...節流閥
164...線圈
166...RF功率源
168...匹配電路
172...偏壓功率源
174...匹配電路
200...腔室
202...氣體源
215...馬達
216...主幹
230...控制器
232...記憶體
234...CPU
236...支撐電路
250...排放區域
260...氣體分佈噴嘴
262...排放埠
265...特徵結構
272...間隔物
280...流量控制元件
281...中央開口
282...連接特徵結構
283...上方凸出部
284...上方唇部
285...下方凹陷部
286...下方唇部
290...限制元件
295...質量流控制器
P2...氣體流路徑

Claims (16)

  1. 一種使用於一處理腔室中之套件,包含:一氣體注射噴嘴,經配置而被安裝至該處理腔室之一蓋體,該氣體注射噴嘴具有複數個可調整的堆疊式環狀氣體輸送間隙;以及一環狀流量控制元件,經配置而被設置於該處理腔室中之該氣體注射噴嘴下方,並與該氣體注射噴嘴處於分隔關係,該環狀流量控制元件具有一上方凸出部,該上方凸出部向下延伸進入一下方凹陷部,其中該環狀流量控制元件具有延伸穿過其中之一開口,該開口具有大於約200mm之一直徑。
  2. 如申請專利範圍第1項所述之套件,其中該氣體注射噴嘴包含:複數個管體,經同心地排列以提供穿過其中之複數個環狀氣體渠道;以及複數個分散元件,經排列以提供該複數個環狀間隙,該複數個環狀間隙流體連通該複數個氣體渠道。
  3. 如申請專利範圍第2項所述之套件,其中該複數個分散元件之至少一者係可動地銜接該複數個管體之至少一者。
  4. 如申請專利範圍第2項所述之套件,其中該複數個分散元件之至少一者具有穿過其中而設置之一或多個孔洞,該一或多個孔洞流體連通該複數個環狀氣體渠道之至少一者。
  5. 如申請專利範圍第1項所述之套件,其中該氣體注射噴嘴包含:一噴嘴主體,具有穿過其中的複數個氣體通道;複數個分散元件,經排列以提供該複數個環狀間隙;以及複數個襯墊元件,具有形成於其中的一或多個狹縫,經安置以將該等氣體通道流動地耦接該等環狀間隙。
  6. 如申請專利範圍第5項所述之套件,其中該等襯墊之至少一者安置於該等分散元件之至少兩者之間。
  7. 如申請專利範圍第6項所述之套件,其中該氣體注射噴嘴更包含穿過其中而設置之一中央桿體,該中央桿體並耦接至該複數個襯墊。
  8. 如申請專利範圍第7項所述之套件,其中藉由轉動該中央桿體來調整流經該氣體注射噴嘴的氣體。
  9. 一種處理腔室,具有一蓋體及一基材支撐件,其改良包含:一種使用於一處理腔室中之套件,該套件包含:一氣體注射噴嘴,經配置而被安裝至該處理腔室之該蓋體,該氣體注射噴嘴具有複數個可調整的堆疊式環狀氣體輸送間隙;以及一環狀流量控制元件,經配置而被設置於該處理腔室中之該基材支撐件周圍並位於該氣體注射噴嘴下方,該環狀流量控制元件具有一上方凸出部,該上方凸出部向下延伸進入一下方凹陷部,其中該環狀流量控制元件具有延伸穿過其中之一開口,該開口具有大於約200mm之一直徑。
  10. 如申請專利範圍第9項所述之處理腔室,其中該氣體注射噴嘴包含:複數個管體,經同心地排列以提供穿過其中之複數個環狀氣體渠道;以及複數個分散元件,經排列以提供該複數個環狀間隙,該複數個環狀間隙流體連通該複數個氣體渠道。
  11. 如申請專利範圍第10項所述之處理腔室,其中該複 數個分散元件之至少一者係可動地銜接該複數個管體之至少一者。
  12. 如申請專利範圍第10項所述之處理腔室,其中該複數個分散元件之至少一者具有穿過其中而設置之一或多個孔洞,該一或多個孔洞流體連通該複數個環狀氣體渠道之至少一者。
  13. 如申請專利範圍第9項所述之處理腔室,其中該氣體注射噴嘴包含:一噴嘴主體,具有穿過其中的複數個氣體通道;複數個分散元件,經排列以提供該複數個環狀間隙;以及複數個襯墊元件,具有形成於其中的一或多個狹縫,經安置以將該等氣體通道流動地耦接該等環狀間隙。
  14. 如申請專利範圍第13項所述之處理腔室,其中該等襯墊之至少一者安置於該等分散元件之至少兩者之間。
  15. 如申請專利範圍第14項所述之處理腔室,其中該氣體注射噴嘴更包含穿過其中而設置之一中央桿體,該中央桿體並耦接至該複數個襯墊。
  16. 如申請專利範圍第15項所述之處理腔室,其中藉由轉動該中央桿體來調整流經該氣體注射噴嘴的氣體。
TW100104316A 2010-02-12 2011-02-09 使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室 TWI539517B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US30407310P 2010-02-12 2010-02-12

Publications (2)

Publication Number Publication Date
TW201135839A TW201135839A (en) 2011-10-16
TWI539517B true TWI539517B (zh) 2016-06-21

Family

ID=44368408

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100104316A TWI539517B (zh) 2010-02-12 2011-02-09 使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室

Country Status (5)

Country Link
US (2) US8828182B2 (zh)
KR (1) KR101603176B1 (zh)
CN (1) CN102763199B (zh)
TW (1) TWI539517B (zh)
WO (1) WO2011100293A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI642329B (zh) * 2016-10-26 2018-11-21 中微半導體設備(上海)有限公司 氣體流量調節裝置、icp蝕刻設備、噴嘴及氣體流量調節方法

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
US20120149210A1 (en) * 2010-07-30 2012-06-14 Colvin Ronald L Systems, apparatuses, and methods for chemically processing substrates using the coanda effect
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5819154B2 (ja) * 2011-10-06 2015-11-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103227090B (zh) * 2013-02-04 2016-04-06 深圳市劲拓自动化设备股份有限公司 一种线性等离子体源
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10344380B2 (en) 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102291460B1 (ko) * 2013-04-30 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분포된 가스 통로들을 갖는 유동 제어 라이너
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20170088948A1 (en) * 2014-03-26 2017-03-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus and furnace opening cover
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6746209B2 (ja) * 2016-08-31 2020-08-26 株式会社ディスコ プラズマエッチング装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10544519B2 (en) * 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10840066B2 (en) 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN113169101B (zh) 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2022540372A (ja) 2019-07-04 2022-09-15 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバのためのアイソレータ装置及び方法
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
WO2021206950A1 (en) * 2020-04-06 2021-10-14 Lam Research Corporation Ceramic additive manufacturing techniques for gas injectors
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084794A1 (en) * 2020-09-16 2022-03-17 Applied Materials, Inc. Plasma chamber with a multiphase rotating modulated cross-flow
WO2022059163A1 (ja) * 2020-09-18 2022-03-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639586A (zh) * 2020-12-16 2022-06-17 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7160421B1 (ja) 2022-02-10 2022-10-25 株式会社シー・ヴィ・リサーチ 成膜装置、成膜方法及びガスノズル

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1523382A (en) * 1918-05-17 1925-01-13 Golden State Milk Products Com Atomizing nozzle
US1679830A (en) * 1924-07-28 1928-08-07 Lang Adolf Liquid-fuel burner
US2551114A (en) * 1948-03-24 1951-05-01 Daniel And Florence Guggenheim Two-liquid feeding device for combustion chambers
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
CN100421807C (zh) * 2005-12-09 2008-10-01 北京北方微电子基地设备工艺研究中心有限责任公司 单进气双区可调喷嘴
FR2899313B1 (fr) * 2006-03-31 2008-05-09 Huau Christian Bernard Louis Bruleur polyvalent a flamme creuse pour hydrocarbures
CN100576438C (zh) * 2006-11-15 2009-12-30 应用材料股份有限公司 增强磁控制等离子体径向分布的约束挡板和流动均衡器
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI642329B (zh) * 2016-10-26 2018-11-21 中微半導體設備(上海)有限公司 氣體流量調節裝置、icp蝕刻設備、噴嘴及氣體流量調節方法

Also Published As

Publication number Publication date
WO2011100293A3 (en) 2011-12-15
KR101603176B1 (ko) 2016-03-14
US8828182B2 (en) 2014-09-09
US20140374509A1 (en) 2014-12-25
KR20120139741A (ko) 2012-12-27
CN102763199A (zh) 2012-10-31
CN102763199B (zh) 2016-01-20
US9779917B2 (en) 2017-10-03
TW201135839A (en) 2011-10-16
US20110198417A1 (en) 2011-08-18
WO2011100293A2 (en) 2011-08-18

Similar Documents

Publication Publication Date Title
TWI539517B (zh) 使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室
US10626500B2 (en) Showerhead design
KR101522835B1 (ko) 기판 공정 챔버내에서 이용하기 위한 가스 유동 이퀄라이저 판
US7987814B2 (en) Lower liner with integrated flow equalizer and improved conductance
US8236105B2 (en) Apparatus for controlling gas flow in a semiconductor substrate processing chamber
JP2016536792A (ja) 遠隔プラズマcvd技術を使用する低温窒化ケイ素フィルム
TW201640555A (zh) 半導體製造裝置
TW201448091A (zh) 用於半導體處理應用的壓力控制器配置
JP2013533640A (ja) 処理チャンバ内のガスの流れを制御するための装置
KR20100128285A (ko) 유동 이퀄라이저 및 하부 라이너를 구비한 에칭 챔버
TW201621977A (zh) 改變氣體流動模式的裝置及晶圓處理方法和設備
US20190145002A1 (en) Showerhead and substrate processing device including the same
TWI634598B (zh) Process chamber and semiconductor processing device
JP2016036018A (ja) プラズマ処理装置及びガス供給部材
JP2006120853A (ja) 基板処理装置および基板処理方法
JP2007335755A (ja) 基板処理装置および基板処理方法
KR20200045964A (ko) 기판 처리 장치
JP2019075516A (ja) プラズマ処理装置及びガス流路が形成される部材
KR101951373B1 (ko) 기판 처리 장치 및 배기 방법
TW202229628A (zh) 透過局部離子增強電漿(iep)的晶圓非均勻性調整