TW201448091A - 用於半導體處理應用的壓力控制器配置 - Google Patents

用於半導體處理應用的壓力控制器配置 Download PDF

Info

Publication number
TW201448091A
TW201448091A TW103114306A TW103114306A TW201448091A TW 201448091 A TW201448091 A TW 201448091A TW 103114306 A TW103114306 A TW 103114306A TW 103114306 A TW103114306 A TW 103114306A TW 201448091 A TW201448091 A TW 201448091A
Authority
TW
Taiwan
Prior art keywords
pressure
regulating device
pressure regulating
torr
processing chamber
Prior art date
Application number
TW103114306A
Other languages
English (en)
Other versions
TWI618169B (zh
Inventor
Sergey G Belostotskiy
Andrew Nguyen
Jonathan Dinh
Ying-Sheng Lin
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201448091A publication Critical patent/TW201448091A/zh
Application granted granted Critical
Publication of TWI618169B publication Critical patent/TWI618169B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

示例的半導體處理系統可包含處理腔室及與處理腔室耦合的第一壓力調節裝置。第二壓力調節裝置亦可與處理腔室耦合而自第一壓力調節裝置分離。第一泵可與第一壓力調節裝置流體地耦合且自第二壓力調節裝置流體地隔離。第二泵可與第二壓力調節裝置流體地耦合。

Description

用於半導體處理應用的壓力控制器配置 【相關申請案之交叉引用】
本申請案主張申請於2013年6月17日之美國非臨時專利申請案序號第13/919,838號之優先權,該申請案主張申請於2013年4月19日之美國臨時申請案序號第61/813,808號之優先權之權益,該兩申請案標題皆為「Pressure Controller Configuration for Semiconductor Processing Applications」。該兩申請案之整體揭示內容就各方面而言以引用之方式併入本文。
本案技術有關於半導體處理及設備。更明確而言,本案技術有關於處理腔室及用於系統控制的部件。
藉由在基板表面上產生複雜地圖案化的材料層的處理使得積體電路成為可能的。在基板上產生圖案化的材料需要用於材料之沉積及曝露出的材料之移除的受控的方法。舉例而言,化學蝕刻用於各種目的,該等目的包含將光阻中的圖案轉移成為位於下方的層、使層變薄或使已存在於表面上 的特徵之橫向尺度變薄。經常需要比起另一種材料較快蝕刻某種材料的蝕刻處理以促進,舉例而言,圖案轉移處理。如此蝕刻處理被稱作對於第一材料為選擇性的。材料、電路及處理之多樣性之結果為,蝕刻處理已發展為具有選擇性朝向各種材料,且可於特定溫度及壓力制度中實行各處理。
隨著這些部件及處理變得更加複雜,較嚴格的公差可能漸增地影響整體品質,且環境中的改變可能影響最終產品。對於許多半導體處理,可於一個腔室中實行第一處理,繼之以轉移至另外腔室用於另外處理。由於環境改變以及對於整體製造的增加的排隊時間,如此轉移可能產生不欲的缺陷。
因此,需要用於實行半導體製造處理的改進的方法及系統。藉由本案技術來解決此些需求及其他需求。
描述用於在半導體腔室中控制壓力的系統及方法。示例的半導體處理系統可包含處理腔室及與處理腔室耦合的第一壓力調節裝置。第二壓力調節裝置亦可與處理腔室耦合而自第一壓力調節裝置分離。第一泵可與第一壓力調節裝置流體地耦合且自第二壓力調節裝置流體地隔離。第二泵可與第二壓力調節裝置流體地耦合
處理系統可進一步包含至少一個第一壓力測量裝置,該至少一個第一壓力測量裝置與處理腔室耦合且經配置以提供資訊給第一壓力調節裝置。系統還可包含至少一個第二壓力測量裝置,該至少一個第二壓力測量裝置與處理腔室 耦合且經配置以提供資訊給第二壓力調節裝置。第一壓力調節裝置可經配置以調節在第一壓力範圍內的處理腔室壓力,且第一壓力範圍可為約5托(Torr)或低於約5托,且可為約1托或低於約1托。第二壓力調節裝置可經配置以調節在第二壓力範圍內的處理腔室壓力,且第二壓力範圍可為約0.1托或高於約0.1托,且可為約1托或高於約1托。在揭示的實施例中,當第一壓力調節裝置為開啟時,第二壓力調節裝置可經配置為被關閉。此外,當第二壓力調節裝置為開啟時,第一壓力調節裝置可經配置為被關閉。
根據本案技術的示例的半導體處理系統可包含處理腔室及第一壓力調節裝置,第一壓力調節裝置沿著第一流體管路與處理腔室耦合。系統可包含第二壓力調節裝置,第二壓力調節裝置沿著第二流體管路與處理腔室耦合且自第一壓力調節裝置分離。系統可進一步包含第一泵,第一泵沿著第一流體管路與第一壓力調節裝置流體地耦合,且系統還可包含第二泵,第二泵與第二壓力調節裝置流體地耦合。在揭示的實施例中,第二泵還可與第一壓力調節裝置流體地耦合。第二泵還可與第三流體管路流體地耦合,第三流體管路與第一流體管路及第二流體管路兩者皆流體地耦合。半導體處理系統還可包含至少一個第一壓力測量裝置,該至少一個第一壓力測量裝置與處理腔室耦合且經配置以提供資訊給第一壓力調節裝置。半導體處理系統可包含至少一個第二壓力測量裝置,該至少一個第二壓力測量裝置與處理腔室耦合且經配置以提供資訊給第二壓力調節裝置。
操作半導體處理系統之方法可包含以第一壓力調節裝置來操作第一流體泵,第一流體泵與半導體處理腔室耦合,以產生在第一壓力範圍內的處理腔室壓力。該等方法可包含關閉第一壓力調節裝置,且可包含以第二壓力調節裝置來操作第二流體泵,第二流體泵與半導體處理腔室耦合。該等方法還可包含開啟第二壓力調節裝置,以產生在第二壓力範圍內的處理腔室壓力。在揭示的實施例中,第一壓力範圍可為約1托或高於約1托,且第二壓力範圍可為約1托或低於約1托。
根據揭示的技術操作半導體處理系統之方法可包含以第一壓力調節裝置來操作第一流體泵,第一流體泵與半導體處理腔室耦合,以產生在第一壓力範圍內的處理腔室壓力。該等方法還可包含關閉第一壓力調節裝置,且可包含將流體流進處理腔室中。該等方法還可包含操作第二壓力調節裝置,第二壓力調節裝置與半導體處理腔室耦合,以調節在第二壓力範圍內的處理腔室。在示例的方法中,第一壓力範圍可為約1托或低於約1托,且第二壓力範圍可為約1托或高於約1托。
相較於習知技術,該技術可提供眾多優點。舉例而言,基於較少的基板傳送至另外腔室及系統,可達成改進的排隊時間。此外,因為能夠實行多個操作的腔室所提供的較大彈性,系統成本可減少。這些實施例及其他實施例,連同該等實施例的許多優點及特徵,結合以下實施方式及附圖更詳細描述之。
100‧‧‧處理系統
102‧‧‧前開式晶圓傳送盒
104‧‧‧機械手臂
106‧‧‧低壓保持區域
108a‧‧‧基板處理腔室
108b‧‧‧基板處理腔室
108c‧‧‧基板處理腔室
108d‧‧‧基板處理腔室
108e‧‧‧基板處理腔室
108f‧‧‧基板處理腔室
109a‧‧‧串接部分
109b‧‧‧串接部分
109c‧‧‧串接部分
110‧‧‧第二機械手臂
200‧‧‧處理腔室/蝕刻腔室
202‧‧‧基板
205‧‧‧次要電極
207‧‧‧繼電器
208‧‧‧射頻功率源
210‧‧‧第二噴頭
215‧‧‧流動分配器
216‧‧‧第一饋入氣體流
217‧‧‧嵌入式熱交換器盤管
218‧‧‧分配區域
220‧‧‧介電環
223‧‧‧虛線
224‧‧‧虛線
225‧‧‧第一噴頭
227‧‧‧繼電器
228‧‧‧射頻源/射頻功率源
230‧‧‧介電間隔物
240‧‧‧腔室壁
248‧‧‧高電壓直流供應
249‧‧‧網格
250‧‧‧吸座
251‧‧‧升降桿
252‧‧‧第一射頻產生器
253‧‧‧第二射頻產生器
255‧‧‧風箱
260‧‧‧閘閥
265‧‧‧渦輪分子泵
266‧‧‧渦輪分子泵
270‧‧‧第一電漿
276‧‧‧進氣口
278‧‧‧孔
280‧‧‧孔
281‧‧‧第二腔室區域
282‧‧‧孔/第一流體通道
283‧‧‧孔/路徑
284‧‧‧第一腔室區域
290‧‧‧氣體分配系統
292‧‧‧第二電漿
325‧‧‧噴頭
365‧‧‧通孔
375‧‧‧小孔
400‧‧‧噴頭
410‧‧‧環形框架
420‧‧‧板材
465‧‧‧孔
500‧‧‧系統
505‧‧‧系統控制器
510‧‧‧處理腔室
515‧‧‧第一壓力調節裝置
517‧‧‧第一流體管路
519‧‧‧第二流體管路
520‧‧‧第二壓力調節裝置
521‧‧‧第三流體管路
525‧‧‧第一泵
530‧‧‧第二泵
535a‧‧‧第一壓力測量裝置
535b‧‧‧第二壓力測量裝置
540a‧‧‧任選的部件
540b‧‧‧任選的部件
610‧‧‧操作
620‧‧‧操作
630‧‧‧操作
640‧‧‧操作
710‧‧‧操作
720‧‧‧操作
730‧‧‧操作
740‧‧‧操作
H1‧‧‧距離
H2‧‧‧距離
藉由參照說明書及圖式之剩餘部分可實現揭示的技術之本質及優點之進一步瞭解。
第1圖圖示示例的處理系統之一個實施例之頂部平面視圖。
第2圖圖示示例的處理系統之示意的橫截面視圖。
第3圖圖示根據揭示的技術示例的噴頭之底部平面視圖。
第4圖圖示根據揭示的技術示例的面板(faceplate)之平面視圖。
第5圖圖示根據揭示的技術簡化的系統示意圖。
第6圖圖示根據揭示的技術操作半導體處理系統之方法。
第7圖圖示根據揭示的技術操作半導體處理系統之方法。
本文包含圖式中之數個圖作為示意圖。應瞭解,圖式是用於說明目的,且不應被視為按照尺度,除非對於圖式有特定說明為如此的。
在附圖中,類似的部件及/或特徵可具有相同的參考標記。此外,藉由參照由虛線的參考標記及在類似部件之間區別的第二標記,可區別各種相同類型的部件。若在說明書中僅使用第一參考標記,則描述適用於在不考慮第二參考標記之下具有相同的第一參考標記的類似部件中之任一者。
本案技術包含用於半導體處理的系統及部件。由於半導體處理持續地改進,操作的特徵可直接併入腔室設計中,經由專用部件在腔室中實行處理。然而,由於裝置特徵於尺度上持續減小,於處理期間對於操作參數可能給予較少的公差。
為了對於半導體處理提供微調控制,可特別針對將於腔室內實行的特定處理來產生處理系統及腔室。具有有限範圍之專用裝置,該等裝置在該範圍內給予高度控制,該等裝置可經常被利用在腔室及系統生產中。舉例而言,許多腔室可經配置以實行在特定壓力制度內的處理,且因此利用具有針對該特定範圍用的尺寸的部件。雖然數個腔室及系統可增加整體裝置品質,由於對於各製造處理可能需要多個腔室,系統產量可能減低。當可能於完全不同的壓力制度內實行後續處理步驟時這可能特別是這種情況,由於特定腔室部件可經選擇來操作在壓力區域中之一者中,但可能不被選擇來操作在第二壓力區域中。然而,本案系統及方法允許處理步驟於多個壓力制度下以高度控制來實行,此舉不僅可改進裝置品質,還可減低處理排隊時間。
雖然剩下的揭示內容將常規地辨別利用揭示的技術的特定蝕刻處理,將容易理解該等系統及方法同等適用於在所述腔室中亦可發生的沉積處理及清潔處理。因此,本案技術不應被視為作為單獨蝕刻處理的用途如此的受限制。
第1圖圖示根據揭示的實施例沉積腔室、蝕刻腔室、烘烤腔室及固化腔室之處理系統100之一個實施例之頂 平面視圖。在該圖中,一對前開式晶圓傳送盒(front opening unified pod;FOUP)102供應各種尺寸的基板,該等基板由機械手臂104所接收且在放進基板處理腔室108a至108f中之其中一者之前放進低壓保持區域106中,基板處理腔室108a至108f設置於串接部分109a至109c。第二機械手臂110可用以從保持區域106傳送基板晶圓至基板處理腔室108a至108f及返回。各基板處理腔室108a至108f可經裝備以實行數個基板處理操作,包含除了循環層沉積(cyclical Layer deposition;CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、除氣、定向及其他基板處理之外,本文所述的多步驟蝕刻處理。
基板處理腔室108a至108f可包含用於沉積、退火、固化及/或蝕刻基板晶圓上的介電薄膜之一或更多個系統部件。在一種配置中,兩對處理腔室,例如,108c至108d及108e至108f,可用以在基板上沉積介電材料,且第三對處理腔室,例如,108a至108b,可用以蝕刻沉積的介電質。在另一種配置中,全部的三對腔室,例如,108a至108f,可經配置以蝕刻在基板上的介電薄膜。所述的處理中之任一者或更多者可於自不同實施例中所示的製造系統分開的一或多個腔室中執行。將理解,系統100涵蓋用於介電薄膜的沉積腔室、蝕刻腔室、退火腔室及固化腔室之另外的配置。
第2圖圖示根據揭示的技術示例的處理腔室200之橫截面示意圖。舉例而言,腔室200可使用於先前討論的系統100之處理腔室部分108中之一或更多者中。通常,蝕刻 腔室200可包含第一電容式耦合電漿源及第二電容式耦合電漿源,第一電容式耦合電漿源用以實施離子銑(ion milling)操作,第二電容式耦合電漿源用以實施蝕刻操作且實施任選的沉積操作。腔室200可包含接地的腔室壁240,腔室壁240環繞吸座(chuck)250。在實施例中,吸座250可為靜電吸座,於處理期間靜電吸座夾住基板202至吸座250之頂表面,雖然亦可利用熟知的其他夾持機制。吸座250可包含嵌入式熱交換器盤管(embedded heat exchanger coil)217。在示例的實施例中,熱交換器盤管217包含一或更多個熱傳送流體通道,熱傳送流體例如乙二醇/水混合,通過該一或更多個熱傳送流體通道可被傳送以控制吸座250之溫度且最終控制基板202之溫度。吸座250可另外包含嵌入式加熱器或加熱元件,嵌入式加熱器或加熱元件經配置以進一步影響及控制晶圓溫度。
吸座250可包含網格(mesh)249,網格249耦合至高電壓直流(DC)供應248,使得網格249可承載直流偏壓電位以實施基板202之靜電夾持。吸座250可耦合至第一射頻(RF)功率源且在一個如此的實施例中,使得直流電壓偏置及射頻電位兩者皆耦合跨越吸座250之頂表面上的薄介電層。在繪示的實施例中,第一射頻功率源可包含第一射頻產生器252及第二射頻產生器253。射頻產生器252、253可操作於本領域熟知的工業頻率下,然而在示例的實施例中,射頻產生器252可操作於13.56MHz以感應出偏壓,此舉可提供有利的離子方向性。當亦提供第二射頻產生器253時,示例的頻率可 為60MHz。
隨著吸座250被射頻供電,可藉由第一噴頭225來提供射頻回程路徑。第一噴頭225可設置於吸座上方,以將第一饋入氣體分配進入由第一噴頭225及腔室壁240所界定的第一腔室區域284中。因此,吸座250及第一噴頭225形成第一射頻耦合電極對,以在第一腔室區域284內電容式地激發第一饋入氣體之第一電漿270。由射頻供電吸座之電容式耦合所造成的直流電漿偏壓或射頻偏壓可產生從第一電漿270至基板202的離子通量,例如,氬離子,其中第一饋入氣體為氬,以提供離子銑電漿。第一噴頭225可接地或替代地耦合至射頻源228,射頻源228具有可於各種頻率包含例如40MHz或60MHz下操作的一或更多個產生器。在繪示的實施例中,第一噴頭225可能可選擇地經由繼電器227耦合至接地或射頻源228,繼電器227於蝕刻處理期間可主動地受控制,舉例而言,藉由控制器(未圖示)來控制。
如進一步繪示於該圖中,蝕刻腔室200可包含能夠於低處理壓力下有高產量的泵堆疊(pump stack)。在實施例中,至少一個渦輪分子泵265、266可與第一腔室區域284經由閘閥260來耦合,且渦輪分子泵265、266可設置於吸座250下方、位於第一噴頭225的相反側。渦輪分子泵265、266可為任何市售具有適合的產量的泵,且更特定地尺寸可被適當地設計為用以於第一饋入氣體之所需的流速下,例如,50至500sccm的Ar,其中氬為第一饋入氣體,維持處理壓力低於約下述壓力或約下述壓力:5托、3托、1托、0.1托、10毫 托,或是低於約5毫托或約5毫托。在繪示的實施例中,吸座250可形成一部分的基座,該基座位於兩個渦輪泵265及266的中心處,然而,在替代的配置中,吸座250可位於從腔室壁240的基座懸臂上且單一渦輪分子泵的中心與吸座250之中心對準。
設置於第一噴頭225上方的可為第二噴頭210。在一個實施例中,於處理期間,第一饋入氣體源,舉例而言,從氣體分配系統290所傳遞的氬可耦合至進氣口276,且第一饋入氣體可流動通過延伸通過第二噴頭210的複數個孔280進入第二腔室區域281中,且可流動通過延伸通過第一噴頭225的複數個孔282進入第一腔室區域284中。具有孔278的另外的流動分配器215可進一步經由分配區域218將第一饋入氣體流216分配於蝕刻腔室200之直徑各處。在替代的實施例中,如由虛線223所標示,第一饋入氣體可經由孔283直接流進第一腔室區域284中,孔283與第二腔室區域281分離。舉例而言,當第一噴頭為如先前所述的雙通道噴頭時,孔283對應於第3圖之孔375。可於低壓下實行該處理,且可於約10托或低於約10托、或低於約下述壓力或約下述壓力實行該處理:5托、3托、1托、0.5托、0.1托、50毫托、10毫托、5毫托、1毫托等等或更低。
腔室200可另外地自繪示的狀態被重新配置以實行蝕刻操作。次要電極205可設置於第一噴頭225上方且於次要電極205與第一噴頭225之間具有第二腔室區域281。次要電極205可進一步形成蝕刻腔室200之蓋(lid)。次要電極205 及第一噴頭225可藉由介電環220來電性隔離,且形成第二射頻耦合電極對,以在第二腔室區域281內電容式地放電第二饋入氣體之第二電漿292。有利的為,第二電漿292可能不提供在吸座250上的顯著的射頻偏壓電位。第二射頻耦合電極對中之至少一個電極耦合至射頻源,用於激發蝕刻電漿。次要電極205可與第二噴頭210電性耦合。在一個示例的實施例中,第一噴頭225可與接地平面耦合或浮動且可經由繼電器227耦合至接地,從而允許於操作之離子銑模式期間第一噴頭225亦由射頻功率源228所供電。當第一噴頭225接地時,射頻功率源208,具有一或更多個射頻產生器舉例而言操作於13.56MHz或60MHz,可經由繼電器207與次要電極205耦合,繼電器207將允許於其他操作模式期間次要電極205亦接地,例如於離子銑操作期間,雖然若第一噴頭225被供電時,則次要電極205亦可保持浮動。
第二饋入氣體源及氫源,第二饋入氣體源例如三氟化氮(nitrogen trifluoride),氫源例如氨(ammonia),例如經由虛線224,可從氣體分配系統290傳遞且耦合至進氣口276。在此模式中,第二饋入氣體可流動通過第二噴頭210且可在第二腔室區域281中被激發。然後反應性物種可通過進入第一腔室區域284以與基板202反應。於如此操作期間,可於比起先前所述的操作較高的壓力下實行處理。舉例而言,可於約0.01托或高於約0.01托的處理壓力下實行蝕刻操作,且可於約下述壓力或高於約下述壓力下實行蝕刻操作:0.1托、0.5托、1托、2托、3托、4托、5托、6托、7托、8托、9 托、10托、15托、20托等等或更高。如進一步所繪示的,對於第一噴頭225為雙通道噴頭的實施例,可提供一或更多個饋入氣體以與由第二電漿292所產生的反應性物種反應。在一個如此實施例中,水蒸氣源或其他氣體源可耦合至該複數個孔283。
在一個實施例中,吸座250在垂直於第一噴頭225的方向中沿著距離H2為可移動的。吸座250可在由風箱(bellows)255或類似者所環繞的致動機制上,以允許吸座250移動較靠近第一噴頭225或較遠離第一噴頭225,作為控制吸座250與第一噴頭225之間的熱傳送之手段,該熱傳送可在80℃至150℃或更高的舉升的溫度下。因此,可藉由相對於第一噴頭225在第一預定位置與第二預定位置之間移動吸座250來實行蝕刻處理。或者,吸座250可包含升降桿251,以將基板202舉升離開吸座250之頂表面達距離H1,以於蝕刻處理期間藉由第一噴頭225來控制加熱。在其他的實施例中,當於固定的溫度例如舉例而言約90℃至110℃下實行蝕刻處理時,可避免吸座位移機制。藉由替代地自動地供電第一與第二射頻耦合電極對,於蝕刻處理期間系統控制器可替代地激發第一電漿270及第二電漿292。
腔室200還可經重新配置以實行沉積操作。藉由射頻放電,可在第二腔室區域281中產生電漿292,射頻放電可以針對第二電漿292所述的方式中之任一者來實施。當於沉積期間對第一噴頭225供電以產生電漿292時,第一噴頭225藉由介電間隔物230可自接地腔室壁240隔離,以便相對於 腔室壁為電性浮動。在示例的實施例中,氧化劑饋入氣體源,例如分子氧,可從氣體分配系統290傳遞且耦合至進氣口276。在第一噴頭225為雙通道噴頭的實施例中,任何含矽前驅物,例如OMCTS,可從氣體分配系統290傳遞,且耦合進入第一腔室區域284中以與來自電漿292通過第一噴頭225的反應性物種反應。或者,含矽前驅物亦可隨著氧化劑流動通過進氣口276。
舉例而言,腔室200可用於數個蝕刻處理及沉積處理。蝕刻處理及沉積處理及可與揭示的技術一起使用的腔室及腔室200之另外的實例描述於共同受讓的申請案案號13/651,074中,標題為「Process chamber for Etching Low K and Other Dielectric Films」且申請於2012年10月12日,該申請案之整體內容就不與本揭示案不一致的各方面而言藉此以引用之方式併入本文。
第3圖圖示根據揭示的技術噴頭之底部平面視圖。噴頭325可對應於第2圖中所示的噴頭225。通孔365,圖示舉例而言第一流體通道282之視圖,可具有複數個形狀及配置,用以控制且影響通過噴頭325的前驅物之流動。舉例而言,該等孔可以他們的配置作出可影響流體分配的任何幾何圖案,且可被分配作為位於彼此同心地朝外處且基於板材上的位於中心處的位置之數個孔之環。作為一個實例,且非限制本技術之範疇,第3圖圖示由孔所形成的圖案,該圖案包含從中心朝外延伸的同心六邊形環。比起位於內側的前個環,各位於外側的環可具有相同數目、更多或更少的孔。在 一個實例中,基於各同心環之幾何形狀,各環可具有額外數目的孔。在六邊多邊形的實例中,向外側移動的各環比位於正好向內的環可具有多六個孔,且第一內部環具有六個孔。隨著孔之第一環位於最靠近板材之中心處,該板材或該等板材可具有多於兩個環,且取決於使用的孔之幾何圖案,可具有介於約一個與約五十個之間的孔之環。在一個實例中,如圖示,在示例的板材上可具有九個六邊形環。
孔之同心環亦可不具有孔之同心環中之一者,或可使延伸朝外的孔之環中之一者從介於其他環之間被移除。舉例而言參照第3圖,當示例的九個六邊形環位於板材上時,板材取而代之可具有八個環,但可能為第四環被移除。在如此的實例中,於該處可能不形成通道,否則第四環會位於該處,第四環可重新分配待通過孔的流體之氣流。該等環可仍然亦具有某些孔從幾何圖案被移除。舉例而言再次參照第3圖,孔之第十個六邊形環可形成於板材上圖示作為最外側的環。然而,該環可不包含會形成六邊形圖案之頂點的孔或位於該環內的其他孔。小孔375圖示舉例而言傳遞流體通過路徑283的第二流體通道之視圖,小孔375可被實質上均勻地分配於噴頭之表面上,即使在通孔365之間,比起其他配置此舉可幫助提供當前驅物離開噴頭時有更均勻的前驅物之混合。
根據揭示的實施例噴頭或面板(faceplate)之替代配置繪示於第4圖中,該圖圖示根據揭示的技術另一個噴頭之底部平面視圖。如圖示,噴頭400可包括穿孔板(perforated plate)或分歧管(manifold)。噴頭之組件可類似於如第3圖中所示的噴頭,或可包含針對前驅物氣體之分配圖案所特定配置的設計,例如通過如關於第2圖的以上討論的第二噴頭210。噴頭400可包含環形框架410,在示例的處理腔室內以各種配置來設置環形框架410,該等配置例如如第2圖中所示的一或更多個配置。在框架上或在框架內可耦合板材420,板材420在揭示的實施例中可類似於先前所述的板材320。板材可具有盤狀且可坐落於框架410上或於框架410內。板材可有各種厚度,且可包含界定於板材內的複數個孔465。如第4圖中所示的示例性配置可包含如參照第3圖中的配置先前所述的圖案,且可包含以幾何形狀的一系列的孔之環,例如所示的六邊形。如將理解,繪示的圖案為示例性的且將理解設計涵蓋各種圖案、孔配置及孔間隔。或者,噴頭400可為單一板材設計且由單件結構組成。
如以上關於腔室200之討論,系統可用以實行操作及非常低的壓力以及較高的壓力。舉例而言,先前所述的處理可在腔室200內依序實行或在相同整體處理步驟期間實行,用以實行多步驟蝕刻操作。舉例而言,可實行上述的第一處理以修改設置於半導體基板上的材料。可於低壓下實行該修改以提供方向性予離子撞擊或傳遞。隨著壓力增加,形成的離子之平均自由路徑可減小,此舉可導致在電漿之形成鞘區內的不欲的離子碰撞。雖然在某些處理中離子碰撞為可接受的,在其他處理下,藉由減低離子碰撞,對於傳遞的離子之方向性可提供改進的控制,以減小撞擊晶圓的離子之角 分散。因此,可利用低壓或非常低壓以維持離子之方向性。
多步驟蝕刻操作之後續部分,例如上述的蝕刻操作,可受益於第一基於離子的操作下增加的壓力。舉例而言,以先前所述的基於氨的處理,增加的壓力可增加處理中利用的前驅物之解離,此舉可允許改進的蝕刻。此外,在電漿中產生的部件可包含更多或更少兩者所需的部件。較高壓力可有利於某些部件,舉例而言例如NH4F,而在某些蝕刻操作中較不欲的部件例如氟自由基可能更容易在高壓下再結合,允許操作之改進的選擇性。習知的系統因為不同壓力制度可能已需要採用多個腔室用以實行後續處理,然而,腔室200可經配置以實行如以下將進一步詳細討論的兩者操作。
轉向第5圖,該圖圖示根據揭示的技術系統500之簡化示意圖,該系統可允許於多個壓力範圍下的精確系統控制。系統可包含處理腔室510及與該處理腔室耦合的第一壓力調節裝置515。系統可包含與處理腔室耦合的第二壓力調節裝置520,第二壓力調節裝置520自第一壓力調節裝置515分離。系統可進一步包含第一泵525,第一泵525與第一壓力調節裝置515耦合且自第二壓力調節裝置520流體地隔離。系統還可包含第二泵530,第二泵530與第二壓力調節裝置520流體地耦合。系統可包含任選的閥540,閥540經配置以於操作期間自第二泵530隔離第一壓力調節裝置515及第二壓力調節裝置520。
第一壓力調節裝置515與第二壓力調節裝置520在揭示的實施例中可為類似的裝置且兩者皆可為閥或流體節流 裝置。閥可為閘閥、隔離閥、蝶形閥、球形閥、球閥或任何其他能夠受控制以調節流體流動通過裝置的裝置。壓力調節裝置可為液壓、氣動、手動、電磁圈或馬達驅動的,且在配置中可包含或可不包含致動器,且可由熟知技藝者所理解的各種材料所製成。該等壓力調節裝置可具有類似的尺寸,或可具有不同的尺寸,以便允許於多個壓力制度下的個別操作。舉例而言,第一壓力調節裝置515的尺寸可被設計及/或經配置為在第一壓力範圍內調節處理腔室壓力,在揭示的實施例中,第一壓力範圍可為高於約5托、於約5托或低於約5托,且第一壓力調節裝置515的尺寸可被設計為於約下述壓力或低於約下述壓力下操作或調節處理腔室:3托、1托、0.5托、0.1托、10毫托、5毫托、1毫托等等或以下,或第一壓力調節裝置515的尺寸可被設計為在這些說明的壓力中之任一者之範圍內操作或調節。舉例而言,第一壓力調節裝置515的尺寸可被設計為在從約3托或低於約3托至約1毫托或低於約1毫托或是從約1托至約5毫托或低於5毫托的範圍中操作。
第二壓力調節裝置520可與第一壓力調節裝置類似,或在揭示的實施例中可有不同的閥類型、尺寸或結構。舉例而言,第二壓力調節裝置520的尺寸可被設計及/或經配置為在第二壓力範圍內調節處理腔室壓力,在揭示的實施例中,第二壓力範圍可為高於約0.1托、於約0.1托或低於約0.1托,且第二壓力調節裝置520的尺寸可被設計為於約下述壓力或高於約下述壓力下操作或調節處理腔室:0.1托、1托、2 托、3托、4托、5托、6托、7托、8托、9托、10托、15托、20托等等或以上,或第二壓力調節裝置520的尺寸可被設計為在這些說明的壓力中之任一者之範圍內操作或調節。
第一壓力調節裝置515及第二壓力調節裝置520可舉例而言由系統控制器505以結合方式操作或分別地操作。在揭示的實施例中,以系統控制器505提供操作的設定點給第一壓力調節裝置515及第二壓力調節裝置520,且然後第一壓力調節裝置515及第二壓力調節裝置520被操作以影響系統或腔室壓力,以提供設定點壓力。該等壓力調節裝置可經操作以串聯工作,使得可提供更廣的整體壓力機制且具有改進的控制。舉例而言,當第二壓力調節裝置為開啟時,第一壓力調節裝置可經配置為被關閉的,且當第一壓力調節裝置為開啟時,第二壓力調節裝置可經配置為被關閉的。如此一來,該等裝置可操作為交叉控制器,以基於指定的裝置尺寸提供更大範圍的控制。舉例而言,若第一壓力調節裝置515的尺寸被設計為調節腔室壓力從約0.1毫托或高於約0.1毫托至約3毫托或低於約3毫托,且第二壓力調節裝置的尺寸被設計為調節腔室壓力從約0.1托或高於約0.1托至約20托或高於約20托,則藉由以結合方式操作調節裝置,系統可提供舉例而言從介於約0.1毫托多達約20托的腔室壓力控制。因為泵、閥、附件等等的尺寸可基於較高或較低操作壓力被設計或選擇,轉換設計可允許較大彈性而無可能損害靈敏的設備,該靈敏的設備之尺寸被設計為在更限制的範圍中操作。
處理系統還可包含與處理腔室耦合的一或更多個壓 力測量裝置,例如壓力測量裝置535,以提供反饋,壓力調節裝置藉由該反饋可調整腔室壓力條件。如第5圖中所繪示,系統可包含至少一個第一壓力測量裝置535a,第一壓力測量裝置535a與處理腔室耦合且經配置以提供資訊給第一壓力調節裝置515。系統還可包含至少一個第二壓力測量裝置535b,第二壓力測量裝置535b與處理腔室耦合且經配置以提供資訊給第二壓力調節裝置520。壓力測量裝置535之整體數目可為至少1、2、3、4、5、6等等或更多,且可基於由腔室所利用的整體壓力制度,或在腔室內實行的操作所需的控制之靈敏度。舉例而言,系統可包含至少三個壓力測量裝置535,壓力測量裝置535具有於三個不同的控制條件下的尺寸,控制條件例如高達約0.1托,高達約1托,及/或高達約10托,以提供在各種條件下的反饋能力。各壓力測量裝置535中之一或更多者可與各壓力調節裝置515、520耦合,用以提供在不同壓力範圍下的反饋。
第一泵525及第二泵530可具有類似的設計或尺寸且可基於各種操作特徵及效能特徵來選擇。在揭示的實施例中各泵可為正位移、直接升降或重力供給,且可為渦輪分子泵或其他機械泵。舉例而言,第一泵525可為例如先前所述的渦輪分子泵,且第二泵530可為尺寸被設計為用於較高壓力的機械泵。因此,當使用低壓操作時,第二泵530可更快速地降低腔室之壓力低於臨界壓力,且然後第二泵525可降低壓力至預定的操作條件。因此舉例而言,與第一壓力調節裝置515一起操作的第一泵525可於低壓操作期間調節腔室 之壓力,且與第二壓力調節裝置520一起操作的第二泵530可於較高壓操作期間調節腔室之壓力。
可以各種方式來耦合部件,且第5圖繪示單一揭示的實施例。應瞭解,可使用各種配管機制,且本文可包含未圖示的各種其他部件,包含閥各種粗製管路及其他配管部件。舉例而言,半導體處理系統可包含圖示的處理腔室510及沿著第一流體管路517與處理腔室耦合的第一壓力調節裝置515。系統還可包含沿著第二流體管路519與處理腔室耦合而自第一壓力調節裝置515分離的第二壓力調節裝置520。第一泵525可沿著第一流體管路517與第一壓力調節裝置515流體地耦合,且第二泵530可與第二壓力調節裝置520耦合。如先前所討論的,系統可包含至少一個第一壓力測量裝置535a以及至少一個第二壓力測量裝置535b,第一壓力測量裝置535a與處理腔室耦合且經配置以提供資訊給第一壓力調節裝置515,第二壓力測量裝置535b與處理腔室耦合且經配置以提供資訊給第二壓力調節裝置520。舉例而言,系統可包含兩個第一壓力測量裝置535a,第一壓力測量裝置535a與處理腔室耦合且與第一壓力調節裝置515耦合,以提供反饋資訊給第一壓力調節裝置515。
第二泵530亦可與第一壓力調節裝置525流體地耦合。第二泵可與第三流體管路521耦合,第三流體管路521與第一流體管路517及第二流體管路519兩者皆流體地耦合。任選的部件540可包含隔離閥,隔離閥允許第一流體管路517及第二流體管路519於第二泵520之操作期間流體地 隔離。
第6圖繪示根據揭示的技術操作半導體處理系統之方法,且可允許於腔室內實行多個處理操作而不從腔室環境移除基板。基板可被傳遞至半導體處理腔室,且該基板可預先被圖案化,且可已實行先前的沉積操作、蝕刻操作及固化操作。在腔室內可實行一或更多個沉積操作,或可在基板傳遞後即實行多步驟蝕刻操作。方法可包含於操作610處操作第一流體泵,其中藉由第一壓力調節裝置使泵與半導體處理腔室耦合。該操作可產生於第一壓力範圍內的處理腔室壓力。方法可包含於操作620處關閉第一壓力調節裝置,且然後於操作630處操作第二流體泵。藉由第二壓力調節裝置使第二流體泵亦可與半導體處理腔室耦合。方法可進一步包含於操作640處開啟第二壓力調節裝置,以產生於第二壓力範圍內的處理腔室壓力。
第一壓力範圍及第二壓力範圍可為彼此類似的或彼此不同,且在揭示的實施例中,第一壓力範圍可高於第二壓力範圍。本方法可涵蓋先前所討論的壓力及/或範圍中之任一者,且在揭示的實施例中,舉例而言,第一壓力範圍可於約1托或高於約1托,且第二壓力範圍可於約1托或低於約1托。該配置可允許利用第一壓力調節裝置於第一較高壓力下的初始控制,繼之以利用第二壓力調節裝置於較低壓力制度下的後續操作。如此一來,分離的壓力調節裝置可精確地控制於腔室內的操作壓力。
第7圖繪示根據揭示的技術操作半導體處理系統之 另外的方法。方法可包含於操作710處以第一壓力調節裝置來操作與半導體處理腔室耦合的第一流體泵,以產生在第一壓力範圍內的處理腔室壓力。方法亦可包含於操作720處關閉第一壓力調節裝置,且於操作730處將流體流入處理腔室。方法可進一步包含於操作740處操作與半導體處理腔室耦合的第二壓力調節裝置,以調節在第二壓力範圍內的處理腔室。
該等方法可允許實行多步驟蝕刻操作,其中步驟發生於不同壓力下。舉例而言,第一蝕刻步驟可包含利用離子撞擊以修改材料之表面。該處理可獲益自相當低或非常低的處理壓力,舉例而言例如低於約1托,或低於約0.1托。多步驟蝕刻之第二部分可包含使例如先前所述的前驅物與基板之表面相互作用,此舉可於較高壓力下實行以增加前驅物解離。舉例而言,該處理可於高於約0.1托或高於約1托下實行。因此,第一壓力範圍可於約1托或低於約1托,且第二壓力範圍可於約1托或高於約1托。
當於較低壓力下實行操作且然後準備於較高壓力下操作時,系統可以各種方式被加壓或再加壓。舉例而言,正流經系統的一或更多種處理氣體可允許腔室加壓至預定的操作壓力。流體可包含用於各種操作中的惰性流體或各種處理前驅物。舉例而言,於已關閉第一壓力調節裝置之後,但在開啟第二壓力調節裝置之前,一或更多種流體可流進處理腔室中,用以加壓容器。取決於加壓需求之程度,關閉第一壓力調節裝置與開啟第二壓力調節裝置之間的時間可對應地調整,且藉由與處理腔室耦合的一或更多個壓力測量裝置來調 節。此外,於操作期間一或多個流體可連續地流動,且當切換調節裝置及系統加壓同時該一或多個流體維持流動。
雖然在某些配置中針對所述的處理在系統內可使用單一壓力調節裝置,該裝置可能不提供在壓力範圍兩者內的足夠的精確性。舉例而言,若第一壓力範圍介於約0托與約0.1托之間,且第二壓力範圍介於約2托與約10托之間,則由於兩個分離的壓力調節裝置具有於分離的操作範圍下的尺寸,單一壓力調節裝置可能不提供相同的控制品質。此外,在配置中利用的一或更多個泵可能不適用於整個範圍各處,且可能受損害或於壓力範圍中之任一者下無法正確地實行。因此,泵及壓力調節裝置可與處理腔室耦合,用以允許於兩個或多於兩個壓力範圍下的精確控制,而同時保護與系統耦合的泵及裝置。
系統還可包含一或更多個壓力測量裝置,該一或更多個壓力測量裝置提供壓力資訊給一或更多個壓力調節裝置。系統可包含多個壓力測量裝置,該等壓力測量裝置經配置以提供於各種操作壓力下在腔室內的精確的壓力測量。舉例而言,壓力測量裝置可包含第一裝置及第二裝置,第一裝置於約0.1托或低於約0.1托下測量,第二裝置於約10托或低於約10托下測量。藉由具有較窄的操作範圍,對於藉由壓力調節裝置的改進的控制可給予更精確的壓力測量。
在前面的描述中,為了解釋目的,已記載眾多細節,用以提供本案技術之各種實施例之理解。然而,對於本領域具有習知技藝者而言將為顯而易見的為,在沒有這些細節的 某些細節或藉由另外細節的情況下可實踐某些實施例。
由於已揭示了數個實施例,本領域具有習知技藝者將認知,在不脫離揭示的實施例之精神的情況下,可使用各種修改、替代的結構及均等物。此外,數個熟知的處理及元件並未描述,用以避免不必要地混淆本案技術。因此,以上描述不應被視為限制本案技術之範疇。
當提供數值之範圍時,應瞭解,除非上下文另有清楚的指出,亦特定地揭示了在該範圍的上限與下限之間的各介於期間的值,至下限之單元之最小的部分。本文涵蓋了在任何說明的值之間的任何較窄範圍或在說明的範圍中未說明的介於其間的值及在該說明的範圍中任何其他說明的或介於其間的值。那些較小範圍之上限與下限可獨立地被包含於該範圍中或被排除於該範圍外,且各值其中上下限之任一、皆非或兩者被包含於較小的範圍中亦被涵蓋於本案技術內,承受說明的範圍中任何特定排除的限制。當說明的範圍包含上下限中之任一者或兩者時,本文亦包含排除那些包含的上下限之任一者或兩者的範圍。
如在本文及在附加申請專利範圍中所使用的,單數形式「一」及「該」包含複數參照,除非上下文另外清楚指出。因此,舉例而言,參照「一孔」包含複數個該等孔,且參照「該流體管路」包含參照一或更多個流體管路及本領域具有習知技藝者熟知的該一或更多個流體管路之均等物,及等等。
此外,用語「包括(comprise(s),comprising)、「含 有(contain(s),containing)」及「包含(include(s),including)」,當使用於本說明書及以下申請專利範圍中時,旨在指明說明的特徵、整體、部件及步驟之存在,但他們並未排除一或更多個其他特徵、整體、部件、步驟、行為或群組之存在或附加。
100‧‧‧處理系統
102‧‧‧前開式晶圓傳送盒
104‧‧‧機械手臂
106‧‧‧低壓保持區域
108a‧‧‧基板處理腔室
108b‧‧‧基板處理腔室
108c‧‧‧基板處理腔室
108d‧‧‧基板處理腔室
108e‧‧‧基板處理腔室
108f‧‧‧基板處理腔室
109a‧‧‧串接部分
109b‧‧‧串接部分
109c‧‧‧串接部分
110‧‧‧第二機械手臂

Claims (20)

  1. 一種半導體處理系統,包括:一處理腔室;一第一壓力調節裝置,該第一壓力調節裝置與該處理腔室耦合;一第二壓力調節裝置,該第二壓力調節裝置與該處理腔室耦合且自該第一壓力調節裝置分離;一第一泵,該第一泵與該第一壓力調節裝置流體地耦合且自該第二壓力調節裝置流體地隔離;及一第二泵,該第二泵與該第二壓力調節裝置流體地耦合。
  2. 如請求項1所述之半導體處理系統,進一步包括:至少一個第一壓力測量裝置,該至少一個第一壓力測量裝置與該處理腔室耦合且經配置以提供資訊給該第一壓力調節裝置。
  3. 如請求項2所述之半導體處理系統,進一步包括:至少一個第二壓力測量裝置,該至少一個第二壓力測量裝置與該處理腔室耦合且經配置以提供資訊給該第二壓力調節裝置。
  4. 如請求項1所述之半導體處理系統,其中該第一壓力調節裝置經配置以調節在一第一壓力範圍內的處理腔室壓力。
  5. 如請求項4所述之半導體處理系統,其中該第一壓力範圍為約5托(Torr)或低於約5托。
  6. 如請求項5所述之半導體處理系統,其中該第一壓力範圍為約1托或低於約1托。
  7. 如請求項1所述之半導體處理系統,其中該第二壓力調節裝置經配置以調節在一第二壓力範圍內的處理腔室壓力。
  8. 如請求項7所述之半導體處理系統,其中該第二壓力範圍為約0.1托或高於約0.1托。
  9. 如請求項8所述之半導體處理系統,其中該第二壓力範圍為約1托或高於約1托。
  10. 如請求項1所述之半導體處理系統,其中當該第一壓力調節裝置為開啟時,該第二壓力調節裝置經配置為被關閉。
  11. 如請求項1所述之半導體處理系統,其中該當該第二壓力調節裝置為開啟時,該第一壓力調節裝置經配置為被關閉。
  12. 一種半導體處理系統,包括:一處理腔室;一第一壓力調節裝置,該第一壓力調節裝置沿著一第一 流體管路與該處理腔室耦合;一第二壓力調節裝置,該第二壓力調節裝置沿著一第二流體管路與該處理腔室耦合且自該第一壓力調節裝置分離;一第一泵,該第一泵沿著該第一流體管路與該第一壓力調節裝置流體地耦合;及一第二泵,該第二泵與該第二壓力調節裝置流體地耦合。
  13. 如請求項12所述之半導體處理系統,其中該第二泵與該第一壓力調節裝置流體地耦合。
  14. 如請求項13所述之半導體處理系統,其中該第二泵與一第三流體管路流體地耦合,該第三流體管路與該第一流體管路及該第二流體管路兩者皆流體地耦合。
  15. 如請求項12所述之半導體處理系統,進一步包括:至少一個第一壓力測量裝置,該至少一個第一壓力測量裝置與該處理腔室耦合且經配置以提供資訊給該第一壓力調節裝置。
  16. 如請求項15所述之半導體處理系統,進一步包括:至少一個第二壓力測量裝置,該至少一個第二壓力測量裝置與該處理腔室耦合且經配置以提供資訊給該第二壓力調節裝置。
  17. 一種操作一半導體處理系統之方法,該方法包括以下步驟:以一第一壓力調節裝置來操作一第一流體泵,該第一流體泵與一半導體處理腔室耦合,以產生在一第一壓力範圍內的一處理腔室壓力;關閉該第一壓力調節裝置;以一第二壓力調節裝置來操作一第二流體泵,該第二流體泵與該半導體處理腔室耦合;及開啟該第二壓力調節裝置,以產生在一第二壓力範圍內的一處理腔室壓力。
  18. 如請求項17所述之方法,其中該第一壓力範圍為約1托或高於約1托,且該第二壓力範圍為約1托或低於約1托。
  19. 一種操作一半導體處理系統之方法,該方法包括以下步驟:以一第一壓力調節裝置來操作一第一流體泵,該第一流體泵與一半導體處理腔室耦合,以產生在一第一壓力範圍內的一處理腔室壓力;關閉該第一壓力調節裝置;將一流體流進該處理腔室中;及操作一第二壓力調節裝置,該第二壓力調節裝置與該半導體處理腔室耦合,以調節在一第二壓力範圍內的該處理腔室。
  20. 如請求項19所述之方法,其中該第一壓力範圍為約1托或低於約1托,且該第二壓力範圍為約1托或高於約1托。
TW103114306A 2013-04-19 2014-04-18 用於半導體處理應用的壓力控制器配置 TWI618169B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361813808P 2013-04-19 2013-04-19
US61/813,808 2013-04-19
US13/919,838 US20140311581A1 (en) 2013-04-19 2013-06-17 Pressure controller configuration for semiconductor processing applications
US13/919,838 2013-06-17

Publications (2)

Publication Number Publication Date
TW201448091A true TW201448091A (zh) 2014-12-16
TWI618169B TWI618169B (zh) 2018-03-11

Family

ID=51728093

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103114306A TWI618169B (zh) 2013-04-19 2014-04-18 用於半導體處理應用的壓力控制器配置

Country Status (5)

Country Link
US (1) US20140311581A1 (zh)
KR (1) KR20160003709A (zh)
CN (1) CN105122424B (zh)
TW (1) TWI618169B (zh)
WO (1) WO2014172142A1 (zh)

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN105340050B (zh) * 2013-07-29 2017-06-06 株式会社日立高新技术 离子铣削装置以及使用离子铣削装置的加工方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
DE17895903T1 (de) 2017-02-08 2020-01-16 Picosun Oy Abscheidungs- oder Reinigungsvorrichtung mit beweglicher Struktur und Verfahren zum Betrieb
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
EP3769921A1 (en) * 2019-07-24 2021-01-27 Koninklijke Philips N.V. Providing feedback to a user of a shaving device during a shaving operation
US20230402268A1 (en) * 2022-06-09 2023-12-14 Applied Materials, Inc. Plasma preclean system for cluster tool

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3537474A (en) * 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US4361418A (en) * 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
JP3501524B2 (ja) * 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
US5788825A (en) * 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US6328803B2 (en) * 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6017414A (en) * 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5944049A (en) * 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
US6161576A (en) * 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6162302A (en) * 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
JP4695238B2 (ja) * 1999-12-14 2011-06-08 東京エレクトロン株式会社 圧力制御方法
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
DE10032607B4 (de) * 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
KR100442580B1 (ko) * 2001-10-09 2004-08-02 주성엔지니어링(주) 반도체 제조용 챔버의 배기시스템
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
EP1453083A4 (en) * 2001-12-07 2007-01-10 Tokyo Electron Ltd INSULATING FILM NITRIDING PROCESS, SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME, AND SURFACE TREATING DEVICE AND METHOD
US7252011B2 (en) * 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP4399227B2 (ja) * 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US8037896B2 (en) * 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7430496B2 (en) * 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) * 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
FR2878913B1 (fr) * 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
GB0502149D0 (en) * 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
KR101101757B1 (ko) * 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
KR101339181B1 (ko) * 2006-11-22 2013-12-09 엘아이지에이디피 주식회사 미세패턴 형성장치 및 이를 이용한 미세패턴 형성방법
JP4299863B2 (ja) * 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8623141B2 (en) * 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101043713B1 (ko) * 2009-05-21 2011-06-24 세메스 주식회사 기판 처리 장치 및 방법
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TW201133482A (en) * 2009-11-30 2011-10-01 Applied Materials Inc Chamber for processing hard disk drive substrates

Also Published As

Publication number Publication date
CN105122424B (zh) 2018-07-24
WO2014172142A1 (en) 2014-10-23
US20140311581A1 (en) 2014-10-23
CN105122424A (zh) 2015-12-02
KR20160003709A (ko) 2016-01-11
TWI618169B (zh) 2018-03-11

Similar Documents

Publication Publication Date Title
TWI618169B (zh) 用於半導體處理應用的壓力控制器配置
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
KR102377951B1 (ko) 정전 척들의 전극들의 파라미터들을 설정하고 조정하기 위한 임피던스들을 갖는 튜닝 회로들을 포함하는 rf 튜닝 시스템들
US9368364B2 (en) Silicon etch process with tunable selectivity to SiO2 and other materials
US10896821B2 (en) Asymmetric wafer bow compensation by physical vapor deposition
JP7007407B2 (ja) 複数の堆積した半導体層のスタックを形成する方法
JP2023546601A (ja) 半導体処理チャンバのためのガスボックス
WO2021257318A1 (en) Asymmetric exhaust pumping plate design for a semiconductor processing chamber
US20230005740A1 (en) Modulation of oxidation profile for substrate processing
US20210320027A1 (en) Systems and methods for substrate support temperature control
KR20220100020A (ko) 튜닝 회로들에서 주파수 기반 임피던스 조정
KR20200024352A (ko) 금속 산화물 후처리를 위한 방법들
KR20200130230A (ko) 냉각제 가스 존들 및 대응하는 그루브 및 단극성 정전 클램핑 전극 패턴들을 갖는 정전 척들
TWI715002B (zh) 用於電漿控制的具有間隙的兩件式電極組件
WO2021206919A1 (en) Faceplate with localized flow control
US20220020570A1 (en) Switchable delivery for semiconductor processing system
US20220375746A1 (en) Semiconductor substrate bevel cleaning
WO2024076480A1 (en) Annular pumping for chamber
WO2024076479A1 (en) Adjustable pedestal
WO2022081343A1 (en) Semiconductor chamber components for back diffusion control
JP2013239584A (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees