CN105122424B - 用于半导体处理应用的压力控制器配置 - Google Patents

用于半导体处理应用的压力控制器配置 Download PDF

Info

Publication number
CN105122424B
CN105122424B CN201480021529.XA CN201480021529A CN105122424B CN 105122424 B CN105122424 B CN 105122424B CN 201480021529 A CN201480021529 A CN 201480021529A CN 105122424 B CN105122424 B CN 105122424B
Authority
CN
China
Prior art keywords
pressure
regulating device
pressure regulating
coupled
semiconductor processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201480021529.XA
Other languages
English (en)
Other versions
CN105122424A (zh
Inventor
S·G·别洛斯托茨基
A·恩古耶
J·迪恩
Y-s·林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105122424A publication Critical patent/CN105122424A/zh
Application granted granted Critical
Publication of CN105122424B publication Critical patent/CN105122424B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

示例性半导体处理系统可包含处理腔室以及与所述处理腔室耦合的第一压力调节装置。第二压力调节装置也可与处理腔室耦合,并且与第一压力调节装置分开。第一泵可与第一压力调节装置流体地耦合,并且可与第二压力调节装置流体地隔离。第二流体泵可与第二压力调节装置流体地耦合。

Description

用于半导体处理应用的压力控制器配置
相关申请的交叉引用
本申请要求2013年6月17日提交的美国非临时专利申请No.13/919,838的优先权,所述美国非临时专利申请要求2013年4月19日提交的美国临时申请No.61/813,808的权益,这两个申请的标题都是“用于半导体处理应用的压力控制器配置”(“Pressure ControllerConfiguration for Semiconductor Processing Applications”)。这两个申请的整体公开内容出于所有目的通过引用并入本文。
技术领域
当前的技术涉及半导体工艺和设备。更明确而言,当前的技术涉及用于系统控制的处理腔室和部件。
背景技术
通过在基板表面上产生经复杂地图案化的材料层的工艺使得集成电路成为可能的。在基板上产生图案化的材料需要用于对暴露的材料的沉积和去除的受控的方法。例如,化学蚀刻用于各种目的,这些目的包含将光刻胶中的图案转移到位于下方的层中、使层变薄或使已存在于表面上的特征的横向尺度变薄。经常,需要具有相比另一种材料更快地蚀刻一种材料的蚀刻工艺以促进例如图案转移工艺。此类蚀刻工艺被称作对于第一材料是具有选择性的。作为材料、电路和工艺多样性的结果,已开发出对各种材料具有选择性的蚀刻工艺,并且可在某个温度和压力模式(regime)中执行每一个工艺。
随着这些部件和工艺变得更加复杂,更严格的公差可能日益影响整体质量,并且环境改变可能影响最终产品。对于许多半导体工艺,可在一个腔室中执行第一工艺,随后转移至另外的腔室以进行另外的处理。此类转移可能由于环境改变以及对于整体制造而言增加的排队时间而产生不期望的缺陷。
因此,需要用于执行半导体制造工艺的改进的方法和系统。通过当前的技术来解决这些需求和其他需求。
发明内容
描述了用于在半导体腔室中控制压力的系统和方法。示例性半导体处理系统可包含处理腔室以及与所述处理腔室耦合的第一压力调节装置。第二压力调节装置也可与处理腔室耦合,并且与第一压力调节装置分开。第一泵可与第一压力调节装置流体地耦合,并且可与第二压力调节装置流体地隔离。第二流体泵可与第二压力调节装置流体地耦合
处理系统可进一步包含至少一个第一压力测量装置,所述至少一个第一压力测量装置与处理腔室耦合,并且经配置以将信息提供给第一压力调节装置。系统还可包含至少一个第二压力测量装置,所述至少一个第二压力测量装置与处理腔室耦合,并且经配置以将信息提供给第二压力调节装置。第一压力调节装置可经配置以在第一压力范围内调节处理腔室压力,并且第一压力范围可以是约5托(Torr)或低于约5托,并且可以是约1托或低于约1托。第二压力调节装置可经配置以在第二压力范围内调节处理腔室压力,并且第二压力范围可以是约0.1托或高于约0.1托,并且可以是约1托或高于约1托。在所公开的实施例中,当第一压力调节装置是打开的时候,第二压力调节装置可配置为是关闭的。此外,当第二压力调节装置是打开的时候,第一压力调节装置可配置为是关闭的。
根据当前的技术的示例性半导体处理系统可包含处理腔室和第一压力调节装置,所述第一压力调节装置沿第一流体管线与处理腔室耦合。系统可包含第二压力调节装置,所述第二压力调节装置沿第二流体管线与处理腔室耦合,并且与第一压力调节装置分开。系统可进一步包含第一泵,所述第一泵沿第一流体管线与第一压力调节装置流体地耦合,并且系统还可包含第二泵,所述第二泵与第二压力调节装置流体地耦合。在所公开的实施例中,第二泵还可与第一压力调节装置流体地耦合。第二泵还可与第三流体管线流体地耦合,所述第三流体管线与第一流体管线和第二流体管线两者流体地耦合。半导体处理系统还可包含至少一个第一压力测量装置,所述至少一个第一压力测量装置与处理腔室耦合,并且经配置以将信息提供给第一压力调节装置。半导体处理系统可包含至少一个第二压力测量装置,所述至少一个第二压力测量装置与处理腔室耦合,并且经配置以将信息提供给第二压力调节装置。
操作半导体处理系统的方法可包含:利用第一压力调节装置来操作与半导体处理腔室耦合的第一流体泵,以便产生第一压力范围内的处理腔室压力。所述方法可包含关闭第一压力调节装置,且可包含利用第二压力调节装置来操作与半导体处理腔室耦合的第二流体泵。所述方法还可包含打开第二压力调节装置以产生第二压力范围内的处理腔室压力。在所公开的实施例中,第一压力范围可以是约1托或高于约1托,并且第二压力范围可以是约1托或低于约1托。
根据所公开技术的操作半导体处理系统的方法可包含:利用第一压力调节装置来操作与半导体处理腔室耦合的第一流体泵,以便产生第一压力范围内的处理腔室压力。所述方法还可包含关闭第一压力调节装置,并且可包含使流体流进处理腔室。所述方法还可包含操作与半导体处理腔室耦合的第二压力调节装置,以便在第二压力范围内调节处理腔室。在示例性方法中,第一压力范围可以是约1托或低于约1托,并且第二压力范围可以是约1托或高于约1托。
此类技术可提供相比常规技术的众多优点。例如,基于较少的基板传送至另外腔室和系统,可实现改进的排队时间。此外,由于能够执行多个操作的腔室所提供的较大的灵活性,系统成本可减少。结合以下描述和所附附图来更详细地描述这些实施例和其他实施例,以及这些实施例的许多优点和特征。
附图说明
通过参照说明书和附图的其余部分可实现对所公开技术的本质和优点的进一步了解。
图1示出示例性处理系统的一个实施例的俯视图。
图2示出示例性处理系统的示意截面图。
图3示出根据所公开技术的示例性喷头的仰视图。
图4示出根据所公开技术的示例性面板(faceplate)的平面图。
图5示出根据所公开技术的简化的系统示意图。
图6示出根据所公开技术的操作半导体处理系统的方法。
图7示出根据所公开技术的操作半导体处理系统的方法。
附图中的若干图作为示意图而被包括。应当理解,附图用于说明目的,并且不应当被视为是按比例的,除非专门说明附图是这样的。
在所附附图中,类似的部件和/或特征可具有相同的参考标记。此外,通过遵循由划线与在类似部件之间进行区分的第二标记形成的参考标记可区分相同类型的各种部件。如果在说明书中仅使用第一参考标记,则无论第二参考标记如何,所述描述都适用于具有相同的第一参考标记的类似部件中的任何一个。
具体实施方式
当前的技术包含用于半导体处理的系统和部件。随着半导体工艺持续地改进,操作特征可直接并入腔室设计中,其中,经由专用部件在腔室中执行处理。然而,随着器件特征在尺度上继续减小,在处理期间,操作参数会可经受更小的公差。
为了对半导体处理提供精细调节控制,可针对将在腔室内执行的特定工艺专门生产处理系统和腔室。通常在腔室和系统生产中可利用在范围内提供高控制水平的有限范围的专用装置。例如,许多腔室可经配置以在特定的压力模式中执行工艺,并且由此利用针对所述特定范围而设计尺寸的部件。虽然数个腔室和系统可增加整体器件质量,但由于对于每一个制造工艺可能需要多个腔室,因此系统产量可能降低。当可能在完全不同的压力模式中执行后续的工艺步骤时,尤其可能是这种情况,因为可能选择特定的腔室部件以在压力区域中的一个中操作,但可能不会选择所述特定的腔室部件在第二压力区域中操作。然而,当前的系统和方法允许以多个压力模式来执行处理步骤并具有高控制水平,这不仅可改进器件质量,还可减少工艺排队时间。
虽然其余的公开内容将例行地标识利用所公开技术的特定蚀刻工艺,但是将容易理解,这些系统和方法同等适用于在所述腔室中可发生的沉积工艺和清洁工艺。因此,不应当将所述技术视为作为被限于仅用于蚀刻工艺。
图1示出根据所公开的实施例的具有沉积腔室、蚀刻腔室、烘烤腔室和固化腔室的处理系统100的一个实施例的俯视图。在图中,一对前开式标准舱(front opening unifiedpod;FOUP)102供应各种尺寸的基板,这些基板由机械手臂104接收,并且在放进基板处理腔室108a-f中的一个腔室之前,将这些基板放进低压保持区域106中,基板处理腔室108a-108f定位在串接部109a-c中。第二机械手臂110可用于将基板晶片从保持区域106传送到基板处理腔室108a-f,并且返回。每一个基板处理腔室108a-f可经装备以执行数个基板处理操作,这些操作除下述操作之外还包括本文中所述的多步骤的蚀刻工艺:循环层沉积(cyclical Layer deposition;CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、除气、定向及其他基板工艺。
基板处理腔室108a-f可包含用于在基板晶片上沉积、退火、固化和/或蚀刻电介质膜的一个或更多个系统部件。在一种配置中,两对处理腔室(例如,108c-d和108e-f)可用于在基板上沉积电介质材料,而第三对处理腔室(例如,108a-b)可用于蚀刻所沉积的电介质。在另一种配置中,全部三对腔室(例如,108a-f)可经配置以在基板上蚀刻电介质膜。可在与不同的实施例中所示的制造系统分开的(诸)腔室中实施所述工艺中的任何一个或多个。将会理解,构想了由系统100进行的针对电介质膜的沉积腔室、蚀刻腔室、退火腔室和固化腔室的另外的配置。
图2示出根据所公开技术的示例性处理腔室200的截面示意图。可例如在先前讨论的系统100的处理腔室部108中的一个或更多个中使用腔室200。通常,蚀刻腔室200可包含第一容性耦合式等离子体源及第二容性耦合式等离子体源,其中,第一容性耦合式等离子体源用于实现离子铣(ionmilling)操作,而第二容性耦合式等离子体源用于实现蚀刻操作并用于实现任选的沉积操作。腔室200可包含接地的腔室壁240,所述腔室壁240环绕夹盘(chuck)250。在实施例中,夹盘250可以是静电夹盘,所述夹盘在处理期间将基板202夹持到夹盘250的顶表面,但是也可利用已知的其他夹持机制。夹盘250可包含嵌入式热交换器线圈(embedded heat exchanger coil)217。在示例性实施例中,热交换器线圈217包含一个或更多个热传送流体通道,通过这些热传送流体通道,可传送热传送流体(例如,乙二醇/水混合物)以控制夹盘250的温度并最终控制基板202的温度。夹盘250可另外包含配置成用于进一步影响并控制晶片温度的嵌入式加热器或加热元件。
夹盘250可包含耦合至高压直流(DC)电源248的网孔(mesh)249,使得网孔249可承载DC偏压电位以实现对基板202的静电夹持。夹盘250可耦合至第一射频(RF)功率源,并且在一个此类实施例中,使得DC电压偏置和RF电压电位两者都在夹盘250的顶表面上跨薄电介质层而耦合。在绘示的实施例中,第一RF功率源可包含第一RF生成器252和第二RF生成器253。RF生成器252、253能以本领域中已知的工频来操作,然而在示例性实施例中,RF生成器252能以13.56MHz操作以感应出偏压,这可提供有利的离子方向性。当也提供第二RF生成器253时,示例性频率可以是60MHz。
当夹盘250由RF供电时,可由第一喷头225提供RF回程路径。第一喷头225可设置在夹盘上方以将第一馈送气体分配到由第一喷头225和腔室壁240限定的第一腔室区域284中。由此,夹盘250与第一喷头225形成第一RF耦合的电极对,所述第一RF耦合的电极对用于在第一腔室区域284中容性地为第一馈送气体的第一等离子体270供能。由RF供电的夹盘的容性耦合所造成的DC等离子体偏压(即RF偏压)可生成从第一等离子体270到基板202的离子通量(例如,氩(Ar)离子,其中,第一馈送气体为氩)以提供离子铣等离子体。第一喷头225可接地或可交替地耦合至RF源228,所述RF源228具有能以各种频率(包含例如,40MHz或60MHz)操作的一个或更多个生成器。在绘示的实施例中,第一喷头225可以选择性地经由继电器227耦合至接地或RF源228,所述继电器227在蚀刻工艺期间可例如由控制器(未示出)自动地控制。
如图中进一步所示,蚀刻腔室200可包含能够以低工艺压力实现高吞吐量的泵堆(pump stack)。在实施例中,至少一个涡轮分子泵265、266可经由栅阀260而与第一腔室区域284耦合,并且涡轮分子泵265、266可设置在夹盘250下方,位于第一喷头225的相反侧。涡轮分子泵265、266可以是任何市售具有适合的吞吐量的泵,并且更具体而言,可适当地设计这些泵的尺寸,以便在所需的流率下将工艺压力维持在低于或大约5托(Torr)、3托、1托、0.1托、10毫托,或是低于或大约5毫托,例如,在氩是第一馈送气体的情况下的50到500sccm的Ar。在绘示的实施例中,夹盘250可形成位于两个涡轮泵265与266之间中心处的基座的部分,然而,在替代的配置中,夹盘250可以在从腔室壁240悬臂伸出(cantilever)的基座上,并且单个涡轮分子泵具有与夹盘250的中心对齐的中心。
设置在第一喷头225上方的可以是第二喷头210。在一个实施例中,在处理期间,第一馈送气体源(例如,从气体分配系统290传递的氩)可耦合至进气口276,并且第一馈送气体可流经穿过第二喷头210而延伸的多个孔(aperture)280进入第二腔室区域281,并且可流经穿过第一喷头225而延伸的多个孔282进入第一腔室区域284。具有孔278的另外的流动分配器215可经由分配区域218而跨蚀刻腔室200的直径来进一步分配第一馈送气体流216。在替代的实施例中,第一馈送气体可经由孔283直接流进第一腔室区域284,如虚线223所示,孔283与第二腔室区域281隔离。例如,在第一喷头是如先前所述的双通道喷头的情况下,孔283对应于图3中的孔375。可在低压力下执行所述工艺,并且能以约10托或低于约10托、或者以低于或大约下述压力来执行所述工艺:5托、3托、1托、0.5托、0.1托、50毫托、10毫托、5毫托、1毫托等等或更低。
可从绘示用于执行蚀刻操作的状态中另外重新配置腔室200。辅电极205可设置在第一喷头225上方,并且在所述辅电极205与第一喷头225之间具有第二腔室区域281。辅电极205可进一步形成蚀刻腔室200的盖(lid)。辅电极205与第一喷头225可由电介质环220进行电隔离,并且形成第二RF耦合的电极对,以在第二腔室区域281内对第二馈送气体的第二等离子体292进行容性放电。有利的是,第二等离子体292可以不在夹盘250上提供显著的RF偏压电位。第二RF耦合的电极对中的至少一个电极耦合至RF源,以便对蚀刻等离子体供能。辅电极205可与第二喷头210电耦合。在示例性实施例中,第一喷头225可与接地平面耦合或可以是浮动的,并且可经由继电器227耦合至接地,从而允许在离子铣操作模式期间,第一喷头225也可由RF功率源228供电。在第一喷头225接地的情况下,RF功率源208(具有以例如13.56MHz或60MHz操作的一个或更多个RF生成器)可经由继电器207与辅电极205耦合,继电器207将允许辅电极205在其他操作模式期间(例如,在离子铣操作期间)也接地,但是如果对第一喷头225供电,则辅电极205也可保持浮动。
可从气体分配系统290中传递第二馈送气体源(诸如,三氟化氮)和氢源(诸如,氨),并且可例如经由虚线224将第二馈送气体源和氢源耦合至进气口276。按此模式,第二馈送气体可流经第二喷头210,并且可在第二腔室区域281中对第二馈送气体供能。然后,反应物可通过进入第一腔室区域284以与基板202反应。在此类操作期间,能以相比先前所述的操作更高的压力来执行工艺。例如,能以约0.01托或高于约0.01托的工艺压力来执行蚀刻操作,并且能以大约或高于大约下述压力来执行蚀刻操作:0.1托、0.5托、1托、2托、3托、4托、5托、6托、7托、8托、9托、10托、15托、20托等等或更高。如进一步所绘示的,对于第一喷头225是双通道喷头的实施例,可提供一种或更多种馈送气体以与由第二等离子体292生成的反应物反应。在一个此类实施例中,水蒸气源或其他气体源可耦合至多个孔283。
在实施例中,夹盘250在垂直于第一喷头225的方向上沿距离ΔH2可以是可移动的。夹盘250可以在由风箱(bellows)255或类似物环绕的致动机构上,以允许所述夹盘250靠近或远离第一喷头225移动以作为控制夹盘250与第一喷头225之间的热传送的手段,所述热传送可在80℃至150℃或更高的升高的温度下进行。由此,可通过在相对于第一喷头225的第一预定位置与第二预定位置之间移动夹盘250来实现蚀刻工艺。或者,夹盘250可包含升降杆251,所述升降杆251用于将基板202提升离开夹盘250的顶表面达距离ΔH1,以便在蚀刻工艺期间由第一喷头225控制加热。在其他的实施例中,在以固定温度(例如,约90°-110℃)来执行蚀刻处理的情况下,可避免夹盘位移机制。通过自动地交替对第一与第二RF耦合的电极对供电,系统控制器可在蚀刻工艺期间交替地对第一等离子体270和第二等离子体292供能。
腔室200还可经重新配置以执行沉积操作。通过RF放电,可在第二腔室区域281中生成等离子体292,能以针对第二等离子体292所述的方式中的任何方式来实现RF放电。在对第一喷头225供电以便在沉积期间生成等离子体292的情况下,第一喷头225可通过电介质间隔物230与接地的腔室壁240隔离,使得第一喷头225相对于腔室壁是电浮动的。在示例性实施例中,可从气体分配系统290中传递氧化剂馈送气体源(例如,分子氧),并且将氧化剂馈送气体源耦合至进气口276。在第一喷头225是双通道喷头的实施例中,可从气体分配系统290中传递任何含硅前体(例如,OMCTS),并且可将所述含硅前体耦合到第一腔室区域284中以与来自等离子体292的、通过第一喷头225的反应物进行反应。或者,也可使含硅前体随氧化剂一起流经进气口276。
腔室200可用于例如数个蚀刻工艺和沉积工艺。2012年10月12日提交的题为“用于蚀刻低K和其他电介质膜的工艺腔室”(“Process chamber for Etching Low K and OtherDielectric Films”)的共同转让的申请No.13/651,074中描述了可结合所公开技术和腔室200一起使用的蚀刻和沉积工艺与腔室的附加示例,对于与本公开不一致的各方面,所述申请的完整内容通过引用被结合在此。
图3示出根据所公开技术的喷头的仰视图。喷头325可对应于图2中所示的喷头225。通孔365(其示出例如第一流体通道282的视图)可具有多个形状和配置以控制并影响通过喷头325的前体的流动。例如,这些孔按它们的布置可作出可影响流体分配的任何几何图案,并且可被分配作为彼此同心地朝外定位且基于板上的位于中心处的位置的孔环。作为一个示例,并且不限制当前技术的范围,图3示出由孔形成的图案,所述图案包含从中心朝外延伸的同心六边形环。相比位于内侧的前一个环,每一个位于外侧的环可具有相同数目的、更多的或更少的孔。在一个示例中,基于每一个同心环的几何形状,各环可具有额外数目的孔。在六边的多边形示例中,向外侧移动的每一个环相比位于直接在内的环可具有多六个孔,且最内侧的环具有六个孔。由于第一孔环位于最靠近板的中心处,一个或多个板可具有多于两个环,并且取决于所使用的孔的几何图案,可具有在约一个与约五十个之间的孔环。在一个示例中,如图所示,在示例性板上可具有九个六边形环。
同心的孔环也可以不具有这些同心孔环中的一个孔环,或可使朝外延伸的这些孔环中的一个孔环从其他环之间被去除。以参照图3为例,在示例性的九个六边形环位于板上的情况下,所述板可替代地具有八个环,但第四个环可以是被去除的。在此类示例中,在第四个环原本所在之处可能不形成通道,这会重新分配正通过孔的流体的气流。这些环可仍然还使某些孔从几何图案中被去除。例如,再次参照图3,可在板上形成示出作为最外侧的环的第十个六边形孔环。然而,所述环可不包含会形成六边形图案的顶点的孔或位于所述环内的其他孔。小孔375(其示出例如通过路径283来传递流体的第二流体通道的视图)可在喷头的表面上,甚至在通孔365之间基本上均匀地分配,这会有助于在前体离开喷头时提供相比其他配置对前体的更均匀的混合。
图4中绘示根据所公开实施例的喷头或面板(faceplate)的替代布置,图4示出根据所公开技术的另一个喷头的仰视图。如图所示,喷头400可包括穿孔板(perforatedplate)或歧管(manifold)。喷头的组件可类似于图3中所示的喷头,或可包含针对前体气体的分配图案专门配置(例如,通过如上文中参照图2所讨论的第二喷头210)的设计。喷头400可包含在示例性处理腔室内以各种布置(诸如,图2中所示的一个或更多个布置)来定位的环形框架410。在框架上或在框架内可耦合板420,在所公开的实施例中,板420可类似于先前所述的板。板可具有盘状,并且可位于框架410上或在框架410内。板可有各种厚度,并且可包含限定在所述板内的多个孔465。图4中所示的示例性布置可包含如先前参照图3中的布置所述的图案,并且可包含按照几何形状的一系列孔环,例如,所示的六边形。将会理解,绘示的图案是示例性的,并且将会理解,在设计中涵盖了各种图案、孔洞(hole)布置和孔洞间隔。或者,喷头400可以是单个的板设计,并且组成单件构造。
如上文中参照腔室200所讨论,系统可用于执行操作和非常低的压力以及较高的压力。例如,在腔室200内,可依次或在同一个总处理步骤期间执行先前所述的工艺,以便执行多步骤的蚀刻操作。例如,可执行上述第一工艺以修改设置在半导体基板上的材料。能以低压力来执行此类修改以向离子轰击或传递提供方向性。随着压力增加,所形成离子的平均自由程会减小,这会导致在等离子体的所形成的鞘区内形成不期望的离子碰撞。虽然在某些工艺中,离子碰撞可能是可接受的,但是在其他工艺下,通过减少离子碰撞,可提供对所传递离子的方向性的改进的控制,以便减小撞击晶片的离子的角扩展。由此,可利用低压力或非常低的压力来维持离子的方向性。
多步骤的蚀刻操作的后续部分(例如,上文中讨论的蚀刻操作)可受益于第一基于离子的操作下的增加的压力。例如,对于先前所述的基于氨的处理,增加的压力可增加工艺中所利用的前体的分解,这可允许改进的蚀刻。此外,在等离子体中产生的组分既可包含更多的所需组分,也可包含更少的所需组分。较高的压力可有利于某些组分,例如,NH4F,而在某些蚀刻操作中,较不期望的组分(例如,氟自由基)可能更容易在高压力下再结合,从而允许对操作的改进的选择性。由于不同的压力方案,常规系统可能已需要采用多个腔室以执行后续工艺,然而,腔室200可经配置以执行下文中将进一步详细讨论的两个操作。
转向图5,图5示出根据所公开技术的系统500的简化示意图,所述系统500可允许在多个压力范围下的精确系统控制。所述系统可包含处理腔室510以及与所述处理腔室耦合的第一压力调节装置515。所述系统可包含与处理腔室耦合的第二压力调节装置520,所述第二压力调节装置520与第一压力调节装置515分开。所述系统可进一步包含第一泵525,所述第一泵525与第一压力调节装置515耦合,并且与第二压力调节装置520流体地隔离。所述系统还可包含第二泵530,所述第二泵530与第二压力调节装置520流体地耦合。所述系统可包含任选的阀540,所述阀540经配置以便在操作期间将第一压力调节装置515和第二压力调节装置520与第二泵530隔离。
在所公开的实施例中,第一压力调节装置515与第二压力调节装置520可以是类似的装置,并且两者都可以是阀或流体节流装置。阀可以是栅阀、隔离阀、蝶形阀、球形阀(globe valve)、球阀(ball valve)或能够受控制以调节流体跨装置流动的任何其他装置。压力调节装置可以是液压、气动、手动、螺线管或电机驱动的,并且在配置中可包含或可不包含致动器,并且可由本领域技术人员将理解的各种材料制成。可类似地或不同地设计这些压力调节装置的尺寸,以便允许在多个压力模式下的单独操作。例如,可设计第一压力调节装置515的尺寸和/或配置第一压力调节装置,以便在第一压力范围内调节处理腔室压力,在所公开的实施例中,第一压力范围可以是高于约5托、约5托或低于约5托,并且可设计第一压力调节装置515的尺寸,以便在大约下述压力或低于约下述压力下操作或调节处理腔室:3托、1托、0.5托、0.1托、10毫托、5毫托、1毫托等等或更低,或者可设计第一压力调节装置515的尺寸,以便在这些所陈述的压力中的任一者的范围内操作或调节。例如,可设计第一压力调节装置515的尺寸,以便在从约3托或低于约3托至约1毫托或低于约1毫托的范围内,或从约1托至约5毫托或低于约5毫托的范围内操作。
第二压力调节装置520可以与第一压力调节装置类似,或这可以是与所公开的实施例中的不同的阀类型、尺寸或构造。例如,可设计第二压力调节装置520的尺寸/或配置第二压力调节装置520,以便在第二压力范围内调节处理腔室压力,在所公开的实施例中,第二压力范围可以是高于约0.1托、约0.1托或低于约0.1托,并且可设计第二压力调节装置520的尺寸以便在约下述压力或高于约下述压力下操作或调节处理腔室:0.5托、1托、2托、3托、4托、5托、6托、7托、8托、9托、10托、15托、20托等等或更高,或者可设计第二压力调节装置520的尺寸,以便在这些所陈述的压力中的任一者的范围内操作或调节。
第一压力调节装置515和第二压力调节装置520可结合例如系统控制器505操作或以与例如系统控制器505不同的方式来操作。在所公开的实施例中,可利用系统控制器505来将操作设置点提供给第一压力调节装置515和第二压力调节装置520,随后,操作第一压力调节装置515和第二压力调节装置520以影响系统或腔室压力,从而提供设置点压力。这些压力调节装置可经操作以串联地工作,使得可将改善的控制提供给更广泛的整体压力模式。例如,当第二压力调节装置是打开的时候,可将第一压力调节装置配置为是关闭的,而且当第一压力调节装置是打开的时候,可将第二压力调节装置配置为是关闭的。按此方式,这些装置可操作为交叉控制器,以基于指定的装置尺寸设计来提供更大范围的控制。例如,如果将第一压力调节装置515的尺寸设计为从约0.1毫托或高于约0.1毫托至约3毫托或低于约3毫托调节腔室压力,并且将第二压力调节装置的尺寸设计为从约0.1托或高于约0.1托至约20托或高于约20托调节腔室压力,则通过结合操作调节装置,系统可提供例如从在约0.1毫托到多达约20托之间的腔室压力控制。由于可基于较高或较低的操作压力来设计泵、阀、附件等的尺寸或选择泵、阀、附件等,转换设计可允许较大的灵活性,并且不太可能损坏尺寸被设计为在更有限的范围内操作的灵敏设备。
处理系统还可包含与处理腔室耦合的一个或更多个用于提供反馈的压力测量装置,例如压力测量装置535,通过所述反馈,压力调节装置可调整腔室压力条件。如图5中所绘示,所述系统可包含至少一个第一压力测量装置535a,所述第一压力测量装置535a与处理腔室耦合,并且经配置以将信息提供给第一压力调节装置515。所述系统还可包含至少一个第二压力测量装置535b,所述第二压力测量装置535b与处理腔室耦合,并且经配置以将信息提供给第二压力调节装置520。压力测量装置535的总数可以是至少1个、2个、3个、4个、5个、6个等等,或更多个,并且压力测量装置535的总数可基于由腔室利用的总压力方案,或对于在腔室内执行的操作所需的控制的灵敏度。例如,所述系统可包含以三个不同的控制条件而设计尺寸的至少三个压力测量装置535,以便在各种条件下提供反馈能力,控制条件例如,高达约0.1托,高达约1托,和/或高达约10托。每一个压力测量装置535中的一个或更多个可与每一个压力调节装置515、520耦合,以便提供在不同的压力范围下的反馈。
第一泵525和第二泵530可具有类似的设计或尺寸,并且可基于各种操作特性和性能特征来选择。在所公开的实施例中,每一个泵可以是正位移、直接提升或重力馈送的,并且可以是涡轮分子泵或其他机械泵。例如,第一泵525可以是例如先前所述的涡轮分子泵,而第二泵530可以是针对较高压力而设计尺寸的机械泵。因此,当使用低压力操作时,第二泵530可更快速地将腔室的压力降低到低于临界压力,随后,第二泵525可将压力降低至预定的操作条件。由此,例如在低压力操作期间,与第一压力调节装置515一起操作的第一泵525可调节腔室的压力,而在较高的压力操作期间,与第二压力调节装置520一起操作的第二泵530可调节腔室的压力。
能以各种方式来耦合部件,而图5绘示了单个所公开的实施例。应当理解,可使用各种配管(piping)方案,并且可可包含未示出的各种其他部件,包含阀、各种预留(rough-in)管线和其他配管部件。例如,半导体处理系统可包含所示的处理腔室510和第一压力调节装置515,所述第一压力调节装置515沿第一流体管线517与处理腔室耦合。所述系统还可包含第二压力调节装置520,所述第二压力调节装置520沿第二流体管线519与处理腔室耦合,并且与第一压力调节装置515分开。第一泵525可沿第一流体管线517与第一压力调节装置515流体地耦合,而第二泵530可与第二压力调节装置520耦合。如先前所讨论的,所述系统可包含至少一个第一压力测量装置535a和至少一个第二压力测量装置535b,其中,第一压力测量装置535a与处理腔室耦合,并且经配置以将信息提供给第一压力调节装置515,第二压力测量装置535b与处理腔室耦合,并且经配置以将信息提供给第二压力调节装置520。例如,系统可包含两个第一压力测量装置535a,这两个第一压力测量装置535a与处理腔室耦合,并且与第一压力调节装置515耦合,以便将反馈信息提供给第一压力调节装置515。
第二泵530也可与第一压力调节装置525流体地耦合。第二泵可与第三流体管线521耦合,所述第三流体管线521与第一流体管线517和第二流体管线519两者流体地耦合。任选的部件540可包含隔离阀,这些隔离阀允许在第二泵530的操作期间将第一流体管线517与第二流体管路519流体地隔离。
图6绘示根据所公开技术的操作半导体处理系统的方法,并且可允许在腔室内执行多个工艺操作而不从腔室环境中移除基板。基板可被传递至半导体处理腔室,并且先前可能已对所述基板进行了图案化,且可能已执行了先前的沉积操作、蚀刻操作和固化操作。在腔室内可执行一个或更多个沉积操作,或者可在传递基板后就执行多步骤的蚀刻操作。所述方法可包含在操作610处操作第一流体泵,其中,利用第一压力调节装置使所述泵与半导体处理腔室耦合。所述操作可产生第一压力范围内的处理腔室压力。所述方法可包含在操作620处关闭第一压力调节装置,以及随后在操作630处操作第二流体泵。也可利用第二压力调节装置使第二流体泵与所述半导体处理腔室耦合。所述方法可进一步包含在操作640处打开第二压力调节装置以产生第二压力范围内的处理腔室压力。
第一压力范围和第二压力范围可以是彼此类似的或彼此不同,在所公开的实施例中,第一压力范围可高于第二压力范围。本方法可涵盖先前所讨论的压力和/或范围中的任一者,并且在所公开的实施例中,第一压力范围可例如是约1托或高于约1托,且第二压力范围可以是约1托或低于约1托。此类配置可允许利用第一压力调节装置以第一较高的压力进行的初始控制,以及随后的利用第二压力调节装置以较低的压力模式进行的后续操作。按此方式,分开的压力调节装置可精确地控制腔室内的操作压力。
图7绘示根据所公开技术的操作半导体处理系统的另外的方法。所述方法可包含:在操作710处,利用第一压力调节装置来操作与半导体处理腔室耦合的第一流体泵,以便产生第一压力范围内的处理腔室压力。所述方法还可包含在操作720处关闭第一压力调节装置,以及在操作730处使流体流入处理腔室。所述方法可进一步包含:在操作740处,操作与半导体处理腔室耦合的第二压力调节装置以在第二压力范围内调节处理腔室。
此类方法可允许执行多步骤的蚀刻操作,其中,这些步骤发生在不同的压力下。例如,第一蚀刻步骤可包含利用离子轰击来修改材料的表面。此类工艺可获益于相对低或非常低的处理压力,例如,低于约1托,或低于约0.1托。多步骤蚀刻的第二部分可包含使例如先前所述的前体与基板的表面相互作用,这可在较高压力下执行以增加前体分解。例如,能以高于约0.1托或高于约1托来执行此类工艺。由此,第一压力范围可以是约1托或低于约1托,且第二压力范围可以是约1托或高于约1托。
当以较低的压力来执行操作且随后以较高的压力来准备操作时,能以各种方式对系统加压或再加压。例如,正流经所述系统的一种或更多种工艺气体可允许腔室加压至预定的操作压力。流体可包含用于各种操作中的惰性流体或各种工艺前体。例如,在第一压力调节装置已关闭之后,但在打开第二压力调节装置之前,可使一种或更多种流体流进处理腔室中以对容器加压。取决于所需的加压程度,可相应地调整关闭第一压力调节装置与打开第二压力调节装置之间的时间,并且由与处理腔室耦合的一个或更多个压力测量装置来调节。此外,在操作期间,可使一种或多种流体连续地流动,并且可在切换调节装置和系统加压的同时维持这一种或多种流体流动。
虽然在某些配置中,可在用于所述工艺的系统内使用单个压力调节装置,但是此类装置可能无法在两个压力范围内提供足够的精度。例如,如果第一压力范围在约0托与约0.1托之间,并且第二压力范围在约2托与约10托之间,则由于两个分开的压力调节装置以分别的操作范围来设计尺寸,因此单个的压力调节装置可能无法提供相同的控制质量。此外,在所述配置中所利用的泵中的一个或更多个可能不是跨整个范围都适用的,并且泵中的一个或更多个可能受损或在压力范围中的任一个范围下都无法适当地执行。因此,泵和压力调节装置可与处理腔室耦合,以允许在两个或多于两个压力范围下的精确控制,而同时保护与系统耦合的泵和装置。
系统还可包含一个或更多个压力测量装置,这一个或更多个压力测量装置将压力信息提供给一个或更多个压力调节装置。系统可包含多个压力测量装置,这些压力测量装置经配置以提供各种操作压力下腔室内的精确的压力测量。例如,压力测量装置可包含第一装置和第二装置,其中,第一装置在约0.1托或低于约0.1托下进行测量,第二装置在约10托或低于约10托下进行测量。通过具有较窄的操作范围,可提供更精确的压力测量,以实现由压力调节装置进行的改善的控制。
在前面的描述中,出于解释的目的,已记载了众多细节以提供对当前技术的各种实施例的理解。然而,对于本领域技术人员而言显而易见的是,可以在没有这些细节中的某些细节的情况下或可以利用另外细节来实践某些实施例。
已公开了若干实施例,本领域技术人员将领会,可使用各种修改、替代的构造和等效方案而不背离所公开实施例的精神。此外,未描述数个公知工艺和元件以避免不必要地混淆当前的技术。因此,不应当将以上描述视为限制当前技术的范围。
在提供了数值范围的情况下,应当理解,除非上下文另外清楚地指出,否则也专门公开了在所述范围的上限与下限之间的、到下限单位的最小分数的每一个介于中间的值。涵盖了在任何陈述的值之间的任何较窄的范围或在所陈述范围中未陈述的介于中间的值以及在所述陈述的范围中的任何其他陈述的或介于中间的值。那些较小范围的上限与下限可独立地被包含于所述范围中或被排除在所述范围外,并且在上下限中的任一个、两者都不或两者都被包含在较小范围中的每一个范围也涵盖在当前的技术中,服从于所陈述范围内的任何专门排除的限制。在所陈述的范围包含上下限中的任一者或两者时,也包含排除那些所包含的上下限中的任一者或两者的范围。
如在本文和所附权利要求书中所使用,单数形式“一”(“a”,“an”)和“所述”(“the”)包含复数个引用,除非上下文另外清楚地指出。因此,例如引用“一孔”包含多个此类孔,而引用“所述流体管线”包含引用一个或更多个流体管线以及为本领域技术人员所知的这一个或更多个流体管线的等效物,以此类推。
此外,当在本说明书及所附权利要求中使用时,词“包括”(“comprise”,“comprising”)、“含有”(“contain”,“containing”)以及“包含”(“include”,“including”)旨在指明所陈述的特征、整体、部件或步骤的存在,但它们并不排除一个或更多个其他特征、整体、部件、步骤、动作或组的存在或附加。

Claims (18)

1.一种半导体处理系统,包括:
处理腔室;
第一压力调节装置,所述第一压力调节装置与所述处理腔室耦合,并且经配置以在第一压力范围内调节处理腔室压力,其中所述第一压力范围适用于多步骤蚀刻操作的第一部分;
第二压力调节装置,所述第二压力调节装置与所述处理腔室耦合,与所述第一压力调节装置分开,并且经配置以在第二压力范围内调节处理腔室压力,其中所述第二压力范围适用于所述多步骤蚀刻操作的第二部分;
第一泵,所述第一泵与所述第一压力调节装置流体地耦合,并且与所述第二压力调节装置流体地隔离;以及
第二泵,所述第二泵与所述第二压力调节装置流体地耦合。
2.如权利要求1所述的半导体处理系统,进一步包括:
至少一个第一压力测量装置,所述至少一个第一压力测量装置与所述处理腔室耦合,并且经配置以将信息提供给所述第一压力调节装置。
3.如权利要求2所述的半导体处理系统,进一步包括:
至少一个第二压力测量装置,所述至少一个第二压力测量装置与所述处理腔室耦合,并且经配置以将信息提供给所述第二压力调节装置。
4.如权利要求1所述的半导体处理系统,其中,所述第一压力范围为5托或低于5托。
5.如权利要求4所述的半导体处理系统,其中,所述第一压力范围为1托或低于1托。
6.如权利要求1所述的半导体处理系统,其中,所述第二压力范围为0.1托或高于0.1托。
7.如权利要求6所述的半导体处理系统,其中,所述第二压力范围为1托或高于1托。
8.如权利要求1所述的半导体处理系统,其中,当所述第一压力调节装置是打开的时候,所述第二压力调节装置配置为是关闭的。
9.如权利要求1所述的半导体处理系统,其中,当所述第二压力调节装置是打开的时候,所述第一压力调节装置配置为是关闭的。
10.一种半导体处理系统,包括:
处理腔室;
第一压力调节装置,所述第一压力调节装置沿第一流体管线与所述处理腔室耦合,并且经配置以在第一压力范围内调节处理腔室压力,其中所述第一压力范围适用于多步骤蚀刻操作的第一部分;
第二压力调节装置,所述第二压力调节装置沿第二流体管线与所述处理腔室耦合,与所述第一压力调节装置分开,并且经配置以在第二压力范围内调节处理腔室压力,其中所述第二压力范围适用于所述多步骤蚀刻操作的第二部分;
第一泵,所述第一泵沿所述第一流体管线与所述第一压力调节装置流体地耦合;以及
第二泵,所述第二泵与所述第二压力调节装置流体地耦合。
11.如权利要求10所述的半导体处理系统,其中,所述第二泵与所述第一压力调节装置流体地耦合。
12.如权利要求11所述的半导体处理系统,其中,所述第二泵与第三流体管线流体地耦合,所述第三流体管线与所述第一流体管线和所述第二流体管线两者流体地耦合。
13.如权利要求10所述的半导体处理系统,进一步包括:
至少一个第一压力测量装置,所述至少一个第一压力测量装置与所述处理腔室耦合,并且经配置以将信息提供给所述第一压力调节装置。
14.如权利要求13所述的半导体处理系统,进一步包括:
至少一个第二压力测量装置,所述至少一个第二压力测量装置与所述处理腔室耦合,并且经配置以将信息提供给所述第二压力调节装置。
15.一种操作半导体处理系统的方法,所述方法包括以下步骤:
利用第一压力调节装置来操作与半导体处理腔室耦合的第一流体泵,以便产生第一压力范围内的处理腔室压力;
关闭所述第一压力调节装置;
利用第二压力调节装置来操作与所述半导体处理腔室耦合的第二流体泵;以及
打开所述第二压力调节装置,以便产生第二压力范围内的处理腔室压力,
其中所述第一压力范围适用于多步骤蚀刻操作的第一部分,并且所述第二压力范围适用于所述多步骤蚀刻操作的第二部分。
16.如权利要求15所述的方法,其中,所述第一压力范围为1托或高于1托,并且所述第二压力范围为1托或低于1托。
17.一种操作半导体处理系统的方法,所述方法包括以下步骤:
利用第一压力调节装置来操作与半导体处理腔室耦合的第一流体泵,以便产生第一压力范围内的处理腔室压力;
关闭所述第一压力调节装置;
使流体流进所述处理腔室;以及
操作与所述半导体处理腔室耦合的第二压力调节装置,以便在第二压力范围内调节所述处理腔室,
其中所述第一压力范围适用于多步骤蚀刻操作的第一部分,并且所述第二压力范围适用于所述多步骤蚀刻操作的第二部分。
18.如权利要求17所述的方法,其中,所述第一压力范围为1托或低于1托,并且所述第二压力范围为1托或高于1托。
CN201480021529.XA 2013-04-19 2014-04-08 用于半导体处理应用的压力控制器配置 Expired - Fee Related CN105122424B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361813808P 2013-04-19 2013-04-19
US61/813,808 2013-04-19
US13/919,838 US20140311581A1 (en) 2013-04-19 2013-06-17 Pressure controller configuration for semiconductor processing applications
US13/919,838 2013-06-17
PCT/US2014/033263 WO2014172142A1 (en) 2013-04-19 2014-04-08 Pressure controller configuration for semiconductor processing applications

Publications (2)

Publication Number Publication Date
CN105122424A CN105122424A (zh) 2015-12-02
CN105122424B true CN105122424B (zh) 2018-07-24

Family

ID=51728093

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480021529.XA Expired - Fee Related CN105122424B (zh) 2013-04-19 2014-04-08 用于半导体处理应用的压力控制器配置

Country Status (5)

Country Link
US (1) US20140311581A1 (zh)
KR (1) KR20160003709A (zh)
CN (1) CN105122424B (zh)
TW (1) TWI618169B (zh)
WO (1) WO2014172142A1 (zh)

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6078646B2 (ja) * 2013-07-29 2017-02-08 株式会社日立ハイテクノロジーズ イオンミリング装置、及びイオンミリング装置を用いた加工方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
JP6697640B2 (ja) 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
EP3769921A1 (en) * 2019-07-24 2021-01-27 Koninklijke Philips N.V. Providing feedback to a user of a shaving device during a shaving operation
US20230402268A1 (en) * 2022-06-09 2023-12-14 Applied Materials, Inc. Plasma preclean system for cluster tool

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3537474A (en) * 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US4361418A (en) * 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
JP3501524B2 (ja) * 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
US5788825A (en) * 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US6328803B2 (en) * 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6017414A (en) * 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5944049A (en) * 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
US6161576A (en) * 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6162302A (en) * 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
JP4695238B2 (ja) * 1999-12-14 2011-06-08 東京エレクトロン株式会社 圧力制御方法
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
DE10032607B4 (de) * 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
KR100442580B1 (ko) * 2001-10-09 2004-08-02 주성엔지니어링(주) 반도체 제조용 챔버의 배기시스템
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
KR100641762B1 (ko) * 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
US7252011B2 (en) * 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP4399227B2 (ja) * 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US8037896B2 (en) * 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7430496B2 (en) * 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) * 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
FR2878913B1 (fr) * 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
GB0502149D0 (en) * 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
KR101101757B1 (ko) * 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
KR101339181B1 (ko) * 2006-11-22 2013-12-09 엘아이지에이디피 주식회사 미세패턴 형성장치 및 이를 이용한 미세패턴 형성방법
JP4299863B2 (ja) * 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8623141B2 (en) * 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101043713B1 (ko) * 2009-05-21 2011-06-24 세메스 주식회사 기판 처리 장치 및 방법
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
CN102640216A (zh) * 2009-11-30 2012-08-15 应用材料公司 处理硬盘驱动器基板的腔室

Also Published As

Publication number Publication date
CN105122424A (zh) 2015-12-02
US20140311581A1 (en) 2014-10-23
TWI618169B (zh) 2018-03-11
TW201448091A (zh) 2014-12-16
KR20160003709A (ko) 2016-01-11
WO2014172142A1 (en) 2014-10-23

Similar Documents

Publication Publication Date Title
CN105122424B (zh) 用于半导体处理应用的压力控制器配置
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
US10062585B2 (en) Oxygen compatible plasma source
CN207705142U (zh) 电感耦合的等离子体源及包括其的半导体处理腔室
CN106167895B (zh) 用于改善流动均匀性的具有面板孔的低体积喷头
JP6789932B2 (ja) 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置
JP4550507B2 (ja) プラズマ処理装置
KR102406081B1 (ko) 웨이퍼 내 프로세스 균일도를 제어하기 위한 방법 및 장치
US10741365B2 (en) Low volume showerhead with porous baffle
CN107895683A (zh) 具有改良轮廓的双通道喷淋头
KR20180006307A (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
CN100405537C (zh) 等离子体反应装置
JP2000294538A (ja) 真空処理装置
CN100566847C (zh) 进气喷嘴
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
TW201535563A (zh) 基板處理裝置、噴淋板及基板處理方法
US20200090907A1 (en) Systems and processes for plasma tuning
US12020907B2 (en) Faceplate with localized flow control
US10699879B2 (en) Two piece electrode assembly with gap for plasma control
JP4963694B2 (ja) プラズマ処理装置
CN114981477A (zh) 用于沟槽轮廓优化的多区气体分配板

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180724

CF01 Termination of patent right due to non-payment of annual fee