CN106167895B - 用于改善流动均匀性的具有面板孔的低体积喷头 - Google Patents

用于改善流动均匀性的具有面板孔的低体积喷头 Download PDF

Info

Publication number
CN106167895B
CN106167895B CN201610345779.XA CN201610345779A CN106167895B CN 106167895 B CN106167895 B CN 106167895B CN 201610345779 A CN201610345779 A CN 201610345779A CN 106167895 B CN106167895 B CN 106167895B
Authority
CN
China
Prior art keywords
showerhead
faceplate
diameter
holes
panel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610345779.XA
Other languages
English (en)
Other versions
CN106167895A (zh
Inventor
拉梅什·钱德拉塞卡拉
萨昂格鲁特·桑普朗
尚卡尔·斯娃米纳森
弗兰克·L·帕斯夸里
康胡
阿德里安·拉瓦伊
爱德华·奥古斯提尼亚克
行则崎山
克洛伊·巴尔达赛罗尼
萨沙撒耶·瓦拉达拉简
巴莎·萨贾德
詹妮弗·L·彼得拉利亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106167895A publication Critical patent/CN106167895A/zh
Application granted granted Critical
Publication of CN106167895B publication Critical patent/CN106167895B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Abstract

本发明涉及用于改善流动均匀性的具有面板孔的低体积喷头。在半导体处理装置中的喷头可包括配置成改善原子层沉积期间的流动均匀性的面板通孔。该喷头可包括用于分配气体到衬底上的具有多个通孔的面板,其中所述面板包括小直径的通孔。例如,所述通孔中的每一个的直径可小于约0.04英寸。此外或可替代地,该喷头还可包括边缘通孔,这些边缘通孔沿具有大于正在处理的衬底直径的直径的环被周向地定位。该喷头可以是低体积喷头并且可包括邻近与喷头的充气容腔连通的一个或多个气体入口的挡板。具有小直径通孔和/或边缘通孔的面板能够改善总的膜非均匀性,能够改善在衬底边缘处的方位角膜非均匀性,并且能够在较高RF功率下执行操作。

Description

用于改善流动均匀性的具有面板孔的低体积喷头
技术领域
本发明总体涉及用于在半导体处理装置中分配气体的喷头。本公开的某些方面涉及用于在原子层沉积处理中用于分配气体的具有多孔挡板、在面板中的小直径通孔和/或在面板中附加的边缘通孔的低体积喷头。
背景技术
半导体处理工具经常包括被设计成跨半导体衬底或晶片以相对均匀的方式分配处理气体的部件。这些部件在业内通常被称为“喷头”。喷头一般包括在某种类型的充气容腔(a plenum volume)前面的面板。面板可包括多个通孔,这些通孔允许充气容腔内的气体流过面板并流入衬底和面板之间(或支承晶片的晶片支承件和面板之间)的反应空间。通孔一般被布置成使得跨晶片的气体分配导致基本均匀的衬底处理。
发明内容
本公开涉及用于半导体处理装置中的喷头。该喷头包括具有第一表面和与所述第一表面相对的第二表面的充气容腔,所述第一表面和所述第二表面至少部分地限定所述喷头的所述充气容腔。所述喷头还包括:与所述充气容腔流体连通的一个或多个气体入口;包括多个面板通孔的面板;以及挡板,所述挡板邻近所述一个或多个气体入口。所述多个面板通孔从所述面板的第一侧延伸至所述面板的第二侧,其中所述面板的所述第一侧限定所述充气容腔的所述第一表面,并且其中所述面板通孔中的每一个具有小于约0.04英寸的直径。
在一些实施方案中,所述挡板包括多个挡板通孔。所述挡板的孔隙率可介于约5%和约25%之间。在一些实施方案中,所述挡板可以定位在所述充气容腔和所述一个或多个气体入口之间的区域。在一些实施方案中,所述面板通孔中的每一个的直径介于约0.01英寸和约0.03英寸之间。在一些实施方案中,所述面板通孔的直径被配置成增加排出所述面板的气体流的空间均匀性。在一些实施方案中,所述面板通孔的直径被配置成减少从所述面板外侧进入所述充气容腔的等离子体的回流。
本公开还涉及包括前述喷头的半导体处理站。该半导体处理站包括控制器,该控制器配置有指令以执行下列操作:将衬底提供到所述半导体处理站内;通过所述喷头将反应物气体引入所述半导体处理站以使其吸附到所述衬底的表面上;通过所述喷头将清洗气体引入到所述半导体处理站内;以及施加等离子体以从所述衬底的所述表面上吸附的反应物气体形成薄膜层。在一些实施方案中,所述等离子体在大于约500W的RF功率下被施加,并且所述薄膜层的膜非均匀性小于约0.5%。在一些实施方案中,所述薄膜层的膜非均匀性小于约0.3%。
本公开还涉及用于在半导体装置中使用的喷头,其中所述喷头包括具有第一表面和与所述第一表面相对的第二表面的充气容腔,所述第一表面和所述第二表面至少部分地限定所述喷头的所述充气容腔。所述喷头还包括:与所述充气容腔流体连通的一个或多个气体入口;包括多个面板通孔的面板;以及邻近所述一个或多个气体入口设置的挡板。所述多个面板通孔从所述面板的第一侧延伸至所述面板的第二侧,其中所述面板的所述第一侧限定所述充气容腔的所述第一表面,其中所述多个面板通孔包括中心通孔和围绕所述中心通孔的边缘通孔,所述边缘通孔以大于衬底的直径的直径被周向地定位在所述面板的第二侧,所述喷头针对所述衬底的直径被配置以供使用。
在一些实施方案中,所述边缘通孔以小于约90度的角度从所述面板的所述第一侧到所述第二侧倾斜。在一些实施方案中,所述边缘通孔沿第一环和围绕所述第一环的第二环被周向地定位在所述面板的所述第二侧。在一些实施方案中,所述第一环具有大于约300mm的直径,所述第二环具有大于约310mm的直径。在一些实施方案中,所述第二环的所述边缘通孔以小于约75度的角度从所述面板的所述第一侧到所述面板的所述第二侧倾斜。在一些实施方案中,所述挡板定位在所述充气容腔和所述一个或多个气体入口之间的区域,并且所述挡板包括多个挡板通孔。在一些实施方案中,所述面板通孔中的每一个的直径小于约0.04英寸。
本公开还涉及包括前述喷头的半导体处理站。该半导体处理站包括控制器,该控制器配置有指令以执行下列操作:将衬底提供到所述半导体处理站内;通过所述喷头将反应物气体引入所述半导体处理站以使其吸附到所述衬底的表面上;通过所述喷头将清洗气体引入到所述半导体处理站内;以及施加等离子体以从所述衬底的所述表面上吸附的反应物气体形成薄膜层。
具体而言,本发明的一些方面可以描述如下:
1.一种用于半导体处理装置中的喷头,所述喷头包括:
具有第一表面和与所述第一表面相对的第二表面的充气容腔,所述第一表面和所述第二表面至少部分地限定所述喷头的所述充气容腔;
与所述充气容腔流体连通的一个或多个气体入口;
包括多个面板通孔的面板,所述多个面板通孔从所述面板的第一侧延伸至所述面板的第二侧,所述面板的所述第一侧限定所述充气容腔的所述第一表面,所述面板通孔中的每一个具有小于约0.04英寸的直径;以及
挡板,所述挡板邻近所述一个或多个气体入口设置。
2.如条款1所述的喷头,其中,所述挡板包括多个挡板通孔。
3.如条款2所述的喷头,其中,所述挡板的孔隙率介于约5%和约25%之间。
4.如条款1所述的喷头,其中,所述挡板定位在所述充气容腔和所述一个或多个气体入口之间的区域。
5.如条款1所述的喷头,其中,所述面板通孔中的每一个的直径介于约0.01英寸和约0.03英寸之间。
6.如条款1-5中任一项所述的喷头,其中,所述面板通孔的个数介于约300个和约6000个通孔之间。
7.如条款1-5中任一项所述的喷头,其中,所述面板通孔的直径被配置成增加排出所述面板的气体流的空间均匀性。
8.如条款1-5中任一项所述的喷头,其中,所述面板通孔的直径被配置成减少从所述面板外侧进入所述充气容腔的等离子体的回流。
9.一种半导体处理站,所述半导体处理站包括如条款1-5中任一项所述的喷头。
10.如条款9所述的半导体处理站,还包括:
控制器,其配置有指令以执行下列操作:
将衬底提供到所述半导体处理站内;
通过所述喷头将反应物气体引入所述半导体处理站以使其吸附到所述衬底的表面上;
通过所述喷头将清洗气体引入到所述半导体处理站内;以及
施加等离子体以从所述衬底的所述表面上吸附的反应物气体形成薄膜层。
11.如条款10所述的半导体处理站,其中,所述等离子体在大于约500W的RF功率下被施加,并且所述薄膜层的膜非均匀性小于约0.5%。
12.如条款11所述的半导体处理站,其中,所述薄膜层的膜非均匀性小于约0.3%。
13.如条款10所述的半导体处理站,其中,在原子层沉积(ALD)循环中形成所述薄膜层是在小于约1.5秒的时间内执行的。
14.一种用于半导体处理装置中的喷头,所述喷头包括:
具有第一表面和与所述第一表面相对的第二表面的充气容腔,所述第一表面和所述第二表面至少部分地限定所述喷头的所述充气容腔;
与所述充气容腔流体连通的一个或多个气体入口;
包括多个面板通孔的面板,所述多个面板通孔从所述面板的第一侧延伸至所述面板的第二侧,所述面板的所述第一侧限定所述充气容腔的所述第一表面,所述多个面板通孔包括中心通孔和围绕所述中心通孔的边缘通孔,所述边缘通孔以大于衬底的直径的直径被周向地定位在所述面板的第二侧,所述喷头针对所述衬底的直径被配置以供使用;以及
挡板,所述挡板邻近所述一个或多个气体入口设置。
15.如条款14所述的喷头,其中,所述边缘通孔沿具有直径大于约300mm的通孔环被周向地定位在所述面板的所述第二侧。
16.如条款14所述的喷头,其中,所述边缘通孔以小于约90度的角度从所述面板的所述第一侧到所述第二侧倾斜。
17.如条款16所述的喷头,其中,所述边缘通孔以小于约75度的角度从所述面板的所述第一侧到所述第二侧倾斜。
18.如条款14所述的喷头,其中,所述边缘通孔沿第一环和围绕所述第一环的第二环被周向地定位在所述面板的所述第二侧。
19.如条款18所述的喷头,其中,所述第一环具有大于约300mm的直径,并且所述第二环具有大于约310mm的直径。
20.如条款18所述的喷头,其中,所述第二环的所述边缘通孔以小于约75度的角度从所述面板的所述第一侧到所述面板的所述第二侧倾斜。
21.如条款14-20中任一项所述的喷头,其中,所述充气容腔的所述第一表面具有比所述衬底的所述直径大的直径,所述喷头针对所述衬底的所述直径被配置以供使用。
22.如条款14-20中任一项所述的喷头,其中,所述挡板定位在所述充气容腔和所述一个或多个气体入口之间的区域,并且所述挡板包括多个挡板通孔。
23.如条款14-20中任一项所述的喷头,其中,所述面板通孔中的每一个的直径小于约0.04英寸。
24.如条款14-20中任一项所述的喷头,其中,所述边缘通孔被定位成增加排出所述面板的气体流的空间均匀性。
25.一种半导体处理站,所述半导体处理站包括如条款14-20中任一项所述的喷头。
26.如条款25所述的半导体处理站,还包括:
控制器,其配置有指令以执行下列操作:
将衬底提供到所述半导体处理站内;
通过所述喷头将反应物气体引入所述半导体处理站以使其吸附到所述衬底的表面上;
通过所述喷头将清洗气体引入到所述半导体处理站内;以及
施加等离子体以从所述衬底的所述表面上吸附的反应物气体形成薄膜层。
附图说明
图1示出具有挡板的示例性喷头的等距剖视图。
图2示出具有多孔挡板的示例性低体积喷头的等距剖视图。
图3示出图2的低体积喷头中的多孔挡板的放大的等距剖视图。
图4示出两个示例性喷头的侧剖视图的并排比较。
图5示出低体积喷头中的面板和多孔挡板的通孔布置的示例性布局。
图6A示出包括挡板的示例性喷头的一部分的侧剖视图,其中箭头指示喷头内的名义气体流动方向。
图6B示出包括多孔挡板的示例性低体积喷头的一部分的侧剖视图,其中箭头指示低体积喷头内的名义气体流动方向。
图7A示出喷头内的示例性挡板的等距图。
图7B示出喷头内包括多个通孔的示例性挡板的等距图。
图8示出描绘来自喷头的面板的气体的轴向流速因变于面板的径向尺寸的曲线图。
图9示出描绘两个喷头的原子层沉积的非均匀性的百分比的曲线图。
图10示出了具有多个面板通孔的示例性面板的底视图。
图11示出了具有多个小直径面板通孔的示例性面板的底视图。
图12示出了限制自由基的回扩散的面板通孔的横截面示意图。
图13A示出了描绘来自面板的气体的轴向流动速度因变于面板的轴向距离的曲线图,其中面板通孔直径递减。
图13B示出了描绘具有0.04英寸直径的面板通孔的低体积喷头的膜非均匀性与具有0.02英寸直径的面板通孔的低体积喷头的膜非均匀性的关系曲线。
图14A示出了具有多个中心通孔和多个边缘通孔的示例性面板的底视图。
图14B示出了具有多个中心通孔、沿第一环的多个边缘通孔、和沿第二环的多个边缘通孔的示例性面板的底视图。
图14C示出了具有中心通孔和以某一角度倾斜的边缘通孔的示例性面板的放大等距剖视图。
图15A示出了比较具有中心通孔的示例性面板和具有中心通孔和边缘通孔的示例性面板的侧面剖视图。
图15B示出了比较图15A中的两个示例性面板的侧面剖视图的放大部分。
图15C示出了比较图15A中的两个示例性面板的等距剖视图的放大部分。
图16示出可包括低体积喷头的多站处理工具的示意图。
具体实施方式
在下面的描述中,阐述了多个具体细节以提供对所提出的理念的透彻理解。所提出的理念在没有这些具体细节中的一些或全部的情况下也可以实施。在其它情况下,尚未详细地描述公知的处理操作以避免不必要地模糊所描述的理念。尽管将结合具体实施例描述一些理念,但将理解这些实施例不旨在构成限制。
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”是可互换使用的。本领域内普通技术人员将理解,术语“部分制造的集成电路”可指代在其上的多个阶段集成电路制造中的任何阶段期间的硅晶片。用于半导体器件产业中的晶片或衬底典型地具有200mm或300mm或450mm的直径。下面的详细描述假设本发明被实现在晶片上。然而,本发明并不仅限于此。工件可以是多种形状、尺寸和材料的。除了半导体晶片外,可从本发明获益的其它工件还可包括多种物品,例如印刷电路板、磁记录介质、磁记录传感器、镜、光学元件、微机械器件等等。
在本公开的一些附图和描述中已采纳了若干惯例。例如,在多处引述“容腔(volumes)”,例如“充气容腔”。这些容腔一般在各附图中指出,但要理解这些附图和伴随的数值标记表示这些容腔的近似值并且实际容腔可例如延伸至对容腔构成约束的多个固体表面。多个较小容腔——例如导向充气容腔的否则为实心的边界表面的气体入口或其它孔——可流体连接至充气容腔。
要理解,例如“之上”、“在……顶部”、“之下”、“下面”等相对术语的使用被理解为指在喷头正常使用期间一些部件的与这些部件的方位有关的空间关系。换句话说,喷头被定向以在衬底处理操作期间朝向衬底向下分配气体。
引言
在用于半导体处理中的多种沉积技术之中,一种特定的沉积技术可包括原子层沉积(ALD)。相比其中使用热活化气相反应来沉积膜的化学气相沉积(CVD)处理,ALD处理使用表面介导的沉积反应以逐层地沉积薄膜。在一示例性ALD处理中,包括成群的表面活化位点的衬底表面暴露于第一膜前体(P1)的气相分布。P1的一些分子可在衬底表面顶上形成凝聚相,所述衬底表面包括化学吸附的物质和物理吸附的P1分子。反应器随后被排空以去除气相和物理吸附的P1,由此仅剩下化学吸附的物质。随后将第二薄膜前体(P2)引入到反应器,以使P2的一些分子吸附至衬底表面。反应器可再次被排空,这次去除无约束的P2。接着,被提供至衬底的能量激活所吸附的P1分子和P2分子之间的表面反应,由此形成薄膜层。最后,反应器被排空以去除反应副产品和可能未反应的P1和P2,由此结束ALD循环。可包括额外的ALD循环以建立膜厚度。
根据前体投配步骤的暴露时间以及前体的粘附因数,每个ALD循环可沉积一薄膜层,该薄膜层在一个例子中厚度在1/2和3埃之间。每个ALD循环可持续大约5秒或更短时间、大约3秒或更短时间或大约2秒或更短时间。
共形膜沉积(CFD)是一种沉积技术,与ALD技术的相似点在于:在多个循环上执行沉积,其中每个循环利用少量的反应物或前体。典型地,产生CFD膜的表面反应是通过使表面吸附的反应物暴露于等离子体、紫外光辐射或类似源而被激活的。在一些情形下,在可包括若干CFD循环的沉积处理期间一种反应物连续地流过。不像ALD处理,许多CFD处理可允许两种或更多种反应物以气相形式共存于室内。在CFD中,ALD处理中描述的一个或多个处理步骤在示例性CFD处理中可被缩短或省去。使用CFD形成薄膜的方法记载在2011年4月11日提交的美国专利申请No.13/084,399中,并且该文献出于所有目的援引包含于此。作为背景,提供了对CFD的简短描述。
总体来说,CFD循环是可执行表面沉积反应的最小的一组操作。一个循环的结果是在衬底表面上产生至少部分薄膜层。典型地,CFD循环将仅包括传递每种反应物并使之吸附至衬底表面并随后使那些吸附的反应物起反应以形成部分薄膜层所需的那些步骤。当然,循环可包括某些辅助步骤,例如扫除一种或多种反应物或副产品和/或处理所沉积的部分膜。总体来说,循环仅包含唯一操作顺序的一个例子。例如,循环可包括下列操作:(i)反应物A的传递/吸附;(ii)反应物B的传递/吸附;(iii)使用清洗气体将B扫除出反应室;以及(iv)施加等离子体以促成A和B的表面反应以在表面上形成部分膜层。在一些实施方案中,这些步骤可表征为投配步骤、清洗步骤和等离子体步骤。在一些实施方案中,可在循环中引入等离子体后清洗步骤以进一步清洗。
一些实施方案可使用不同的处理顺序。一种可能的处理包括下列操作顺序:1)使辅助反应物连续地流过;2)提供含硅反应物或其它基本反应物配料;3)清洗1;4)使衬底暴露于RF等离子体;5)清洗2。另一替代处理包括下列操作顺序:1)使惰性气体连续地流过;2)提供含硅反应物或其它基本反应物配料;3)清洗1;4)在提供氧化物或其它辅助反应物配料的同时使衬底暴露于RF等离子体;5)清洗2。
总体来说,“扫除”或“清洗”阶段构思成从反应室去除或清洗气相反应物中的一种并典型地仅在该反应物传递完成之后进行。换句话说,反应物在清洗阶段期间不再被传递至反应室。然而,反应物在清洗阶段期间仍然吸附在衬底表面上。典型地,清洗用来在反应物吸附在衬底表面上达到要求水平之后去除室内的任何残留的气相反应物。清洗阶段也可从衬底表面去除弱吸附的物质(例如某些前体配体或反应副产品)。
在半导体处理设备中,喷头经常用来以理想方式(例如以均匀分布方式)跨半导体衬底地分配处理气体。喷头典型地包括由面板约束的充气室,所述面板具有导向喷头外侧的多个气体分配孔。面板典型地面向在半导体处理室或反应室内的衬底反应区,并且衬底一般在半导体处理室内被布置在面板下面,例如在将晶片支撑在面板下面的一个位置的晶片支撑件或底座上。
薄膜在ALD中以自限方式和自饱和方式在衬底表面上生长。换句话说,前体被传递并以自限方式与表面反应,由此一旦表面上的所有反应位点被消耗则反应终止。这意味着ALD处理中的特定步骤已达到饱和。典型地,当达到完全饱和时薄膜均匀性不是问题。然而,许多ALD处理更经济地运作并要求某一产量阈值。结果,为了取得要求的产量,并非ALD中的所有步骤达到完全饱和,因此ALD处理中的完全饱和可能是产量抑制性的。作为示例,ALD处理可达到大约70%和大约99%之间的饱和度以取得要求的产量。如本文中使用的,ALD处理可包括CFD处理并可与之互换地使用。
由此,以薄膜均匀性为代价可取得较高的产量,并以产量为代价可取得较高的薄膜均匀性。然而,本公开的喷头可被设计成提高薄膜均匀性和产量。在一些实施方案中,喷头可被设计成在ALD处理中利于处理气体或清洗气体的传递。在ALD处理中,对在气相下反应物传递的流动均匀性的改善能够改善沉积薄膜的均匀性,尤其是在投配和等离子体步骤期间。另外,清洗时间的改善能够提高清洗步骤的效率,由此增加ALD处理的产量。
可通过减小喷头的体积而获得提高产量的喷头。充气容腔和茎状部体积可被降低或最小化以减少在清洗步骤期间完成前体的清洗的清洗时间。减小的体积增大了背压以使清洗气体能快速和有效地传递入反应室。然而,减小喷头的体积一般可能危及沉积的膜的膜均匀性。获得在喷头的整个面板的空间上均匀的流动在低体积喷头中可能是困难的。在整个面板的空间上不均匀流动可能导致所沉积的膜中的厚度不均匀性。如前面提到的,在一些ALD处理中,ALD处理中的沉积循环可缩短并可能不允许达到完全饱和。因此,在整个面板的空间上不均匀流动可能不利地影响沉积的膜的膜均匀性和膜性质。
具有多孔挡板的低体积喷头
一种喷头可以具有减小的体积但不会遭受空间上不均匀流动的不利影响。这种低体积喷头可包括凹进茎状部体积和充气容腔之间的区域的多孔挡板,这种多孔挡板可在由Chandrasekharan等人于2015年3月25日提出的名称为“LOW VOLUME SHOWERHEAD WITHPOROUS BAFFLE,”的美国专利申请No.14/668,51中被描述,该专利文件被以引用的方式全部并入本文以用于所有目的。
低体积喷头可指代具有大约等于或小于500毫升的总体积的喷头。在一些实施方案中,低体积喷头可具有在大约50毫升和大约500毫升之间的体积。传统喷头可能具有大于500毫升的体积,尤其是在ALD应用中。
总体而言,存在两种主要类型的喷头:吊灯式和嵌入安装式。吊灯式喷头在一端具有附连至室顶部的茎状部而在另一端具有面板或后板。茎状部的一部分可从室顶部突出以连接气体管线和RF功率。嵌入安装式喷头被整合到室顶部内并典型地不具有茎状部。尽管所描绘的附图一般指吊灯式喷头,但应当理解本公开也可应用于嵌入安装式喷头。
图1示出具有挡板110的示例性喷头100的等距剖视图。图1中的喷头100可具有大于500毫升的体积并包括非多孔挡板110。如图1所示,喷头100包括后板102和面板104,其中后板102和面板104可以是分离的机械部件或集成在单个本体内。后板102和面板104可彼此相对地定位。面板104可具有多个气体分配孔或通孔132以利于将气体传递至衬底。在后板102和面板104之间可限定充气容腔130,其中该充气容腔130可具有第一表面和与第一表面相对的第二表面。在一些实施方案中,充气容腔130的第一表面和第二表面可具有圆周表面。第一表面和第二表面能至少部分地限定喷头100的充气容腔130。面板104的第一侧能限定充气容腔130的第一表面。后板102的第二侧能限定充气容腔130的第二表面。通常,充气容腔130的第一表面的直径衬底的直径类似或基本类似,喷头被配置以用于该衬底。在一些实施方案中,如图1所示,充气容腔130可沿充气容腔130的第二表面基本呈圆锥形状。
充气容腔130可经由一个或多个气体入口120被提供以气体,例如反应物气体或清洗气体。图1中的气体入口120可连接至用于传递气体的一个或多个气体供给件。气体入口120可包括茎状部122,其中茎状部122可包括与狭窄管124连接的扩大管126。扩大管126可具有大于狭窄管124直径的直径,从而一旦到达充气容腔130则提供更多空间分布的流动。
喷头100可进一步包括凹进充气容腔130内的挡板110。挡板110可以是被安装在充气容腔130内的实心结构或非多孔结构以遍及充气容腔130向外地并朝向面板104的边缘引导气体。挡板110可邻近气体入口120。挡板110可被安装在离气体入口120某一距离处,以允许气体分布在充气容腔130内。此外,在第二表面上的充气容腔130可以是圆锥形的,以提供气体入口120和挡板110之间的更多空间。在一些实施方案中,挡板110可以是圆形的并具有大于扩大管126直径的直径。通过引导气体遍及充气容腔130地向外流动,可获得更高的流动均匀性。另外,挡板110可基本居中在气体入口120上以避免或以其它方式最小化通过面板104中央喷射的气体流动。
图2示出具有多孔挡板210的示例性低体积喷头200的等距剖视图。多孔挡板210也可被称为多孔阻挡板。图2中的低体积喷头200可具有在大约50毫升和大约500毫升之间的体积并包括多孔挡板210。在一些实施方案中,低体积喷头200可具有在大约100毫升和大约300毫升之间的体积。低体积喷头200包括后板202和面板204,其中后板202和面板204可以是分离的机械部件或整合在单个本体内。后板202和面板204可彼此相对地定位。在一些实施方案中,后板202和面板204中的每一个可以是圆柱形状的。面板204可具有多个通孔232以利于将气体传递至衬底。在一些实施方案中,面板204的尺寸(例如直径)可根据被处理的衬底的尺寸进行配置,其中面板204的尺寸能稍大于被处理的衬底的尺寸。例如,如果被处理的衬底的直径为大约300mm,则面板204的直径可以是介于约300mm和约350mm之间。通孔232可从面板204的第一侧至第二侧地延伸通过面板204。在后板202和面板204之间可限定充气容腔230,其中充气容腔230可具有第一表面和与第一表面相对的第二表面。在一些实施方案中,充气容腔230的第一表面和第二表面可具有圆周表面。该第一表面和第二表面可至少部分地限定低体积喷头200的充气容腔230。面板204的第一侧可限定充气容腔230的第一表面。后板202的第二侧可限定充气容腔230的第二表面。在一些实施方案中,如图2所示,充气容腔230可以是圆柱形状或基本圆柱形状。这能够减小喷头的总内部体积,因为图2中的充气容腔230相比图1中的充气容腔130具有减小的体积。
充气容腔230可经由一个或多个气体入口220被提供以气体,例如反应物气体或清洗气体。图2的气体入口220可连接至用于传递气体的一个或多个气体供给件。气体入口220可包括茎状部222,其中茎状部222可包括狭窄管224。茎状部222可与充气容腔230流体连通。在一些实施方案中,茎状部222的体积可以在大约1毫升和大约50毫升之间。由于图2中的狭窄管224具有比图1中的扩大管126更小的直径,因此设置茎状部222的作为整体的狭窄管224也能减小喷头的总内部体积。
低体积喷头200可进一步包括邻近气体入口220的多孔挡板210,例如在充气容腔230和气体入口220之间的区域235中。图3示出了图2的低体积喷头200中的多孔挡板210的放大的等距剖视图。在一些实施方案中,多孔挡板210可凹进区域235,其中多孔挡板210可被安装在离气体入口220某一距离处并在充气容腔230之上。尽管多孔挡板210可被定位在区域235内,然而要理解在一些其它实施方案中多孔挡板210可被定位在充气容腔230内。因此,多孔挡板210可被安装在离气体入口220某一距离处,所述气体入口220延伸通过区域235。区域235可以是后板202的凹进体积。该区域235为气体入口220和充气容腔230之间的气体流动提供过渡区。在一些实施方案中,区域235可凹进后板202的第二侧,其中后板202的第二侧限定充气容腔230的第二表面。在一些实施方案中,茎状部222、区域235和充气容腔230中的每一个限定圆柱形体积,其中充气容腔230的直径大于区域235的直径并且区域235的直径大于茎状部222的直径。
尽管理解了多孔挡板210可表征为位于充气容腔230和气体入口220之间的区域235内,但本领域内技术人员也应当理解区域235可视为气体入口220的一部分并且多孔挡板210可位于气体入口220内。然而,多孔挡板210可具有允许气体从中流过的孔隙率,而不是在定位于气体入口220内的同时阻挡气体流动。
挡板210可以是选择性地多孔,其中挡板210的孔隙率可以在大约5%和大约25%之间。在一些实施方案中,挡板210可包括多孔材料或由多孔材料制成。多孔材料的例子可包括多孔铝、多孔氧化铝和多孔石英。挡板210可由任何适宜的材料制成,包括但不限于铝、氧化铝、石英和不锈钢。材料可相容于远程清洗并可以是钝化并且不容易与氨/氟自由基起反应的材料。在一些实施方案中,挡板210可包括延伸通过挡板210的多个通孔212。通孔212可通过挡板210的材料提供以有效地模拟和模仿孔隙率。在一些实施方案中,挡板210可以是圆形的并具有比茎状部222直径更大的直径。然而,在一些实施方案中,挡板210显著地小于面板204。例如,面板204的直径比挡板210的直径大出至少四倍,或者比挡板210的直径大出至少十倍。另外,挡板210可具有比区域235的直径更小的直径。因此,不仅可将气体流引导通过通孔212,而且可遍及充气容腔230地朝向面板204的边缘向外引导。经由引导气体穿过通孔212并遍及充气容腔230向外地流动,可获得空间上更加均匀的气体流动,尽管相比图1的喷头100降低了喷头200的总内部体积。此外,挡板210可基本居中在气体入口220上以使挡板210的位置和挡板210的孔隙率能够减小喷射通过面板204中央的气体的影响。在一些实施方案中,挡板210可基本平行于充气容腔230的第一表面和第二表面。
图4示出了两个示例性喷头400a、400b的侧剖视图的并排比较。传统喷头400a示出在左侧,而本公开的低体积喷头400b示出在右侧。传统喷头400a可对应于图1中的喷头100,而低体积喷头400b可对应于图2的低体积喷头200。
每个喷头400a、400b包括后板402和与后板402相对的面板404。传统喷头400a的后板402a和面板404a至少部分地限定充气容腔430a,其中充气容腔430a既包括圆柱形部分又包括在圆柱形部分上方的圆锥形部分。低体积喷头400b的后板402b和面板404b至少部分地限定充气容腔430b,其中充气容腔430b包括圆柱形部分。每个喷头400a、400b也包括茎状部422a、422b,气体通过茎状部422a、422b被传入充气容腔430a、430b。传统喷头400a中的茎状部422a包括狭窄管424a和扩大管426a,而低体积喷头400b中的茎状部422b包括狭窄管424b。因此,由于较大的茎状部直径和较大的充气室高度,所以传统喷头400a可具有比低体积喷头400b明显更大的体积。传统喷头400a中的较大体积可导致针对充气容腔430a中的气体流的再循环区。传统喷头400a中的较大体积也可能导致更长的清洗时间和增加的过渡时间,由此导致降低的产量。
另外,喷头400a、400b包括挡板410a、410b,其中传统喷头400a包括大的、非多孔挡板410a,而低体积喷头400b包括小的、多孔挡板410b。在一些实施方案中,小的、多孔挡板410b凹进充气容腔430b和茎状部422b之间的区域435b内。在一些实施方案中,区域435b可构成茎状部422b的延伸段,其中区域435b具有比狭窄管424b更大的直径。在这些实施方案中,小的、多孔挡板410b可被视为在茎状部422b之内。在一些实施方案中,区域435b可充当扩散区,其中扩散区可以是圆锥形的或圆柱形的。小的、多孔挡板410b相比大的、非多孔挡板410a可增加通过面板404中央的通量。在一些实施方案中,小的、多孔挡板410b中的孔数和孔配置能够提供通过面板404b的空间上更为均匀的气体流动。在一些实施方案中,面板404b中的孔数和孔配置也可能影响通过面板404b的气体流动的空间上均匀性。例如,面板404b中减少的孔数可增加跨面板404b的压降以更向外地朝向面板404b的边缘推动气体流动。
表1示出了传统喷头400a和低体积喷头400b之间的特征和值的比较。
表1
Figure GDA0002301770560000151
Figure GDA0002301770560000161
本公开的低体积喷头400b可具有小于约700毫升、或者在大约50毫升和大约500毫升之间或者在大约100毫升和大约300毫升之间的总内部体积。在表1中,本公开的低体积喷头400b将传统喷头400a的总内部体积从742.7毫升减小至256.4毫升,这代表体积减小65%。传统喷头400a中的增压结构高度在低体积喷头400b中从0.25英寸减小至0.125英寸。传统喷头400a中的充气室形状可以是基本圆锥形的,或至少包括基本圆锥形部分和基本圆柱形部分的组合。基本圆锥形部分的圆锥发散度可以大于约90度,或者大于约120度。低体积喷头400b中的充气室形状可以是圆柱形或基本圆柱形。圆柱形充气容腔的直径可以对应于或者基本对应于待处理的衬底的尺寸。例如,其中待处理的衬底的尺寸为200mm、300mm或450mm,充气容腔的尺寸可以分别为大约200mm、300mm或450mm。传统喷头400a中的茎状部直径可以从1.21英寸的直径减小至在低体积喷头400b中的大约0.125英寸和较大的直径。在一些实施方案中,这可减少清洗时间并提高半导体应用(例如对于ALD应用)的产量。在一些实施方案中,低体积喷头400b中的茎状部直径在过渡区域435b中可从较小直径过渡至较大直径,其中较大直径可以是大约1.21英寸或较小尺寸。
在一些实施方案中,面板404a、404b中的通孔数目可能影响到在整个面板404a、404b的流动均匀性。当减小喷头的内部体积时,提供在整个面板的流动的更均匀分布可能需要使充气容腔和处理室之间的压降增加。总体来说,气体沿最小阻力的路径流动,因此如果低体积喷头400b中的面板404b具有低压降,则气体流动将通过面板404b的中央喷射。然而,较高的压降将更加向外地朝向面板404b的边缘推动气体流动。为了促成较高的压降,可减少面板404b中的通孔的数目以伴随从传统喷头400a至低体积喷头400b的内部体积减小。否则,如果在面板404b中存在过多数量的通孔,则压降可能过低并且跨面板404b从中央至边缘的通量将不是均匀的。在一些实施方案中,低体积喷头400b中的面板404b中的通孔数可在大约1000个通孔和大约3000个通孔之间,或者在大约1500个通孔和大约2500个通孔之间。例如,在表1中,传统喷头400a可从3292个通孔减少至低体积喷头400b中的2257个通孔。
对于通过低体积喷头400b的气体的给定流率,面板404b中的通孔数目可取得特定的压降并由此提供在整个面板404的特定的流动分布。如果气体流率低,则需要较少的通孔以取得在整个面板404b的所要求的流动均匀性。
在一些实施方案中,面板404a、404b中的通孔布置也可能影响在整个面板404a、404b的流动均匀性。在一些实施方案中,通孔的几何布置可以是六边形。例如,传统喷头400a可具有带通孔的六边形布置的面板404a。在一些实施方案中,通孔的几何布置可以是三角形。例如,低体积喷头400b可具有带通孔的三角形布置的面板404b。
传统喷头400a可包括大的、非多孔挡板410a,其居中在茎状部422a之下以避免或者说最小化通过面板404a的中央喷射的影响。例如,大的、非多孔挡板410a可具有2.13英寸的直径。在传统喷头400a中,非多孔挡板410a的直径可大于扩大管426a的直径。然而,为了充分的流动均匀性,可增大充气容腔430a的体积以适应在茎状部422a之下的大的、非多孔挡板410a。可通过充气容腔430a的圆锥部分提供增大的体积以使气体流动可向外地分配。后板402a可向后倾斜以提供充气容腔430a的圆锥部分。
相比而言,本公开的低体积喷头400b可包括小的、多孔挡板410b,该挡板410b居中在茎状部422b之下以避免或者说最小化通过面板404b中央的喷射影响。在一些实施方案中,小的、多孔挡板410b可以明显比大的、非多孔挡板410a小。在一些实施方案中,小的、多孔挡板410b可具有在大约0.1英寸和大约2.0英寸之间的直径。例如,小的、多孔挡板410b可具有0.79英寸的直径。面板404b的直径可显著大于小的、多孔挡板410b的直径。例如,面板404b的直径可以是13英寸。在一些实施方案中,面板404b的直径可以比小的、多孔挡板410b的直径大出至少四倍,或者比小的、多孔挡板410b的直径大出至少十倍。
典型地,从传统喷头400a至低体积喷头400b的内部体积减小造成“体积不利影响”,其中减小的内部体积通过减小在整个面板404b的流动均匀性而不利地影响流动均匀性。为了避免低体积喷头400b中的这种体积不利影响,本公开能提供一种小的、多孔挡板410b,其中小的、多孔挡板410b能位于充气容腔430b和茎状部422b之间的区域435b内。小的、多孔挡板410b能位于充气容腔430b之上而不阻挡气体流动。替代地,为了提高流动均匀性,小的、多孔挡板410b可位于区域435b内,其中小的、多孔挡板410b的直径以及小的、多孔挡板410b中的通孔的尺寸、数目和布置能引导气体流动进入充气容腔430b,由此影响在整个面板404b的流动均匀性。另外,面板404b中的通孔的尺寸、数目和布置可被配置成取得在整个面板404b的较高压降并获得所要求的流动均匀性。例如,小的、多孔挡板410b中的通孔的直径可以在大约0.01英寸和大约0.15英寸之间,例如大约0.08英寸。如图5和图7B所示,小的、多孔挡板410b可包括以六边形环布置的六个孔。该六个孔相比于离小的、多孔挡板410b的中央离小的、多孔挡板410b的边缘较近地定位。面板404b中的通孔的直径可以在大约0.01英寸和大约0.10英寸之间,例如约0.04英寸。如图5所示,面板404b可包括被布置成多个三角形图案的2000个以上的孔。
图5示出了低体积喷头中的面板的通孔532、552和多孔挡板的通孔512的布置的示例性布局。传统喷头中的面板内的通孔532可形成六边形布置550,并且通孔552可被添加至低体积喷头中的通孔532以形成三角形布置560。多孔挡板中的通孔512可位于面板的通孔532之上。多孔挡板中的通孔512的布置和面板中的通孔532、552的布置能够影响在整个面板的流动的均匀性。
图6A示出包括挡板610a的示例性喷头的一部分的侧剖视图,其中箭头640a指示喷头内的名义气体流动方向。图6B示出包括多孔挡板610b的示例性低体积喷头的一部分的侧剖视图,其中箭头640b指示低体积喷头内的名义气体流动方向。来自气体入口620a的气体流的流动矢量640a可由图6A中的箭头指示,而来自气体入口620b的气体流的流动矢量640b可由图6B中的箭头指示。挡板610a、610b的位置、尺寸和孔隙率能够影响通过面板604a、604b的通孔632a、632b的流动矢量640a、640b。挡板610b中的通孔612b的尺寸、布置和数量能够影响通过面板604b的通孔632b的流动矢量640b。在图6A中,挡板610a能够向外地朝向面板604a的边缘引导流动矢量640a。然而,在图6B中,多孔挡板610b能够向外地朝向面板604b的边缘和面板604b的中央引导流动矢量640b,由此导致朝向面板604b中央的通量增加。在ALD应用中,这可导致在衬底中央较高的配料浓度。
图7A示出了传统喷头700a中的示例性挡板710a的等距图。该传统喷头700a包括后板702a和气体入口720a,该气体入口720a通过后板702a流体地耦合至传统喷头700a的充气容腔。挡板710a可凹进充气容腔内,其中挡板710a可经由一个或多个内部支撑柱714a从后板702a的一侧安装。
图7B示出了低体积喷头700b中包括多个通孔712b的示例性挡板710b的等距图。低体积喷头700b包括后板702b和气体入口720b,该气体入口720b通过后板702b流体地耦合至低体积喷头700b的充气容腔。在后板702b和气体入口720b之间的界面处,囊穴或过渡区域735被设置在充气容腔和气体入口720b之间。在一些实施方案中,挡板710b可凹进过渡区域735内或从过渡区域735伸出,其中挡板710b可经由一个或多个内部支撑柱714b从过渡区域735安装。挡板710b可包括多个通孔712b。在一些实施方案中,多个通孔712b可有选择地相比朝向挡板710b的中央更加朝向挡板710b的边缘布置。在一些实施方案中,挡板710b的孔隙率可以在大约5%和大约25%之间,例如大约10%。在一些实施方案中,挡板710b可由多孔材料制成或者挡板710b可由贯穿其中地设有通孔712b的实心材料制成。在一些实施方案中,挡板710b的通孔712b可被布置成六边形图案。
图8示出描绘来自喷头的面板的气体的轴向流动速度因变于面板的径向尺寸的曲线图。在离喷头的面板1mm的位置测得的轴向流动速度能够反映来自喷头的气体流动的均匀性,其中轴向流动速度从面板中央至面板边缘地用图形描绘。在5标准升/分钟(slm)的氧和6托的压强下,没有挡板的喷头在面板中央附近表现出极快的轴向流动速度并在面板中央附近几毫米之内表现出极慢的轴向流动速度。没有挡板的话,从面板中央至面板边缘的流动均匀性非常糟糕。在5slm的氧和6托的压强下,具有非多孔挡板的喷头在面板的中央周围表现出非常低的轴向流动速度并且朝向面板的边缘靠近则轴向流动速度增大。使用非多孔挡板,从面板的中央至面板边缘的流动均匀性糟糕。使用距离喷头的表面2.5mm远并包括每个直径为0.08英寸的六个通孔的多孔挡板,从面板中央至面板边缘的轴向流动速度相对均匀。多孔挡板可具有2cm的直径和1mm的厚度,并且六个通孔可相隔1cm地居中。
图9示出了描绘了两个喷头的原子层沉积的膜非均匀性的百分比的曲线图。膜非均匀性可通过下列方式计算出:取沉积膜的最厚部分和最薄部分之间的差,并除以沉积膜的厚度的平均值的两倍的值:%不均匀性=(最大值–最小值)/(2*平均值)。在图9中,传统喷头可产生大约0.5%的不均匀性,而本公开的低体积喷头可得出大约0.2%的不均匀性。由此,通过设计本公开的低体积喷头,可在ALD处理中显著地提高膜均匀性。
本公开的低体积喷头可提供一种硬件配置,这种硬件配置能获得膜均匀性而无需通过调整各个处理步骤或处理旋钮作出补偿。换句话说,通过提供旨在改善膜均匀性的低体积喷头,膜均匀性可与处理参数解除联系。结果,诸如湿式蚀刻率和干式蚀刻率的膜性质可与膜均匀性解除联系。附加的膜性质可包括介电常数、折射率、湿式蚀刻率、干式蚀刻率、光学性质、孔隙率、密度、组成、硬度和模量、抗蚀剂剥离和灰化比、化学机械平整化去除率及其它。
典型地,获得合乎要求的水平的膜均匀性可通过调整多种处理参数来达成。在一些实施方案中,可调节诸如流率、投配时间、清洗时间、射频(RF)功率、RF导通时间等处理参数以及其它处理参数来取得合乎要求的膜均匀性。作为示例,可通过增加每个ALD循环的处理时间以提供更大的饱和度,从而提高膜均匀性。然而,产量将会降低。在另一实施例中,可通过使更多前体(例如配料增加)流过而提高膜均匀性。然而,增加前体配料可能导致增加的化学成本、对膜的化学计量的不利影响以及膜性质(例如湿式蚀刻率和干式蚀刻率)不合乎需要的改变。因此,获得合乎要求的水平的膜均匀性的典型途径可能不合乎需要地影响产量和膜性质。
表2针对膜均匀性(中央厚度)和膜性质(湿式蚀刻率和干式蚀刻率)将本公开的低体积喷头与配料增加、RF功率和RF导通时间这些处理参数进行比较。
表2
中央厚度 湿式蚀刻率 干式蚀刻率
低体积喷头 增加 无影响 无影响
配料增加 增加 增加 增加
RF功率 减少 无影响 减少
RF导通时间 减少 减少 无影响
如表2所示,本公开的低体积喷头增加了沉积膜的中央厚度而不影响沉积膜的湿式蚀刻率和干式蚀刻率。然而,调整诸如配料水平、RF功率和RF导通时间的处理参数并未使膜均匀性与膜性质解除联系。增加配料增加了沉积膜的湿式蚀刻率和干式蚀刻率。减少RF功率降低了沉积膜的干式蚀刻率,而减少RF导通时间降低了沉积膜的湿式蚀刻率。因此,提供低体积喷头能够为半导体处理提供更宽的处理窗,同时获得合乎要求的水平的膜均匀性而不必微调诸如流率、投配时间、清洗时间等处理参数以获得合乎要求的水平的膜均匀性。在一些实施方案中,低体积喷头能取得小于约1.0%的膜非均匀性,例如小于约0.5%或小于约0.3%的膜非均匀性。在一些实施方案中,可通过1.5秒或更短时间的ALD循环取得小于约1.0%的膜非均匀性。例如,投配时间可以是0.4秒或更短时间,清洗时间可以是0.4秒或更短时间,而等离子体步骤可以是0.4秒或更短时间,且等离子体后清洗步骤可以是0.15秒或更短时间。相比而言,传统喷头中的ALD循环可能每循环大于约1.5秒,其中投配时间为0.6秒或更长时间,清洗时间为0.4秒或更多时间,等离子体步骤是0.4秒或更多时间且等离子体后清洗步骤是0.15秒或更多时间。通过在获得合乎要求的水平的膜均匀性的同时减少ALD循环的总时间,低体积喷头可增加产量。此外,低体积喷头能获得合乎要求的水平的膜均匀性而不影响诸如湿式蚀刻率和干式蚀刻率之类的其它膜性质。
本公开的低体积喷头可被安装在半导体处理室内。处理室可包括安装至室壳体顶部的低体积喷头。衬底支撑件可将半导体衬底支撑在处理室内并在低体积喷头之下。可在衬底支撑件和低体积喷头之间形成微体积。微体积可充当衬底反应区并在处理期间可帮助将处理气体聚集和保持在半导体衬底附近。衬底支撑件可被配置成向上和向下移动以利于装载和卸载操作。在一些实施方案中,低体积喷头可通过茎状部悬挂在处理室的盖下面并且自身可以不形成处理室的“盖”的一部分。在这些实施方案中,低体积喷头可被配置成向上和向下移动以利于衬底装载和卸载操作。
具有小直径孔的喷头
本公开涉及具有带有小直径通孔的面板的喷头。如之前所讨论的,具有多孔挡板的低体积喷头可以包括具有直径为约0.04英寸或更大的通孔的面板。然而,低体积喷头可包括具有直径为约0.04英寸或更小的通孔的面板。其中,通孔的直径小于约0.04英寸,较小直径的通孔不仅可以实现更均匀的空间流动,它们还可以减少通孔内部的局部电场集中。这不仅能导致改善在标准RF功率下的沉积膜的非均匀性,而且即使在较高的RF功率下也能改善沉积膜的非均匀性和其它膜属性。
在气体通过喷头的流动以瞬态流形式发生的情况下,在产生空间上更均匀的流动和膜属性方面有改善的这样的喷头会是有用的。瞬态流可发生在如ALD之类的沉积处理中。虽然可以通过适当地设计和放置喷头中的挡板来实现提供相对高的流动均匀性,但相对高的或甚至更高的流动均匀性可以通过适当地设计面板来实现的。例如,延伸穿过面板的通孔的布置、数量和直径可微调面板外部的流动均匀性。
图10示出了具有多个面板通孔1032、1034的示例性面板1004的底视图。该面板1004可以是用于半导体加工装置中的喷头的一部分。喷头可以包括具有第一表面和与第一表面相对的第二表面的充气容腔,第一表面和第二表面至少部分地限定该喷头的充气容腔。喷头可以包括与充气容腔流体连通的一个或多个气体入口。喷头可以进一步包括定位成邻近于一个或多个气体入口的挡板。在一些实现方案中,挡板可被定位在充气容腔和一个或多个气体入口之间的区域。在一些实施方案中,挡板可包括多个挡板通孔。在一些实施方案中,喷头可以是低体积喷头,例如如上所述的低体积喷头。
喷头可包括包含多个面板通孔1032、1034的面板1004,其中多个面板通孔1032、1034从面板1004的第一侧延伸到第二侧。面板1004的第一侧限定充气容腔的第一表面。在图10中,面板通孔1032、1034各自可以分别具有大的直径,例如直径等于约0.04英寸或更大。
此外,面板通孔可包括内通孔1032和外通孔1034,外通孔1034定位在面板1004的围绕内通孔1032的第二侧。如在图10中示出,外通孔1034被定位在边界标记1036外侧,而内通孔1032被定位在面板1004的位于边界标记1036内的第二侧。
图11示出了具有多个小直径通孔1132的示例性面板1104的底视图。面板1104可以是用于半导体加工装置中使用的喷头的一部分。喷头可以包括具有第一表面和与第一表面相对的第二表面的充气容腔,第一表面和第二表面至少部分地限定该喷头的充气容腔。该喷头可以包括与充气容腔流体连通的一个或多个气体入口。喷头可以进一步包括邻近于一个或多个气体入口定位的挡板。在一些实施方案中,挡板可被定位在充气容腔和一个或多个气体入口之间的区域。在一些实施方案中,挡板可包括多个挡板通孔。在一些实施方案中,喷头可以是低体积喷头,例如如上所述的低体积喷头。
喷头可包括包含多个面板通孔1132的面板1104,其中多个面板通孔1132从面板1104的第一侧延伸到第二侧。面板1104的第一侧可以限定充气容腔的第一表面。喷头的面板1104可以以面板通孔1132的选定直径进行工程设计。在图11中,面板通孔1132可分别具有小的直径,例如直径小于约0.04英寸。在一些实现方式中,面板通孔1132的直径可以是介于约0.01英寸和约0.03英寸之间。在一些实施方案中,面板通孔1132的直径可以是大约0.02英寸。
用于喷头的面板1104可以以选定数量的具有选定配置和直径的通孔1132进行工程设计。在一些实施方案中,通孔1132的数量可以是介于约300和约6000之间。在一些实施方案中,通孔1132的布置可以是六边形或三角形。在一些实施方案中,通孔1132的布置可以是同心的。
优化面板通孔1132的数量、配置和直径可以导致面板1104外的更加空间均匀的轴向流动速度。在瞬态流动过程中,较小直径的面板通孔1132可以导致横跨面板1104的更高的压降,以减少通过面板1104的喷射效应。横跨面板1104的更高压降可导致面板1104外的更均匀的流动,这可在膜沉积期间提供更大的膜均匀性。例如,在ALD的投配步骤期间前体可以更均匀地横跨衬底分布,并且在ALD的等离子体步骤期间氧化剂或其它辅助反应物可以更均匀地横跨衬底分布。换言之,增大的压降导致较好的流动均匀性,较好的流动均匀性导致物质从衬底的中心到边缘的更均匀分布。
面板通孔1132的直径可以比直径为至少0.04英寸的典型面板通孔小。小直径的面板通孔1132可以进一步扩大用于处理半导体衬底的处理窗。处理窗可以包括达到用于某些膜属性的期望目标,该膜属性例如膜的非均匀性百分数、湿法蚀刻速率和干法蚀刻速率。膜非均匀性的期望水平可以用小直径的面板通孔1132来实现,而不必微调工艺参数,该工艺参数如流速、投配时间、吹扫时间、RF功率等等。这样一来,如膜的湿法蚀刻速率和干法蚀刻速率之类的膜属性可以被改善且同时达到膜非均匀性的期望量。事实上,当具有小直径的面板通孔1132的面板1104与包括多孔挡板的低体积喷头结合时,膜非均匀性可以更低,而不必微调上述任何工艺参数。在一些实现方式中,在半导体衬底上所沉积的薄膜的膜非均匀性可以小于约0.5%、或小于约0.3%,其中膜非均匀性的减小可在没有对膜的湿法蚀刻速率和干法蚀刻速率产生不利影响的情况下产生。在一些实施方案中,小直径的面板通孔1132甚至可以导致膜属性的改进,该膜属性如膜的湿法蚀刻速率和干法蚀刻速率。
在一些实施方案中,小直径的面板通孔1132不仅可以提供用于改进的流动均匀性的较高的压降,小直径的面板通孔1132还可以防止或限制等离子体的回流。通过通孔1132限制等离子体的回流可以防止或者说降低在面板通孔1132中的局部电场集中。小直径的面板通孔1132较小可能在面板通孔1132内维持等离子体或空心阴极放电(HCDS)。其结果是,可以减少寄生损耗和以较低的RF功率改进膜的非均匀性。
在这种情况下,相比于喷头的先前的实施方案,可以实现较大的处理窗。虽然一定量的膜非均匀性可在先前的实施方案中实现,但RF功率或氧化剂/辅助反应物浓度可能不足以实现期望的膜的湿法蚀刻速率或干法蚀刻速率。这些工艺参数(如RF功率、氧化剂/辅助反应物的浓度等)可以是不可接受地低,以保持在先前的实现方式中期望的膜非均匀性。然而,利用小直径的面板通孔1132,可在不丢失或影响期望的膜非均匀性的情况下增大此类工艺参数。
图12示出了限制自由基的回扩散(back-diffusion)的面板通孔的横截面示意图。不受任何理论的限制,该示意图可以示出小直径的面板通孔1232对于等离子体1292的各种活性物质的影响。该示意图示出了包括限定在背板1202和面板1204之间的增压室1230的喷头的一部分。面板通孔1232从面板1204的第一侧延伸到第二侧。在一个或多个操作(如ALD的一个或多个操作)期间,主体等离子体1292可以在面板1204的下方生成。静电鞘1291可以在面板1204和主体等离子体1292之间形成。在一些实现方式中,静电鞘1291可以是在等离子体中的具有较大密度的正离子的层,并且可以抵消与它接触的材料表面上的相反的负电荷。静电鞘1291是从等离子体到固体表面的过渡层。如果面板通孔1232的尺寸小于静电鞘1291的尺寸,那么面板通孔1232的尺寸可以防止静电鞘1291进入面板通孔1232并且维持面板通孔1232内部的等离子体或HCD。因此,面板通孔1232的尺寸可以通过限制防止局部电场集中在面板通孔1232内部离子发展来限制离子、电子和自由基扩散回到喷头的增压室1230内。
如图12所示,来自主体等离子体1292的离子和电子1281可通过面板通孔1232扩散回到增压室1230。如果等离子体要进入面板通孔1232,并且在面板通孔1232内存在较强的局部电场集中,则离子和电子1281将更有可能在感应电场的影响下扩散通过面板通孔1232。这种扩散会比中性物质扩散更快发生,且正电荷和负电荷不会分离。离子和电子1281可在面板通孔1232内重组并且电子损失可能更容易发生。
此外,中性自由基1282可在面板贯通孔1232内经历重组,其中高度激发的物质会具有较高的去激发的机会。面板通孔1232可限制中性自由基1282的回扩散或回流。相对于主体等离子体1292而言,长寿命的中性自由基1282和亚稳状态的密度可以超过等离子体密度两个或三个数量级。
当面板通孔1232的尺寸足够小时,静电鞘1291无法在面板通孔1232内维持,并且可以防止或者说减少等离子体的回流。这种附加的好处可以使喷头能够在较高的RF功率下实现期望的膜属性而不损害膜非均匀性。表3示出了增大RF功率对于具有0.04英寸的面板通孔的低体积喷头与具有0.02英寸的面板通孔的低体积喷头的膜非均匀性和沉积速度的影响。
表3
Figure GDA0002301770560000261
Figure GDA0002301770560000271
如表3所示,针对具有0.04英寸的面板通孔的低体积喷头增大RF功率导致更高水平的膜非均匀性。特别是,在550瓦和650瓦下,膜非均匀性变差。对比而言,针对具有0.02英寸的面板通孔的低体积喷头增大RF功率导致相对良好和稳定的膜非均匀性,即使是在较高的RF功率下也如此。即使当RF功率增大到550瓦和650瓦时,膜非均匀性依然保持相对类似于在200瓦和350瓦下的膜非均匀性。通常,通过升到较高的RF功率,可以用较高密度的等离子体轰击膜,以使其更加紧凑和密集。其结果是,膜属性(如湿法蚀刻速率和干法蚀刻速率)可以以较高的RF功率改善。小直径的面板通孔不仅可以有助于改善膜非均匀性,小直径的面板通孔还可以有助于实现更高等离子体密度以改善膜属性。因此,具有小直径面板的通孔的处理窗甚至可以更大。
图13A示出了描绘利用递减的面板孔直径的来自喷头的面板的气体的轴向流动速度因变于面板的径向尺寸的曲线图。该图由四个递减的面板通孔直径的曲线轮廓1301、1302、1303和1304构成。曲线图中的曲线轮廓1301示出了针对具有0.04英寸的面板通孔直径的低体积喷头的轴向流动速度。曲线图中的曲线轮廓1302示出了针对0.03英寸的面板通孔直径的轴向流动速度,曲线轮廓1303示出了针对0.02英寸的面板通孔直径的轴向流动速度,曲线轮廓1304示出了针对0.015英寸的面板通孔直径的轴向流动速度。虽然即使较小直径对于较大的空间流动均匀性而言可能是理想的,但在面板中制造较小直径的可行性会是具有挑战性或成本过高的。
在图13A中,通过减小面板通孔直径,轴向流动速度减小但变得更均匀。曲线轮廓1301展现出明显非均匀的横跨面板的轴向流动速度,曲线轮廓1302和曲线轮廓1303展现出适度均匀的横跨面板的轴向流动速度,而曲线轮廓1304展现出基本上均匀的横跨面板的轴向流动速度。
图13B示出了描绘具有0.04英寸直径的面板通孔的低体积喷头与具有0.02英寸直径的面板通孔的低体积喷头的膜非均匀性的曲线图。使用标准49点极性椭圆偏光数据以用于测量横跨衬底上的多个点的衬底的厚度分布,膜非均匀性百分比可以被测量和计算。在顶部曲线图,针对标准面板通孔尺寸对四个衬底进行测试,其中面板通孔直径为约0.04英寸。在底部曲线图,针对小直径面板通孔的尺寸对两组四个衬底进行测试,其中面板通孔直径为约0.02英寸。底部曲线图示出了约0.49%的平均膜非均匀性,而顶部曲线图示出了约0.85%的平均膜非均匀性。
此外,用于标准面板通孔尺寸和小直径面板通孔尺寸两者的沉积速率相对相似。在图13B的曲线图中获取来自所测试的衬底的数据,对于标准面板通孔尺寸和小直径面板通孔尺寸,用于完成ALD循环的时间大致相同,材料的沉积厚度大致相同。通常情况下,增大压降可降低前体和其它气体的流速,从而对膜沉积速率产生不利影响。例如,通过减少面板通孔的个数或增加面板厚度可对沉积速率产生不利影响。然而,利用小直径面板通孔,数据表明,即使以较高的压降用于改善了的轴向流动速度,用于完成ALD循环的时间也不会受到不利影响。因此,较高的压力降可用小直径面板通孔引入而不损害吹扫时间和沉积速率。
在一些实施方案中,具有小直径面板通孔的喷头可以在半导体处理室或半导体处理站提供。处理室可以包括安装到室外壳的顶部的喷头。衬底支撑件可将半导体衬底支撑在处理室内并且在喷头的下方。微小体积可以形成在衬底支撑件和喷头之间。微小体积可以充当衬底反应区域,且可有助于在处理过程中将处理气体集中和保留在半导体衬底附近。衬底支撑件可以被构造为向上和向下移动,以方便安装和拆卸操作。在一些实施方案中,喷头可以通过茎状部悬挂在处理室的盖下面,并且其本身可以不形成处理室的“盖”的一部分。在这样的实施方案中,喷头可以被配置为上下移动,以促进衬底的安装和拆卸操作。半导体处理站还可以包括控制器,该控制器在下面参考图16被更详细地描述,其配置有用于执行一个或多个操作的指令。该一个或多个操作可包括与执行ALD相关联的操作。例如,控制器可以配置有用于下述操作的指令:(1)提供衬底到半导体处理站内,(2)通过喷头引入反应物气体到半导体处理站内以使其吸附到衬底表面上,(3)通过喷头引入清洗气体到半导体处理站,和(4)施加等离子体以由所吸附的反应物气体在衬底的表面上形成薄膜层。在一些实施方案中,形成薄膜层可以在ALD循环中利用上述喷头被执行小于约1.5秒。
在一些实施方案中,一个或多个处理室可被提供作为多站式半导体处理工具中的处理站。在一些实施方案中,单个处理室可包括多个处理站,其中的一些或全部可以具有自己的喷头组件。参考图16在下面提供关于多站式半导体处理工具的更详细描述。
具有边缘孔的喷头
回到图10,典型面板1004包括内通孔1032和外通孔1034。外通孔1034被定位在边界标记1036外侧,而内通孔1032被定位在面板1004的位于边界标记1036内的第二侧,其中边界标记1036限定邻近面板1004的边缘的环。外通孔1034沿边界标记1036的径向路径的空间分布是不均匀的。换句话说,外通孔1034之间的间隔沿面板1004的周边区域是不均匀的。当根据六边形或三角形图案形成面板通孔1032、1034时,六边形或三角形图案可导致外通孔1034的位置沿面板1004的周边区域彼此之间相对地不均匀分布。这可造成面板通孔分布上的朝向面板1004的最外边缘的方位角不连续性。这种不连续性可造成在正被处理的衬底边缘处的流动均匀性的问题。在一些实施方案中,在衬底的边缘处的流动均匀性问题可包括关于在衬底的边缘处的不均匀流动速度的问题和关于在衬底的边缘处的流动的不均匀方向的问题。
由外通孔1034的不均匀分布造成的方位角不连续性可对沿衬底边缘的方位角膜非均匀性带来不利影响。更具体而言,非均匀的流动非均匀性和流动的非均匀方向可导致在衬底的边缘处的非均匀的膜沉积。在一些实施方案中,例如,在沿衬底的边缘进行测量时,可形成高和低的沉积点的高低起伏图案。
本公开涉及具有带有边缘通孔的面板的喷头。除了图10中的内通孔1032和外通孔1034之外,还可在面板1004形成边缘通孔。边缘通孔可被定位在衬底自身的外侧,这意味着边缘通孔可相对于面板1004的中心径向定位以延伸越过衬底的边缘。相对于在衬底的边缘处的流动速度和流动方向,边缘通孔可提供较大的流动均匀性,这可导致改善的方位角膜非均匀性。在一些实施方案中,方位角膜非均匀性可小于约0.5%。
如先前所述,喷头可包括带有直径小于约0.04英寸的通孔的面板。在一些实施方案中,喷头可包括具有多孔挡板的低体积喷头,其中低体积喷头可具有带有直径为约0.04英寸或更大或小于约0.04英寸的通孔的面板。在其中面板还包括边缘通孔的实施方案中,在衬底的边缘处可提供更加空间均匀的流动,从而改善在衬底的边缘处的所沉积的膜的流动非均匀性。
图14A示出了具有多个中心通孔和多个边缘通孔的示例性面板的底视图。面板1404可为用于半导体处理装置中的喷头的一部分。该喷头可包括具有第一表面和与第一表面相对的第二表面的充气容腔,该第一表面和第二表面至少部分限定喷头的充气容腔。喷头还可包括定位在一个或多个气体入口附近的挡板。在一些实施方案中,挡板可被定位在介于充气容腔和一个或多个气体入口之间的区域内。在一些实施方案中,挡板可包括多个挡板通孔。在一些实施方案中,喷头可为低体积喷头,例如如上所述的喷头。在一些实施方案中,面板1404可包括多个面板通孔1432,、1438,其中多个面板通孔1432、1438从面板1404的第一侧延伸到第二侧。面板1404的第一侧可以限定充气容腔的第一表面。在一些实施方案中,面板通孔1432、1438中的每一个可具有小于约0.04英寸的直径。在一些实施方案中,面板通孔1432、1438中的每一个可具有等于或大于约0.04英寸的直径。
面板通孔可包括中心通孔1432和边缘通孔1438。中心通孔1432包括延伸直到被处理衬底的尺寸的面板通孔。例如,在图10中,中心通孔1432包括内通孔1032和外通孔1034。边缘通孔1438被定位成围绕中心通孔1432并且代表最接近面板1404的边缘的成组通孔。在一些实施方案中,边缘通孔1438沿围绕面板1404的周边区域的环1437被周向地定位。
在一些实施方案中,边缘通孔1438可被配置为中心通孔1432的六边形或三角形图案的延伸。因此,边缘通孔1438沿环1437的空间分布可以是不均匀的。在一些实施方案中,边缘通孔1438可根据同心孔图案进行配置。因此,边缘通孔1438沿环1437的空间分布可以是均匀的。
半导体处理站以及与之配套的组件(如喷头)可以被配置用于处理特定尺寸的衬底。例如,半导体处理站可以被配置用于处理具有直径为200mm、300mm、450mm等的衬底。面板1404的直径可对应于衬底的直径,喷头针对该衬底的直径被配置以供使用。同样地,中心通孔1432的配置可以延伸到衬底的直径,喷头针对该衬底的直径被配置以供使用。例如,如果正在处理的衬底的直径为300mm,则中心通孔1432的配置的直径可为300mm或更小,例如299mm。然而,在其上定位边缘通孔1438的环1437的直径大于衬底的直径,针对该衬底直径,喷头被配置以供使用。例如,如果正在处理的衬底的直径为300mm,那么该环1437的直径可以大于300mm,例如为303mm。
边缘通孔1438可以以选定的个数、位置、配置和/或间隔进行工程设计,以增加在衬底的边缘处的流动均匀性。在一些实施方案中,具有较大数量的边缘通孔1438可增加在衬底的边缘处的流动均匀性。例如,边缘通孔1438的个数可多于50个通孔、多于75个通孔、或者多于100个通孔。此外,具有被以超过衬底直径(喷头针对该衬底直径被配置以供使用)进行定位的边缘通孔1438可增加在衬底的边缘处的流动均匀性。此外,在衬底边缘处的流动均匀性可利用边缘通孔1438之间的较窄间隔和根据六边形、三角形或同心配置的空间分布来增加。
图14B示出了具有多个中心通孔、沿第一环的多个边缘通孔、和沿第二环的多个边缘通孔的示例性面板的底视图。在一些实施方案中,在衬底的边缘处的流动均匀性利用附加的通孔环来增加。在图14B中,面板1454可为用于半导体处理装置中使用的喷头的部分。面板1454可包括多个面板通孔,其中该面板通孔中心通孔1482和边缘通孔1488。该面板通孔包括沿第一环1487a和沿第二环1487b的中心通孔1482。
多个第一边缘通孔1488a被沿第一环1487a周向地定位,多个第二边缘通孔1488b被沿第二环1487b周向地定位。在其上定位了边缘通孔1488的第一环1487a和第二环1487b两者的直径都被定位成大于衬底的直径,喷头针对该衬底直径被配置以供使用。第二环1487b的直径大于第一环1487a的直径。例如,如果正在处理的衬底的直径为300mm,那么第一环1487a的直径可以大于310mm,例如为303mm,第二环1487b的直径可以大于310mm,例如为312mm。边缘通孔1488可沿第一环1487a和第二环1487b根据选定的个数、位置、配置和/或间隔来进行工程设计,以增大在衬底的边缘处的流动均匀性。在一些实施方案中,第一边缘通孔1488a的个数可大于50个通孔、75个通孔、或100个通孔,第二边缘通孔1488b的个数可大于100个通孔、125个通孔、或150个通孔。在一些实施方案中,边缘通孔1488可根据六边形、三角形或同心布置进行空间分布。
图14C示出了具有中心通孔和一个或多个以某一角度倾斜的边缘通孔的示例性面板的放大等距剖面图。在一些实施方案中,一个或多个边缘通孔可以某一角度从面板的第一侧向第二侧倾斜。在图14C中,喷头包括充气容腔1430和面板1464。面板1464包括多个中心通孔1492和围绕该中心通孔1492的多个边缘通孔1498。一个或多个边缘通孔1498可以某一角度从面板1464的第一侧1464a向第二侧1464b倾斜,其中第一侧1464a限定充气容腔1430的表面。该某一角度可从限定充气容腔的轴线进行测量。在一些实施方案中,该某一角度可从面板1464的第一侧1464a到第二侧1464b小于约90度,或从面板1464的第一侧1464a到第二侧1464b小于约75度。
在一些实施方案中,一个或多个倾斜的边缘通孔1498可以是单个的通孔环的一部分,如图14A中所示。中心通孔1492是非倾斜的。在一些实施方案中,一个或多个倾斜的边缘通孔1498可以是多个通孔环中的最后一个环的一部分,如图14B中所示。因此,中心通孔1492和一些边缘通孔1498可以是非倾斜的,例如沿第一环周向地定位的边缘通孔。
一个或多个倾斜的边缘通孔1498可以增加在衬底的边缘处的流动均匀性。在一些实施方案中,充气容腔1430的最靠外的边缘不延伸越过衬底的直径,针对该衬底的直径,喷头被配置以供使用。换言之,由面板1464的第一侧1464a限定的充气容腔1430的表面的直径不大于衬底的直径。当一个或多个边缘通孔1498以某一角度形成在面板1464时,该某一角度可在面板1464的第二侧1464b上提供延伸越过衬底直径的一个或多个边缘通孔1498。可替换地,充气容腔1430可延伸越过衬底的边缘但没有越过太多或没有越过足够远,针对该衬底配置喷头以供使用。以某一角度形成的一个或多个边缘通孔1498可提供在面板1464的第二侧1464b上的延伸甚至较远地越过衬底边缘的一个或多个边缘通孔。当气体流排出面板1464时,这可导致增加在衬底边缘处的流动均匀性。
不受任何理论的限制,该角度通过影响排出面板1464的气体的速度,可以增加在衬底的边缘处的流动均匀性。首先,该角度可降低气体排出面板1464的速度。其次,该角度可以在水平分量方向上增加较多的流动,这可以进一步改善在衬底的边缘处的流动均匀性。
图15A示出了比较具有中心通孔的示例性面板与具有中心通孔和边缘通孔的示例性面板的侧剖面视图。第一面板1504包括中心通孔1532,而第二面板1554包括中心通孔1532和边缘通孔1584、1586。图15B示出了图15A中的比较两个示例性面板的侧剖面视图的放大部分。图15C示出了图15A中的比较两个示例性面板的等距剖视图的放大部分。在图15A-15C中,喷头1500可以包括背板1502和面板1504/1554,其中该背板1502和面板1504/1554可以彼此相对地定位。充气容腔1530/1580可被限定在背板1502和面板1504/1554之间,其中该充气容腔1530/1580可具有第一表面和与第一表面相对的第二表面,所述第一表面和所述第二表面至少部分限定充气容腔1530/1580。在一些实施方案中,充气容腔1530/1580的第一表面与第二表面可具有圆周表面。
充气容腔1530/1580可经由与充气容腔1530/1580连接的一个或多个气体入口1520被供应以气体,该气体如反应物气体或清洗气体。在图15A中的一个或多个气体入口1520可以包括茎状部1522,其中茎状部1522可以包括延伸通过茎状部1522的管1524。喷头1500还可以包括被定位成邻近于所述一个或多个气体入口1520的挡板1510。在一些实施方案中,挡板1510可以被定位在充气容腔1530/1580和一个或多个气体入口1520之间的区域内。挡板1510可以是多孔的或无孔的,其中,该挡板1510可以被定位成引导气体向外穿过整个充气容腔1530并且朝向面板1504/1554的边缘流动。挡板1510可以减少通过面板1504/1554的中心喷射的气体流。
图15A-15C比较第一面板1504的设计与第二面板1554的设计,并且比较由第一面板1504限定的第一充气容腔1530与由第二面板1554限定的第二充气容腔1580。第一面板1504和第二面板1554中的每一个包括多个从第一侧延伸到第二侧的中心通孔1532。中心通孔1532可充当气体分布孔或通孔,以促进气体到衬底的输送。在一些实施方案中,中心通孔1532可以各自具有小于约0.04英寸的直径。在一些实施方案中,中心通孔1532可分别具有等于或大于0.04英寸的直径。
为了适应附加的通孔,由第一面板1504所限定的第一充气容腔1530的体积可以被膨胀以形成较大的体积,这可以通过由第二面板1554所限定的第二充气容腔1580进行说明。第二充气容腔1580和第一充气容腔1530可以分别为圆柱形,其中,所述第二充气容腔1580的直径大于第一充气容腔1530的直径。在一些实施方案中,第二充气容腔1580的直径可相对于第一充气容腔1530的直径大距离D。膨胀的体积可以为在第二面板1554中形成的附加通孔1584、1586提供较大的空间。
附加通孔1584、1586可以被设置在第二面板1554,从该第二面板1554的第一侧延伸到第二侧。在一些实施方案中,附加通孔1584、1586可以各自具有小于约0.04英寸的直径。在一些实施方案中,附加通孔1584、1586可以各自具有等于或大于0.04英寸的直径。利用该附加通孔1584、1586,相比于仅具有通孔1532的第一面板1504,更多通孔被设置在第二面板1554的边缘附近。在一些实施方案中,附加通孔可以包括沿第一环形成的多个第一通孔1584和沿第二环形成的多个第二通孔1586。第一通孔1584和/或第二通孔1586可以在正被处理的衬底的边缘处提供更大的流动均匀性。在一些实施方案中,第一环的直径和第二环的直径可分别大于正被处理的衬底的直径。
在一些实施方案中,具有边缘通孔的喷头可以在半导体处理室或半导体处理站提供。处理室可以包括安装到室外壳的顶部的喷头。衬底支撑件可将半导体衬底支撑在处理室内并且在喷头的下方。微小体积可以形成在衬底支撑件和喷头之间。微小体积可以充当衬底反应区域,且可有助于在处理过程中将处理气体集中和保留在半导体衬底附近。衬底支撑件可以被构造为向上和向下移动,以方便安装和拆卸操作。在一些实施方案中,喷头可以通过茎状部悬挂在处理室的盖下面,并且其本身可以不形成处理室的“盖”的一部分。在这样的实施方案中,喷头可以被配置为上下移动,以促进衬底的安装和拆卸操作。半导体处理站还可以包括控制器,该控制器在下面参考图16被更详细地描述,其配置有用于执行一个或多个操作的指令。该一个或多个操作可包括与执行ALD相关联的操作。例如,控制器可以配置有用于下述操作的指令:(1)提供衬底到半导体处理站内,(2)通过喷头引入反应物气体到半导体处理站内以使其吸附到衬底表面上,(3)通过喷头引入清洗气体到半导体处理站,和(4)施加等离子体以由所吸附的反应物气体在衬底的表面上形成薄膜层。在一些实施方案中,形成薄膜层可以在ALD循环中利用上述喷头被执行小于约1.5秒。
在一些实施方案中,一个或多个处理室也可被提供作为多站半导体处理工具中的处理站。在一些实施方案中,单个处理室可包括多个处理站,这些处理站中的一些或全部可具有其本身的喷头组件。参考图16对多站式半导体处理工具的更具体的描述进行讨论。
图16示出可包括带多孔挡板的低体积喷头的多站式处理工具的示意图。多站处理工具1600可包括入站装载锁定件1602和出站装载锁定件1604。机械手1606在大气压下可被配置成将衬底经由大气端口1610从通过吊舱1608装载的匣移入入站装载锁定件1602。衬底可通过机械手1606放置在入站装载锁定件1602内的底座1612上,大气端口1610可被关闭,并且装载锁定件可随后被抽空。如果入站装载锁定件1602包括远程等离子体源,则衬底可在被引入到处理室1614之前在装载锁定件内暴露于远程等离子体处理。此外,衬底也可例如在入站装载锁定件1602中被加热以去除湿气和吸附的气体。接着,通向处理室1614的室传输端口1616可开启,并且另一机械手(未示出)可将衬底放置在处理室1614内的第一站(图示在反应器内)的底座上以供处理。尽管图16中描述的实施方案包括装载锁定件,然而要理解在一些实施方案中,可提供衬底进入处理站的直接入口。
所描绘的处理室1614包括四个处理站,在图16所示的实施方案中从1至4地编号。每个站可具有加热或未加热的底座(对于站1表示为1618)以及气体管线入口。应理解,在一些实施方案中,每个处理站可具有不同的或多个目的。例如,在一些实施方案中,处理站可在ALD和等离子体增强化学气相沉积(PECVD)处理模式之间切换。作为附加或替代,在一些实施方案中,处理室1614可包括一个或多个配对的ALD和PECVD处理室。尽管所描绘的处理室1614包括四个站,但能理解根据本公开的处理室可具有任何适宜数量的站。例如,在一些实施方案中,处理室可具有五个或更多个站,而在其它实施方案中处理室可具有三个或更少个站。
每个站可包括分立的喷头组件,其在关联的站将处理气体传递至衬底。在一些实施方案中,这些喷头中的一些或全部可利用如本文所述的具有多孔挡板、小直径面板通孔和/或边缘通孔的低体积喷头。例如,如果站向衬底提供ALD处理或可从本文所述的设备的使用中获益的其它处理,则用于该站的喷头可以是如本文描述的具有多孔挡板、小直径面板通孔和/或边缘通孔的低体积喷头。
图16也绘出在处理室1614内传递衬底的衬底操纵系统1690。在一些实施方案中,衬底操纵系统1690可在多个处理站之间和/或在处理站和装载锁定件之间传递衬底。应理解,可采用任何适宜的衬底操纵系统。非限定例包括衬底传送带和衬底操纵机械手。图16还示出了被利用以控制处理工具1600的处理条件和硬件状态的系统控制器1650。系统控制器1650可包括一个或多个存储器设备1656、一个或多个海量存储设备1654以及一个或多个处理器1652。处理器1652可包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制板等等。
在一些实施方案中,控制器1650是可作为前述例子的一部分的系统的一部分。这些系统可包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个腔、用于处理的一个或多个平台和/或特定处理部件(例如晶片底座、气体流动系统等)。这些系统可与电子器件整合以在半导体晶片或衬底的处理之前、之中和之后控制它们的操作。电子器件可被称为“控制器”,其可控制一个或多个系统的多个部件或子部分。依赖于处理需要和/或系统类型,控制器1650可被编程以控制本文披露的任一处理,包括控制处理气体的传递、温度设定(例如加热和/或冷却)、压力设定、真空设定、功率设定、射频(RF)发生器设定、RF匹配电路设定、频率设定、流率设定、流体传递设定、位置和操作设定、晶片传入/传出工具以及连接至或接口于特定系统的其它传递工具和/或装载锁定件。
广泛地说,控制器1650可被定义为具有用于接收指令、发布指令、控制操作、允许清理操作、允许端点测量等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可包括以存储程序指令的固件形式出现的芯片、数字信号处理器(DSP)、被定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器、或执行程序指令(例如软件)的微控制器。程序指令可以是以多种个别设定(或程序文件)的形式传送给控制器的指令,其定义工作参数以在半导体衬底上或对半导体衬底或对系统执行特定处理。工作参数在一些实施例中可以是由工艺工程师定义的用以在衬底的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造过程中完成一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器1650可以是计算机的一部分或耦合至计算机,所述计算机集成、耦合至系统,或者联网至系统,或者是它们的组合。例如,控制器1650可以在“云”中或者是制造(fab)主机计算机系统的全部或一部分,从而可允许对衬底处理的远程访问。计算机可允许对系统的远程访问以监视制造操作的当前处理、检查过往制造操作的历史、检查来自多个制造操作的趋势或性能度量,以改变当前处理的参数、设定处理步骤以跟随当前的处理或开始新的处理。在一些示例中,远程计算机(例如服务器)能在网络上将处理配方提供给系统,所述网络可包括局域网或因特网。远程计算机可包括允许将参数和/或设定输入或对其编程的用户接口,所述参数和/或设定随后从远程计算机被传送至系统。在一些示例中,控制器接收数据形式的指令,所述指令规定了在一个或多个操作期间被执行的每个处理步骤的参数。应当理解,参数可以是专门针对拟执行的处理的类型以及工具的类型,控制器1650被配置成与该类型的工具交互或控制该类型的工具。由此如前所述,控制器1650可以是分布式的,例如通过包括联网到一起并朝向同一目标(比如本文描述的处理和控制)工作的一个或多个分立处理器。为了这些目的的分布式控制器1650的一个示例可以是室上的一个或多个集成电路,这些集成电路与位于远端(例如在平台层面或作为远程计算机的一部分)的一个或多个集成电路通信,前述集成电路组合以控制室上的处理。
不构成限制地,示例性系统可包括等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子植入室或模块、跟踪室或模块以及可关联于或用于半导体衬底的制造和/或生产的任何其它半导体处理系统。
如前面提到的,根据由工具执行的一个或多个处理步骤,控制器1650可与一个或多个其它工具电路或模块、其它工具部件、集束型工具、其它工具接口、邻近的工具、相邻的工具、遍及工厂分布的工具、主计算机、另一控制器或用于材料输送的工具通信,所述材料输送将衬底的容器送至半导体制造工厂中的工具位置和/或装载端口或者自半导体制造工厂中的工具位置和/或装载端口送出。
在一些实施方案中,系统控制器1650控制处理工具1600的所有活动。系统控制器1650执行系统控制软件1658,所述系统控制软件1658被存储在海量存储设备1654、装载入存储器设备1656并在处理器1652上被执行。系统控制软件1658可包括用以控制定时、气体混合物、室和/或站压力、室和/或站温度、衬底温度、目标功率电平、RF功率电平、衬底底座、卡盘和/或基座位置以及由处理工具1600执行的特定处理的其它参数的指令。系统控制软件1658可以任何适宜方式配置。例如,可撰写多个处理工具部件子例程或控制对象以控制执行多个处理工具处理所需的处理工具部件的操作。系统控制软件1658可以任何适宜的计算机可读编程语言编码。
在一些实施方案中,系统控制软件1658可包括输入/输出控制(IOC)定序指令,该指令用于控制前述的各个参数。例如,ALD处理的每个阶段可包括一个或多个指令以供系统控制器1650执行。用于设定ALD处理阶段的处理条件的指令可包括在相应的ALD配方阶段中。在一些实施方案中,多个喷头(如果有的话)可独立地受到控制以允许执行独立的、并行的处理操作。
在一些实施方案中,可利用被存储在关联于系统控制器1650的海量存储设备1654和/或存储器设备1656上的其它计算机软件和/或程序。为了这个目的的程序或程序段的示例包括衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可包括处理工具部件的程序代码,所述处理工具部件被用来将衬底装载到底座1618上并控制衬底和处理工具1600的其它部件之间的间距。
处理气体控制程序可包括代码以控制气体成分和流率并可选择地在沉积之前使气体流入一个或多个处理站以使处理站内的压力稳定。压力控制程序可包括代码,以通过调整例如处理站的排气系统中的扼流阀或进入处理站的气体流动而控制处理站内的压力。
加热器控制程序可包括代码以控制被送至加热单元并用以加热衬底的电流。替代地,加热器控制程序可控制将热传递气体(例如氦)传递至衬底。
等离子体控制程序可包括代码以设定被施加至一个或多个处理站内的处理电极的RF功率水平。等离子体控制程序在适当的情况下可包括代码以控制外部等离子体发生器和/或将处理气体提供给等离子体发生器或自由基源体积所需的阀的设置。
在一些实施方案中,可以有与系统控制器1650关联的用户接口。该用户接口可包括显示屏幕、装置和/或处理条件的图形软件显示器以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器1650调整的参数可关联于处理条件。非限定示例包括处理气体成分和流率、温度、压力,等离子体条件(例如RF偏置功率电平)、压力、温度等等。这些参数可以配方形式提供给用户,所述配方可利用用户接口输入。
可从各个处理工具传感器通过系统控制器1650的模拟和/或数字输入连接提供用于监视处理的信号。用于控制处理的信号可通过处理工具1600的模拟和数字输出连接件输出。可被监视的处理工具传感器的非限定示例包括质量流控制器、压力传感器(例如压力计)、热偶等。可结合来自这些传感器的数据使用经适当编程的反馈和控制算法以维持处理条件。
系统控制器1650可提供程序指令以实现多种半导体制造处理。程序指令可控制多种处理参数,例如DC功率电平、RF偏置功率电平、压力、温度等。指令可控制参数以进行膜叠层的原位沉积。
系统控制器可典型地包括一个或多个存储器设备和一个或多个处理器,所述一个或多个处理器被配置成执行指令以使装置将执行根据本发明的方法。包含用于控制根据本发明的处理操作的指令的机器可读介质可耦合至系统控制器。
尽管图16所示的半导体处理工具描绘了单个、四站处理室或模块,然而半导体处理工具的其它实施方案可包括多个模块,每个模块具有单个站或多个站。这些模块可彼此互连和/或布置在一个或多个传递室周围,所述传递室可利于衬底在模块之间的移动。由这样的多模块半导体处理工具提供的一个或多个站可根据需要配备包括如本文所述的多孔挡板、小直径面板通孔和/或边缘通孔的低体积喷头。
总体来说,包括如本文描述的多孔挡板、小直径面板通孔和/或边缘通孔的低体积喷头可被安装在反应室内在衬底支撑件之上,所述衬底支撑件被配置成支撑一个或多个半导体衬底。低体积喷头例如也可充当反应室的盖或盖的一部分。在其它实施方案中,低体积喷头可以是“吊灯”式喷头并可通过茎状部或其它支撑结构悬挂在反应室的盖下面。
前面描述的装置/处理可结合光刻布图工具或处理(例如步进式光刻机)地使用以制造或生产半导体设备、显示器、LED、光伏板等等。典型地,尽管不是必须地,这些工具/处理可在共同制造设施中一起使用或执行。膜的光刻布图典型地包括下列步骤中的一些或全部,每个步骤通过数种可能的工具实现:1)使用旋涂或喷涂工具在工件(即晶片)上施加光阻剂;2)使用热板或炉或UV固化工具固化光阻剂;3)通过诸如晶片步进式光刻机之类的工具使光阻剂暴露于可见光或UV光或x射线光;4)使光阻剂显影以有选择地去除光阻剂并由此使用诸如湿式工作台之类的工具对其进行布图;5)通过使用干法或等离子体辅助的蚀刻工具将光阻剂图案转印到下层膜或工件;以及6)使用诸如RF或微波等离子体光阻剂剥离机之类的工具去除光阻剂。
尽管之前为了清楚和理解目的作了一定程度详细的描述,然而显然某些改变和修正可在所附权利要求书的范围内实现。应当注意,存在许多替代的方式来实现所描述的处理、系统和装置。因此,所描述的实施例被认为是解说性的而非限制性的。

Claims (26)

1.一种用于半导体处理装置中的喷头,所述喷头包括:
具有第一表面和与所述第一表面相对的第二表面的充气容腔,所述第一表面和所述第二表面至少部分地限定所述喷头的所述充气容腔;
包括多个面板通孔的面板,所述多个面板通孔从所述面板的第一侧延伸至所述面板的第二侧,所述面板的所述第一侧限定所述充气容腔的所述第一表面,每个所述面板通孔在所述面板的整个厚度上具有小于0.04英寸的径向直径;
与所述面板相对的后板,其中,所述后板的面向所述面板的侧面限定所述充气容腔的所述第二表面;
与所述后板连接并且与所述充气容腔流体连通的茎状部,其中所述茎状部具有由延伸通过所述茎状部的管限定的内径和大于所述茎状部的所述内径的外径;以及
包括多个挡板通孔的挡板,所述挡板被配置成围绕所述挡板的边缘和穿过所述挡板地分配气体流,所述挡板凹进区域中并在所述充气容腔和所述茎状部之间形成过渡区,其中所述的区域凹进所述后板的所述侧面,所述过渡区与所述所述充气容腔和所述茎状部直接流体连通并具有由所述茎状部的所述外径限定的外径。
2.如权利要求1所述的喷头,其中,所述充气容腔的直径大于凹进的所述区域的直径并且所述凹进的所述区域的直径大于所述茎状部的直径。
3.如权利要求1所述的喷头,其中,所述挡板的孔隙率介于5%和25%之间。
4.如权利要求1所述的喷头,其中,所述挡板的直径大于所述茎状部的直径并且至少小于所述面板的直径的四分之一。
5.如权利要求1所述的喷头,其中,所述面板通孔中的每一个的径向直径介于0.01英寸和0.03英寸之间。
6.如权利要求1-5中任一项所述的喷头,其中,所述面板通孔的个数介于300个和6000个通孔之间。
7.如权利要求1-5中任一项所述的喷头,其中,所述面板通孔的径向直径被配置成增加排出所述面板的气体流的空间均匀性。
8.如权利要求1-5中任一项所述的喷头,其中,所述面板通孔的径向直径被配置成减少从所述面板外侧进入所述充气容腔的等离子体的回流。
9.一种半导体处理站,所述半导体处理站包括如权利要求1-5中任一项所述的喷头。
10.如权利要求9所述的半导体处理站,还包括:
控制器,其配置有指令以执行下列操作:
将衬底提供到所述半导体处理站内;
通过所述喷头将反应物气体引入所述半导体处理站以使其吸附到所述衬底的表面上;
通过所述喷头将清洗气体引入到所述半导体处理站内;以及
施加等离子体以从所述衬底的所述表面上吸附的反应物气体形成薄膜层。
11.如权利要求10所述的半导体处理站,其中,所述等离子体在大于500W的RF功率下被施加,并且所述薄膜层的膜非均匀性小于0.5%。
12.如权利要求11所述的半导体处理站,其中,所述薄膜层的膜非均匀性小于0.3%。
13.如权利要求10所述的半导体处理站,其中,在原子层沉积(ALD)循环中形成所述薄膜层是在小于1.5秒的时间内执行的。
14.一种用于半导体处理装置中的喷头,所述喷头包括:
具有第一表面和与所述第一表面相对的第二表面的充气容腔,所述第一表面和所述第二表面至少部分地限定所述喷头的所述充气容腔;
包括多个面板通孔的面板,所述多个面板通孔从所述面板的第一侧延伸至所述面板的第二侧,所述面板的所述第一侧限定所述充气容腔的所述第一表面,所述多个面板通孔包括中心通孔和围绕所述中心通孔的边缘通孔,所述边缘通孔以大于衬底的直径的直径被周向地定位在所述面板的第二侧,所述喷头针对所述衬底的直径被配置以供使用;
与所述面板相对的后板,其中,所述后板的面向所述面板的侧面限定所述充气容腔的所述第二表面;
与所述后板连接并且与所述充气容腔流体连通的茎状部,其中所述茎状部具有由延伸通过所述茎状部的管限定的内径和大于所述茎状部的所述内径的外径;以及
包括多个挡板通孔的挡板,所述挡板被配置成围绕所述挡板的边缘和穿过所述挡板地分配气体流,所述挡板凹进区域中并在所述充气容腔和所述茎状部之间形成过渡区,其中所述的区域凹进所述后板的所述侧面,所述过渡区与所述所述充气容腔和所述茎状部直接流体连通并具有由所述茎状部的所述外径限定的外径。
15.如权利要求14所述的喷头,其中,所述边缘通孔沿具有直径大于300mm的环被周向地定位在所述面板的所述第二侧。
16.如权利要求14所述的喷头,其中,所述边缘通孔以小于90度的角度从所述面板的所述第一侧到所述第二侧倾斜。
17.如权利要求16所述的喷头,其中,所述边缘通孔以小于75度的角度从所述面板的所述第一侧到所述第二侧倾斜。
18.如权利要求14所述的喷头,其中,所述边缘通孔沿第一环和围绕所述第一环的第二环被周向地定位在所述面板的所述第二侧。
19.如权利要求18所述的喷头,其中,所述第一环具有大于300mm的直径,并且所述第二环具有大于310mm的直径。
20.如权利要求18所述的喷头,其中,所述第二环的所述边缘通孔以小于75度的角度从所述面板的所述第一侧到所述面板的所述第二侧倾斜。
21.如权利要求14-20中任一项所述的喷头,其中,所述充气容腔的直径大于凹进的所述区域的直径并且所述凹进的所述区域的直径大于所述茎状部的直径。
22.如权利要求14-20中任一项所述的喷头,其中,所述挡板的直径大于所述茎状部的内径并且至少小于所述面板的直径的四分之一。
23.如权利要求14-20中任一项所述的喷头,其中,所述面板通孔中的每一个的径向直径在所述面板的整个厚度上小于0.04英寸。
24.如权利要求14-20中任一项所述的喷头,其中,所述边缘通孔被定位成增加排出所述面板的气体流的空间均匀性。
25.一种半导体处理站,所述半导体处理站包括如权利要求14-20中任一项所述的喷头。
26.如权利要求25所述的半导体处理站,还包括:
控制器,其配置有指令以执行下列操作:
将衬底提供到所述半导体处理站内;
通过所述喷头将反应物气体引入所述半导体处理站以使其吸附到所述衬底的表面上;
通过所述喷头将清洗气体引入到所述半导体处理站内;以及
施加等离子体以从所述衬底的所述表面上吸附的反应物气体形成薄膜层。
CN201610345779.XA 2015-05-22 2016-05-23 用于改善流动均匀性的具有面板孔的低体积喷头 Active CN106167895B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562165761P 2015-05-22 2015-05-22
US62/165,761 2015-05-22
US14/850,816 2015-09-10
US14/850,816 US10378107B2 (en) 2015-05-22 2015-09-10 Low volume showerhead with faceplate holes for improved flow uniformity

Publications (2)

Publication Number Publication Date
CN106167895A CN106167895A (zh) 2016-11-30
CN106167895B true CN106167895B (zh) 2020-05-01

Family

ID=57324324

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610345779.XA Active CN106167895B (zh) 2015-05-22 2016-05-23 用于改善流动均匀性的具有面板孔的低体积喷头

Country Status (6)

Country Link
US (1) US10378107B2 (zh)
JP (3) JP6912164B2 (zh)
KR (3) KR102357417B1 (zh)
CN (1) CN106167895B (zh)
SG (2) SG10202102836YA (zh)
TW (1) TWI713525B (zh)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) * 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
TWI738920B (zh) * 2016-11-14 2021-09-11 日商東京威力科創股份有限公司 半導體製造方法及相關裝置與電漿處理系統
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107516625A (zh) * 2017-07-13 2017-12-26 江苏鲁汶仪器有限公司 一种等离子体刻蚀系统的喷淋头
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
JP2021505766A (ja) * 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
KR102474847B1 (ko) * 2018-04-25 2022-12-06 삼성전자주식회사 가스 인젝터 및 웨이퍼 처리 장치
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
JP2022523541A (ja) * 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用の多孔性シャワーヘッド
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN110170433A (zh) * 2019-05-28 2019-08-27 昆山国显光电有限公司 一种真空干燥装置
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
CN114641592A (zh) * 2019-08-28 2022-06-17 朗姆研究公司 金属沉积
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
WO2021141718A1 (en) * 2020-01-06 2021-07-15 Lam Research Corporation Showerhead with faceplate having internal contours
CN111403256B (zh) * 2020-03-24 2022-03-22 北京北方华创微电子装备有限公司 半导体工艺装置
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置
US20230243034A1 (en) * 2020-06-15 2023-08-03 Lam Research Corporation Showerhead faceplates with angled gas distribution passages for semiconductor processing tools
TWI727839B (zh) * 2020-06-24 2021-05-11 天虹科技股份有限公司 半導體原子層沉積裝置之噴灑頭結構
US20220122811A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Electric arc mitigating faceplate
CN112323043A (zh) * 2020-10-30 2021-02-05 泉芯集成电路制造(济南)有限公司 一种气体分配器以及原子层沉积反应设备
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
CN114098371B (zh) * 2021-12-02 2023-02-28 郑州航空工业管理学院 一种智能化控制的工业设计展示装置
CN114457321B (zh) * 2022-01-21 2023-03-28 深圳市纳设智能装备有限公司 一种进气装置及cvd设备
WO2023177570A1 (en) * 2022-03-15 2023-09-21 Lam Research Corporation Showerhead for substrate processing systems
WO2023183009A1 (en) * 2022-03-25 2023-09-28 Lam Research Corporation Showerhead assembly and substrate processing systems for improving deposition thickness uniformity
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040023461A1 (en) * 2002-07-30 2004-02-05 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
CN1802722A (zh) * 2003-05-22 2006-07-12 艾克塞利斯技术公司 等离子体灰化装置和终点检测方法
CN101916715A (zh) * 2004-02-26 2010-12-15 应用材料有限公司 用于前段工艺制造的原地干洗腔

Family Cites Families (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPS6187319A (ja) * 1984-10-05 1986-05-02 Hitachi Ltd プラズマを用いた化学気相成膜装置
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
JPH07240404A (ja) * 1994-03-02 1995-09-12 Fujitsu Ltd プラズマ処理装置
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
EP0753082B1 (de) 1994-03-29 1999-07-07 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
DE69518710T2 (de) * 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
KR100319468B1 (ko) 1995-06-30 2002-04-22 히가시 데쓰로 플라즈마 처리 방법
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP2879887B2 (ja) * 1995-08-24 1999-04-05 東京エレクトロン株式会社 プラズマ処理方法
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
JPH1050678A (ja) * 1996-08-02 1998-02-20 Ibiden Co Ltd プラズマエッチング用電極板
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP2000183029A (ja) * 1998-12-17 2000-06-30 Sony Corp ドライアッシング装置
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
WO2001046498A2 (en) 1999-12-22 2001-06-28 Aixtron Ag Chemical vapor deposition reactor and process chamber for said reactor
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
JP2002069650A (ja) * 2000-08-31 2002-03-08 Applied Materials Inc 気相堆積方法及び装置並びに半導体装置の製造方法及び装置
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
US20040002346A1 (en) * 2000-12-14 2004-01-01 John Santhoff Ultra-wideband geographic location system and method
WO2002058126A1 (fr) 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
KR100676979B1 (ko) 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
CN100401852C (zh) 2001-04-30 2008-07-09 科林研发公司 用于控制工件支架表面上空间温度分布的方法与装置
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003097996A1 (en) * 2002-05-21 2003-11-27 Sofitech N.V. Hydraulic fracturing method
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
JP2004115837A (ja) * 2002-09-24 2004-04-15 Hitachi Cable Ltd Cvd膜の製造方法及びその製造装置
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP4115337B2 (ja) * 2003-05-30 2008-07-09 俊夫 後藤 プラズマ処理装置
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7449416B2 (en) 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
KR20060107683A (ko) * 2005-04-11 2006-10-16 삼성전자주식회사 화학 기상 증착 장치
JP4615600B2 (ja) 2005-04-18 2011-01-19 ザ プロクター アンド ギャンブル カンパニー 増粘剤を含有する希釈布地ケア組成物及び陰イオンキャリーオーバー存在下で用いる布地ケア組成物
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN101522943B (zh) 2006-10-10 2013-04-24 Asm美国公司 前体输送系统
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR101206812B1 (ko) * 2007-07-02 2012-11-30 삼성전자주식회사 잉크젯 프린트헤드 및 그 제조방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD590045S1 (en) 2008-01-31 2009-04-07 Hansgrohe Ag Showerhead
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5268626B2 (ja) * 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
CN102754190B (zh) 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012054206A2 (en) 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US20120227665A1 (en) 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
JP6136613B2 (ja) 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040023461A1 (en) * 2002-07-30 2004-02-05 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
CN1802722A (zh) * 2003-05-22 2006-07-12 艾克塞利斯技术公司 等离子体灰化装置和终点检测方法
CN101916715A (zh) * 2004-02-26 2010-12-15 应用材料有限公司 用于前段工艺制造的原地干洗腔

Also Published As

Publication number Publication date
CN106167895A (zh) 2016-11-30
KR20230157908A (ko) 2023-11-17
SG10201604054PA (en) 2016-12-29
KR102627544B1 (ko) 2024-01-19
SG10202102836YA (en) 2021-04-29
KR20220017968A (ko) 2022-02-14
JP7313528B2 (ja) 2023-07-24
JP2021106293A (ja) 2021-07-26
JP2016219803A (ja) 2016-12-22
US20160340782A1 (en) 2016-11-24
JP6912164B2 (ja) 2021-07-28
JP7181337B2 (ja) 2022-11-30
TW201712144A (zh) 2017-04-01
US10378107B2 (en) 2019-08-13
KR20160137404A (ko) 2016-11-30
TWI713525B (zh) 2020-12-21
JP2023018006A (ja) 2023-02-07
KR102357417B1 (ko) 2022-01-27

Similar Documents

Publication Publication Date Title
CN106167895B (zh) 用于改善流动均匀性的具有面板孔的低体积喷头
KR102333103B1 (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
JP7171165B2 (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
JP2017103454A (ja) エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正
TW201737405A (zh) 用於蝕刻均勻性控制之可變深度邊緣環
TW201509537A (zh) 用於暫態非均勻性之級聯設計噴淋頭
TW201801129A (zh) 將處理控制在晶圓均勻性內之方法及設備
CN112673456A (zh) 使用亚稳的活化自由基物质的原子层处理工艺
JP2023509475A (ja) 内部輪郭を有するフェースプレートを備えるシャワーヘッド
US20210395885A1 (en) Throughput improvement with interval conditioning purging
KR20200142587A (ko) 프로세싱 챔버의 세정 프로세스 동안 에지 링 포커싱된 증착
WO2024076479A1 (en) Adjustable pedestal
KR20210109640A (ko) 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템
WO2024076478A1 (en) Showerhead gas inlet mixer
CN114008738A (zh) 用于衬底处理系统的缩小直径承载环硬件
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant