JP2017103454A - エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正 - Google Patents

エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正 Download PDF

Info

Publication number
JP2017103454A
JP2017103454A JP2016217694A JP2016217694A JP2017103454A JP 2017103454 A JP2017103454 A JP 2017103454A JP 2016217694 A JP2016217694 A JP 2016217694A JP 2016217694 A JP2016217694 A JP 2016217694A JP 2017103454 A JP2017103454 A JP 2017103454A
Authority
JP
Japan
Prior art keywords
plasma
processing
processing apparatus
phased array
microwave
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016217694A
Other languages
English (en)
Other versions
JP2017103454A5 (ja
Inventor
アイバン・エル.・ベリー
L Berry Ivan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017103454A publication Critical patent/JP2017103454A/ja
Publication of JP2017103454A5 publication Critical patent/JP2017103454A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/061Two dimensional planar arrays
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板表面の近くにおいて微細で局所的な空間分解されたプラズマ密度制御を提供する。
【解決手段】フェーズドアレイのマイクロ波アンテナを用いて処理チャンバ内の半導体基板上での反応速度を修正する方法であって、処理チャンバ内でプラズマを励起する工程と、マイクロ波アンテナのフェーズドアレイ101からマイクロ波放射ビーム110を放射する工程と、処理チャンバ内の半導体基板の表面120上での反応速度を変化させるように、ビームをプラズマに方向付ける工程と、を備える。
【選択図】図1A

Description

半導体製造で重要な多くの種類の処理が、ガスプラズマの利用を含む。例えば、反応性イオンエッチング(RIE)動作および原子層蒸着(ALD)動作は、エネルギプラズマ相イオンおよびフリーラジカル種を用いて、関連する表面反応、すなわち、RIEの場合の表面エッチング反応およびALDの場合の表面蒸着反応を活性化することを含む。しかしながら、これらの処理は、必ずしも、処理中の基板の表面全体にわたって理想的な程度の均一性を保持して進行するとは限らない。多くの要因が、ウエハ面内均一性に影響しうる。プラズマを用いた処理では(プラズマ相の高エネルギの性質により)、プラズマが基板表面に接触する空間領域において理想的に均一なプラズマ密度を維持することが困難である場合があり、プラズマ密度のこれらの差が、プラズマ介在表面反応(蒸着でもエッチングでも)のウエハにわたる活性化の差につながりうる。しかしながら、プラズマ特性以外の多くの他の要素も、全体的または部分的にウエハの不均一性に寄与しうる。概して、表面の不均一性は、特定の処理に系統的である(おそらく特定の表面化学物質に特有である)、設計または構造における特定の処理チャンバの不均一性に特有でありうる、などである。その他の系統的な不均一性は、内在的なウエハサイズ/形状により生じるウエハの中央から縁部への不均一性を含みうる。もちろん、基板処理の不均一性は、ランダムでもありうる(例えば、反応チャンバ処理条件のランダムな変動、ランダムなウエハ変異の結果、など)。より典型的には、系統的な要因およびランダムな要因の両方が、基板処理における不均一性に寄与する。これらの様々なシナリオにおける全体的な処理均一性全体の改善に取り組むためのプラズマ技術が求められている。
本明細書では、フェーズドアレイのマイクロ波アンテナを用いて処理チャンバ内の半導体基板上での反応速度を修正する方法が開示されている。方法は、処理チャンバ内でプラズマを励起する工程と、マイクロ波アンテナのフェーズドアレイからマイクロ波放射ビームを放射する工程と、処理チャンバ内の半導体基板の表面上での反応速度の変化に影響を与えるようにビームをプラズマに方向付ける工程と、を備えてよい。
また、本明細書では、マイクロ波アンテナのフェーズドアレイの特定の実施形態も開示されている。いくつかの実施形態において、マイクロ波アンテナのフェーズドアレイは、0.1〜150cmの隣接アンテナ間の平均間隔で実質的に平面内に配列された5〜256個のマイクロ波アンテナを備えてよい。いくつかの実施形態において、マイクロ波アンテナのフェーズドアレイは、互いに関して実質的に円筒形状に配列された8〜256個のマイクロ波アンテナを備えてもよい。いくつかの実施形態において、円筒形状の配列の高さは5〜500mmであってよく、円筒形状の配列の直径は300〜600mmであってよい。
また、本明細書では、マイクロ波放射ビームを処理チャンバ内に放射するように構成されたマイクロ波アンテナのフェーズドアレイを備えた半導体処理装置も開示されている。これらの装置は、処理チャンバと、マイクロ波アンテナのフェーズドアレイと、処理チャンバ内で半導体基板を保持するように構成された基板ホルダと、処理チャンバ内でプラズマを発生させるように構成されたプラズマ発生器と、処理チャンバ内のプラズマに影響を与えるようにフェーズドアレイのマイクロ波アンテナを動作させるための命令を有するコントローラと、を備える。
基板表面に対して配置され、基板表面の1または複数の特定の領域に向かってマイクロ波エネルギの1または複数のスポットを生成するマイクロ波アンテナのフェーズドアレイを示す図。 基板表面に対して配置され、基板表面の1または複数の特定の領域に向かってマイクロ波エネルギの1または複数のスポットを生成するマイクロ波アンテナのフェーズドアレイを示す図。 基板表面に対して配置され、基板表面の1または複数の特定の領域に向かってマイクロ波エネルギの1または複数のスポットを生成するマイクロ波アンテナのフェーズドアレイを示す図。 基板表面に対して配置され、基板表面の1または複数の特定の領域に向かってマイクロ波エネルギの1または複数のスポットを生成するマイクロ波アンテナのフェーズドアレイを示す図。
リアクタ装置の半導体処理チャンバの上部に配置されたマイクロ波アンテナのフェーズドアレイを備える誘導結合プラズマリアクタを示す概略図。
リアクタ装置の半導体処理チャンバの周囲に配置されたマイクロ波アンテナのフェーズドアレイを備える誘導結合プラズマリアクタを示す概略図。
リアクタ装置の半導体処理チャンバの上部に配置されたマイクロ波アンテナのフェーズドアレイを備える容量結合プラズマリアクタを示す概略図。
図2Cに示した容量結合プラズマリアクタの平板電極を示す概略図。
リアクタ装置の半導体処理チャンバの周囲に配置されたマイクロ波アンテナのフェーズドアレイを備える容量結合プラズマリアクタを示す概略図。
処理装置の上部に配置された25のマイクロ波アンテナのフェーズドアレイのコンピュータモデルから生成された典型的な基板表面の上または近くへのマイクロ波放射の制御された集束を示す一連のシミュレーション結果を示す図。
処理装置の上部に配置された25のマイクロ波アンテナのフェーズドアレイのコンピュータモデルから生成された典型的な基板表面の上または近くへのマイクロ波放射の制御された集束を示す別の一連のシミュレーション結果を示す図。
処理装置の上部に配置された25のマイクロ波アンテナからなるフェーズドアレイのコンピュータモデルから生成された典型的な基板表面の上または近くへのマイクロ波放射の制御された集束を示す別の一連のシミュレーション結果を示す図。
処理装置の周囲に配置された25のマイクロ波アンテナからなるフェーズドアレイのコンピュータモデルから生成された典型的な基板表面の上または近くへのマイクロ波放射の制御された集束を示す一連のシミュレーション結果を示す図。
単一の処理ステーションを備えた処理チャンバを有する基板処理装置を示す概略断面図。
2つの処理ステーションから基板をロードおよびアンロードするための基板ハンドラロボットと、装置を動作させるためのコントローラとを備えた4ステーション基板処理装置を示す概略図。
シャンデリア型シャワーヘッドおよび関連のシャワーヘッドカラーを用いた様々なALDおよび/またはCVD処理を実施し、プラズマフィードおよびカーテンガス流路を組み込むのに適した半導体処理装置のシングルステーション処理チャンバを示す概略断面図。
様々なALDおよび/またはCVD処理を実施するのに適した基板処理装置のデュアルステーション処理チャンバ(各処理ステーションが、基板ホルダを有し、シャンデリア型シャワーヘッドおよび関連のシャワーヘッドカラーを利用する)を示す概略断面図。
様々なエッチング処理を実施するのに適した容量結合プラズマ(CCP)リアクタを示す概略図。 様々なエッチング処理を実施するのに適した容量結合プラズマ(CCP)リアクタを示す概略図。 様々なエッチング処理を実施するのに適した容量結合プラズマ(CCP)リアクタを示す概略図。
様々なエッチング処理を実施するのに適した誘導結合プラズマ(ICP)リアクタを示す概略図。
様々なエッチング処理を実施するのに適した基板処理クラスタツールを示す概略図。
以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、本発明は、これらの具体的な詳細事項の一部または全部がなくとも実施可能である。また、本発明が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。特定の詳細な実施形態との関連で本発明について説明するが、これらの特定の詳細な実施形態は、本明細書に開示された発明の概念の範囲を限定する意図はないことを理解されたい。
一般的に、ウエハ処理動作が、処理されるすべてのウエハの表面全体にわたって一貫して均一な効果を施されることが望ましいが、かかる均一性は、もちろん現実的ではない。実際には、ウエハ処理動作は、様々な程度のウエハ面内不均一性を示す。一部の例では、蒸着および/またはエッチングされた膜の不均一性は、前の(上流の)処理動作(プラズマベースであるかどうかに関わらず)から生じたものでありうる。一部の例では、不均一性が、後続の(下流の)処理動作(この場合も、プラズマベースであるかどうかに関わらず)から生じることが予測されうる。したがって、第1に、処理ワークフローの複数の段階で、不均一性を防止または最小化することによって、もしくは、一部の例では、不均一性が発生した後に補正することによって、処理の不均一性に対処するための有効な戦略を考案することが、処理エンジニアの課題である。
表面エッチング処理および原子層蒸着(ALD)処理を含む表面局所的な処理については、ウエハ面内均一性は、表面にわたる反応速度に依存し、反応速度自体は、衝突および/または吸着する反応物質の入射流束密度、任意の関連付着係数および/または吸着係数、副生成物の出る流速、ならびに、反応が温度および/または圧力感受性である限りにおける表面での温度および圧力、に依存する。さらに、1または複数の外部活性化エネルギ源を必要とするかまたはそれによって強化される表面反応(プラズマベース/プラズマ強化エッチングまたは蒸着処理)については、ウエハにわたる反応速度も、活性化エネルギ源の密度(および/またはエネルギ密度)に依存する。かかる外部活性化エネルギ源は、実施形態に応じて、(反応性イオンエッチング(RIE)処理において典型的であるように)基板表面に達する前に、到達/衝突する化学種を反応状態まで活性化するように機能してもよいし、(原子層蒸着(ALD)処理またはプラズマ強化化学蒸着(PECVD)処理において典型的であるように)表面吸着反応物質を活性化するように機能してもよいことを理解されたい。したがって、表面反応を含む半導体処理動作の文脈においては、処理不均一性に一般的に対処する一方法は、表面反応速度を局所的に(特に、基板表面の特定の位置および/または領域において)変化させる技術を用いて、基板表面に予測される(下流の)系統的な不均一性を補正する方法、過去の(上流の)ランダムな不均一性を修正する方法、(補正を全くすることなしに、エッチング処理が不均一な傾向にある場合など)即時の表面反応処理工程で生じる不均一性を補正する方法、または、上記すべてを行う方法である。
局所的な温度調整/制御が、表面反応速度を局所的に調整および/または制御するために利用できる(そして、成功裏に利用されてきた)1つのメカニズムであることに注意されたい。局所的な温度制御を実現できる一方法は、エッチングチャンバ内(例えば、ウエハチャックの中または下)に配置された時に基板の下に位置する発熱抵抗素子のアレイを利用する方法であり、各抵抗素子を通る電流を個別に制御することによって、基板温度を局所的に修正することができる。この設計は、通例、局所的なエッチング速度調整の文脈で適用されてきたが、原理上は、ALDまたはPECVD処理における膜形成反応速度の調整にも適用できる。しかしながら、いずれにしても、かかる局所的な温度制御が反応速度(エッチング速度でも蒸着速度でも)を変えるために効果的に利用されうる程度は、利用される特定のエッチング処理または蒸着処理の反応速度の温度感受性の程度に依存する。しかし、一部のエッチング処理または蒸着処理は、特に温度感受性ではない場合があり、さらに、一部の例では、処理の安定性を改善する目的で、温度不感受性(または、温度に対して弱い感受性を示すだけ)のエッチング処理または蒸着処理を用いることが実際に望ましいことがあり、このような種類の処理については、温度制御による反応速度調整は実現不可能である。したがって、局所的な温度制御は、一部シナリオでは反応速度(蒸着またはエッチング)を局所的に調整するためのメカニズムを提供するものの、欠点がないわけではない。
しかしながら、(上述のように)全体的に温度に依存することに加えて、表面反応速度は、通例、様々な他の要因にも依存するので、表面反応速度を局所的に調整するために利用できる他のメカニズムがある。エッチング処理の場合、エッチング速度は、一般に、活性化されたエッチャント種の局所的密度に依存するので、エッチャントが(例えば、プラズマ解離事象から)プラズマ活性化された場合、局所的なプラズマ密度も、エッチング速度に強い影響を持つことになる。したがって、これらの処理については、局所的なプラズマ密度の制御が、局所的なエッチング速度の調整/制御のための有効なメカニズムを提供する。上述のように、これは、利用するエッチング反応を選択する際に、より大きい自由度を許容するという利点を持つ。なぜなら、局所的なエッチング速度制御のために温度依存性のエッチング反応がもはや必要とされず、(処理の安定性を考慮すると)望ましくない場合もあるためである。
このエッチング速度制御を実行するために、様々なメカニズムを通してプラズマ密度を調整できるが、これらのメカニズムの多くは、ウエハの位置/領域に固有のプラズマ密度の変更を効果的に引き起こすことができない。例えば、(例えば、プラズマベースエッチングのための)典型的なプラズマリアクタ内のプラズマ密度は、ガス組成、ガス流量、印加電気バイアス、RF電力レベル、周波数、デューティサイクル、電力エネルギ分布、表面再結合事象、などの関数であり、一般に、これらの要素の各々は、プラズマリアクタ設計自体によって、確立され、大部分が固定される。所与の設計が、これらのパラメータのいくつかを選択することに関して或る程度の柔軟性を許容すること、ならびに、プラズマ密度がこれらのパラメータ(例えば、ガス流量、圧力、印加RF電力)の変更を通して変えられうるが、かかる調整は、一般に、特定の位置/領域においてプラズマ密度を標的とした効果を有するよりはむしろ、リアクタ空間にわたってプラズマ密度に全体的な変化をもたらすことが事実である。
したがって、例えば、他の領域での速度に影響を与えることなく、ウエハ上の特定の領域での速度を調整するための、(蒸着またはエッチングの)反応速度の表面局所的な調整は、さらなるタイプのプラズマ密度制御メカニズムを必要とする。これを達成できる1つのメカニズムは、マイクロ波放射を選択的に的を絞って印加することである。マイクロ波放射は、分子をイオン化してプラズマ密度を高めるために利用可能であることが理解されており、プラズマ生成のための主要または唯一の電力源としてマイクロ波放射を用いる様々な市販のプラズマエッチャがある。しかしながら、これらのツールの内、標的マイクロ波放射を用いて、基板表面の近くにおいて微細で局所的な空間分解されたプラズマ密度制御を提供するものはない。
したがって、本明細書では、マイクロ波(MW)放射の標的印加(標的を絞った印加)を通して基板表面の近くにおいて標的を絞った空間局所的なプラズマ密度調整/制御を実現するための方法および装置、特に、マイクロ波アンテナ/エミッタのフェーズドアレイを用いて、基板表面にわたって異なる/不均一な強度のマイクロ波放射を生成する方法および装置、が図示および説明されている。したがって、方法は、一般に、プラズマのエネルギ密度に影響を与えることにより処理チャンバ内の半導体基板の表面上での反応速度を変化させるために、処理チャンバ内でプラズマを励起し、処理チャンバに関連するマイクロ波アンテナのフェーズドアレイからマイクロ波放射ビームを放射し、最後に、MW放射ビームを励起されたプラズマに方向付けることを含む。方法および装置は、実施形態に応じて、プラズマ活性化(および/または強化)エッチング処理、プラズマ活性化(および/または強化)原子層蒸着(ALD)処理、プラズマ強化化学蒸着(PECVD)処理、もしくは、一般的に、半導体基板の表面において、表面の近くで、または、表面上でプラズマ活性化(および/または強化)されるような反応処理の空間局所的な調整および/または制御に適用可能でありうる。
基本原理が図1Aに示されており、図1Aは、基板表面120に向かって配置され、基板表面の特定の領域に方向付けられたマイクロ波エネルギのビーム110を生成するマイクロ波アンテナのフェーズドアレイ(PAMA)101(例えば、市販のレーダシステムに用いられるものと同様のもの)を示す。フェーズドマイクロ波アンテナの例が、“Integrated Phased Array Systems in Silicon,”ALI HAJIMIRI,HOSSEIN HASHEMI,ARUN NATARAJAN,XIANG GUAN,AND ABBAS KOMIJANI,IEEE PROCEEDINGS OF THE IEEE,VOL.93,NO.9,(2005年9月)、および、“Microwave Theory of Phased−Array Antennas−A Review”,Louis Stark,PROCEEDINGS OF THE IEEE,VOL.62,NO.12,1974年12月、に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。当業者には容易にわかるように、一般に、マイクロ波アンテナのフェーズドアレイは、アレイの様々なアンテナから放射されたMW放射の位相および/または振幅を互いに対して変化させることを可能にする(すなわち、アレイのアンテナ(の少なくとも一部)から放射されるマイクロ波放射の相対位相および/または相対振幅を調整できる)アンテナアレイである。いくつかの実施形態においては、相対位相のみが変更され、別の実施形態においては、相対振幅のみが変更され、別実施形態においては、アレイのアンテナの相対位相および相対振幅が互いに対して変更される。さらに、いくつかの実施形態において、アレイから放射されたMWの1周波数および/または複数の周波数および/または周波数範囲が変更されてよく、特定のかかる実施形態において、フェーズドアレイ内の異なるアンテナで異なった変更をなされてもよい。(適切なMW周波数範囲は、1〜500GHzを含む)。かかるマイクロ波アンテナのフェーズドアレイ(PAMA)101を用いれば、PAMAの2以上のアンテナ(例えば、PAMAの3、4、5、6、7、8、9、10、11、12、または、13以上のアンテナ)もしくはPAMAの各アンテナから放射されるマイクロ波放射の位相および/または振幅および/または方向を個別に調整することによって、マイクロ波の方向付けおよびマイクロ波強度の制御を達成できる。このように、建設的干渉および相殺的干渉を通して、基板表面の1または複数の所望の領域にマイクロ波エネルギの操作可能かつ局所的な「ビーム」または「スポット」を生成できる波面の適切な重ね合わせを起こすことができる。いくつかの実施形態において、マイクロ波の振幅、位相、および、方向を速やかに電気的に変化させて、おおよそマイクロ波放射の波長(および/またはそのオーダー)の空間分解能で規定の時間変動プラズマ強度プロファイルを生成することができる。
再び、図1Aは、マイクロ波ビーム110がPAMA101からの放射によって基板表面120の特定の領域に向けられる様子を示す。図1Aにおいて、ビームは、垂直に対してゼロではない角度で放射され、適切に標的に向けられることを可能にする。さらなる例が、図1B〜図1Dに概略的に図示されている図1Bにおいて、ビームは、中心からずれたPAMA101からビームを放射することによって特定の領域に向けられており、その結果、ビームは、垂直に方向付けられても、図1Bに示すように基板表面120の様々な領域に当てられうる。図1Cは、いくつかの実施形態において、PAMA101が、複数のマイクロ波ビーム110、112、114を同時に放射することにより、ウエハ表面120上の複数の領域の近傍のプラズマ密度を同時に変えられうることを示す。例えば、64のMWアンテナを有するPAMAが、8以上の個別に制御可能な「ビーム」を生成してよい。図1Dは、いくつかの実施形態において、マイクロ波エネルギの「スポット」116が、例えば、複数スタックされたPAMAを用いることによって、生成されうることを示す。PAMA102は3Dフェーズドアレイと考えられてよく、PAMA101は2Dフェーズドアレイと考えられてよい。図1Dに示すように、マイクロ波エネルギ(および増加したプラズマ密度)の「スポット」は、(図1A〜図1Cと同様に)水平に局所化されるが、ウエハ表面に対して垂直にも局所化される。
図1A〜図1Dに示すように、標的に向けられたマイクロ波放射は、それが方向付けられた基板表面の領域のプラズマ密度を高めるので、この戦略は、プラズマ密度の局所的な調整および/または制御のため、さらには、プラズマ密度(および/またはプラズマ活性化反応種の密度)に依存する任意の局所的な反応速度の非常に望ましい局所的な調整および/または制御のためのメカニズムとして機能する。上述のように、これらは、エッチング反応であってもよいし、例えば、ALD速度も局所的なプラズマ密度の影響を受けうるため、膜蒸着反応であってもよい。この場合も、このタイプの速度制御は、エッチングまたは蒸着処理が温度感受性であることを必要とせず(プラズマ活性化されるだけでよい)、そのため、フェーズドアレイのマイクロ波アンテナを用いれば、局所的なエッチング速度および/または蒸着速度の強力かつ一般的な制御方法が提供される。実施形態に応じて、マイクロ波放射は、主要なプラズマエネルギ源として機能することも可能であるし、主に別の主要なエネルギ源(または、単に別の主要なマイクロ波エネルギ源)によって維持されるプラズマの密度を修正するために印加される補助的な指向性のエネルギ源として機能することも可能であることに注意されたい。
また、実施形態によっては、1または複数のPAMAを用いれば、個々のコンピュータアドレス可能な領域/位置にウエハ表面を分割することが可能になることに注意されたい。そうすることで、個々の領域/位置ごとに局所的な速度調整をプログラムによって割り当てて制御することができる。例えば、局所的なエッチング速度が、例えば、ウエハ表面上の領域A、B、および、Cにおいて調整されることが望ましい場合、コンピュータプログラムは、マイクロ波エネルギの「ビーム」が、所望の量だけ各位置のエッチング速度を変えるのに適切な強度で、A、B、および、Cの領域の各々に向けられるように、PAMAの複数のマイクロ波アンテナから放射されるマイクロ波放射の必要な位相および/または振幅および/または方向(ならびに、おそらくは、周波数および周波数範囲)を設定するために書かれうる。領域A、B、および、Cの近傍におけるこのプラズマ密度修正は、順次実行されてもよいし、(十分に大きいPAMAを用いて)複数のビームで同時に実行されてもよい(この場合も、アレイの適切なアンテナから放射される適切な位相および/または振幅および/または方向を選択することによる)。MW源からの相対的な位相および/または振幅および/または放射方向の調整を通して複数のMW源から放射されるMW放射ビームを方向付ける例(メカニズムとして、建設的/相殺的干渉の原理を用いる)が、例えば、”Phased Array Antennas”,R.C.Hansen,Wiley Series in Microwave and Optical Engineering,Kai Chang ed.,1998年、および、“Phased−Array Systems and Applications,”Nicholas Fourikis,Wiley Series in Microwave and Optical Engineering,Kai Chang ed.,1997年、に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。
空間局所的な反応速度(上述のように、エッチング速度および/または蒸着速度)の調整および/または制御を達成するために、1または複数のPAMAが、適切な基板処理チャンバに対して戦略的に配置される。図2Aは、半導体処理チャンバ250に対して配置されたPAMA210を備える基板処理装置201を概略的に示している。PAMA210は、必要に応じて、相対的な位相、振幅、および/または、方向を電気的に制御および変化させるために、アレイの全アンテナ素子に接続する位相/振幅制御ユニット290を有することが、図2A(および、図2B〜図2E)に示されている。
この特定の実施形態(図2A)において、基板処理装置201は、誘導コイル260を有する誘導結合プラズマ(ICP)リアクタである。処理チャンバ内には、基板ホルダ230上に基板220が配置されている。PAMA210の個々のアンテナは、(一般に、マイクロ波放射を吸収するため、マイクロ波放射からチャンバ250の内部を遮蔽する傾向がある)誘導コイル260の間にマイクロ波放射を方向付けるように配置および方向付けられる。誘導コイル260およびフェーズドアレイ210は共に、RFおよびMWの放射に(少なくとも)或る程度の透過性を持つ処理チャンバ250の「窓」270に隣接して配置される(一般に、処理チャンバ250の壁は、RFおよびMW透過性ではないことに注意されたい)。「窓」270は、例えば、石英またはセラミック製、もしくは、その他の誘電材料で製造されてよいが、一般に、処理チャンバの壁は、金属材料で形成される。
PAMAを有する(または、PAMAに関連する)ICPリアクタ装置202の別の実施形態が、図2Bに示されている。この実施形態では、PAMA211(振幅/位相/方向制御ユニット290の存在に再び注意すること)が、処理チャンバ250の周囲を取り巻いており(図2Bに断面が示されている)、従って、(少なくとも)部分的にMW透過性の「窓」272が、処理チャンバ250の側壁/周壁に配置されている。この設計は、(チャンバ250の上部窓270に隣接して配置された)誘導コイル260がPAMA210から処理チャンバへのマイクロ波放射の透過を妨げないという利点を有する。しかしながら、後に詳述するように、かかる設計には、考慮すべき他の課題がある。
図2C〜図2Eは、PAMA210、211と容量結合型プラズマ(CCP)リアクタとの関連(および/または統合)を概略的に示している。図2Cに示す装置設計203は、PAMA210が処理チャンバの上部に配置されている点では、図1Aに示したICPリアクタ201と類似しているが、(ICPリアクタ(図1A〜図1B)のように)プラズマ生成のための誘導コイルがある代わりに、(平板電極280と基板ホルダ/チャック230との間の電圧差の印加を通して)プラズマを生成するために提供された平板電極280がある。図2Aにおける誘導コイル260の場合と同様に、平板電極280は、PAMA210から放射されたマイクロ波放射から処理チャンバ250の内部を遮蔽する傾向がある。したがって、この課題に対処するために、平板電極280は、図2Dの透視図に示されるように、開口部292を有するように構成されてよく、開口部は、アレイ210の個々のアンテナの位置とおおよそ整列される。実施形態に応じて、開口部は、一般に、円形、楕円形、または、スロット形状、もしくは、それらの組みあわせであってよい。
同様に、図2Eは、側面に取り付けられたPAMAとCCPリアクタ装置204との統合を概略的に示している。図2BのICPリアクタに関連する側面取り付けされたPAMAと同様に、図2EのCCPリアクタに関連するPAMAは、処理チャンバ250の周囲、すなわち、図2Bと同様に、処理チャンバ250の側壁にある(少なくとも)部分的にMW透過性の「窓」272の近傍に、アンテナを配置しており、これにより、平板電極280による干渉の問題が避けられる。PAMA211を側壁に配置することにより、平板電極280は、図2Dに示した開口部292を提供する必要がないことに注意されたい。さらに、リアクタチャンバ250の周囲にPAMA211を側面取り付けすると共に、反応チャンバの上部であるが上壁の内部に平板電極280を配置することで、(少なくとも部分的に)MW/RF透過性の窓270を(図2Eに示すように)排除することができる。この設計の他の関連事項については後述する。
図2A〜図2Eに(それぞれ)示した処理装置201、202、203、および、204に関して、各装置に関連するPAMA210および211は、装置に統合されるような方法で構成されてもよいし、既存の装置設計に組み込むのに適切なサイズを有する別個の構成要素であってもよい。空間的に標的を絞った反応速度調整のためにPAMAを備えるように改造できるICPリアクタおよび容量結合プラズマ(CCP)リアクタの詳細な説明を以下に提供する。1または複数のPAMA装置を備えるように適切に改造できる膜蒸着装置(ALD処理の実行に適する)についても、以下で説明する。
追加の組み込み可能な構成要素として提供されても、処理装置に完全に統合された元々の構成要素として提供されても、PAMAは、適用可能な処理チャンバにマイクロ波放射の集束ビームを効果的に方向付けるようなサイズで形成され、そのアンテナが適切に配列される。したがって、適切な上部配置のPAMAが、実質的に平面内に配置された5〜256個のマイクロ波アンテナを備えうる。平面配列は、いくつかの略同心円状のアンテナグループを備えてよい。最も外側のグループは、200〜400mm(または、より具体的には特定のかかる実施形態において275〜325mm)の直径を有してよく、かかるアンテナの3〜24組の略平面かつ略同心円のグループがあってよい。いくつかの実施形態において、上部配置/上部取り付けのPAMAの隣接するアンテナ間の平均間隔は、0.1〜150cm、より具体的には0.2〜100cm、または、さらに具体的には0.5〜50cmであってよい。
同様に、適切な側面/周囲に配置されたPAMAは、図2Bおよび図2E(断面図)および図3D−1(後述)に示されるように、互いに関して略円筒形状に配列された8〜256個のマイクロ波アンテナを備えてよい。いくつかの実施形態において、円筒配列の高さは、5〜500mmであってよく、より具体的には、100〜300mmであってよい。いくつかの実施形態において、円筒配列の直径は、300〜600mmであってよく、より具体的には、350〜450mmであってよい。側面/周囲に配置されたPAMAにおいて隣接するアンテナ間の平均間隔は、0.1〜150cm、または、より具体的には0.1〜15cm、であってよい。いくつかの実施形態において、アンテナは、いくつかのアンテナグループの円筒形スタックに配列されてよく、各グループは、略円形の配列を有し;例えば、2〜7組のかかるグループであってよい(例えば、図2Bおよび図2Eでは4グループ、図3D−1では2グループ)。いくつかの実施形態において、蒸着、エッチング、または、その他の処理動作のための基板処理装置が、上部取り付けのPAMAおよび側面/周囲取り付けのPAMAの両方を備えてもよく、それらは、所望のレベルのプラズマ密度修正を達成するために連動および/または調和して(協調的に)用いられてよい。いくつかの実施形態において、十分に強力なPAMAまたはPAMAセットを用いれば、PAMA自体が、局所的なプラズマ密度修正のための方向付け可能なMW放射ビームを生成するツールとして機能するのに加えて、プラズマを維持して電力供給するための主要なEM放射源として用いられてもよい。基板表面上での反応速度を調整するために共同するように(PAMAおよび温度制御アレイ)、上述のPAMAベースの表面反応速度制御技術を基板温度制御アレイ(基板ホルダ内に配置された個別に制御可能な発熱抵抗性素子など)と連動して用いることを原理上は妨げるものがないことにも注意されたい(とはいえ、効果的にするには、この場合も、温度感受性の反応処理、エッチング、蒸着、または、その他の反応が必要になる)。かかる温度制御アレイの例は、2014年1月28日出願の米国特許第8,637,794号「Heating Plate with Planar Heating Zones for Semiconductor Processing」に記載されており、参照によって全ての目的で本明細書にその全体が組み込まれる。
シミュレーション結果
図3A−1〜図3D−7は、25個のマイクロ波アンテナからなるフェーズドアレイのコンピュータモデルから生成された典型的な基板表面の上または近くへのマイクロ波(MW)放射の制御された集束を示すシミュレーション結果を提供する。シミュレートされたPAMAの様々なアンテナから放射されたマイクロ波放射の相対位相および/または相対振幅を変化させて、様々な結果を生成している。
図3A−1に示すように、第1シミュレーションセットは、PAMA310が反応チャンバ350の上方に配置され、MW放射が典型的な基板320に向かって下向きに集束される装置構成をモデル化したもので或る。したがって、この構成は、図2Aに概略的に示したICPエッチングチャンバ、または、図2CのCCPエッチングチャンバに対応しうる。図3A−1に示すように基板表面上の3つの異なるスポット(それぞれ図3A−2、3A−3、および、3A−4の中心、中間点、および、縁部)に集束されたMW放射ビームについて、3つのシミュレーションの結果が示されている。シミュレーションの結果は、モデル化されたPAMAが、非常に効果的に、基板表面上の3つの指定スポットの各々にMWビームを集束していることを示す。
図3B−1は、(図3A−1と)同じ装置構成でのさらなる結果を示す。この例において、MWビームは再び、(図3A−2に示すように)ウエハの中心に集束されているが、ここで、図3B−2、3B−3、および、3B−4に示す結果は、図3B−5に示すウエハ表面の平面でのMW強度と対比されるように、(図に示すように)ウエハ表面の平面の上方の様々な高さの断面におけるMW放射の強度を示している。これらのシミュレーション結果は、MW放射が(図3Aに示すように)基板表面で水平方向だけに局所化されるのではなく、垂直にも局所化されることを示している。したがって、これらのシミュレーションは、図1Dに示したものにおおよそ対応する。図3C−1〜図3C−5は、ウエハ縁部に向けられたMW放射ビームについて同様の結果(ウエハの平面における強度と対比された様々な垂直断面でのMW強度)を示しており、MW強度における著しい垂直局所化が水平局所化と同時に起きていることがわかる。
図3D−1に示すように、次のグループのシミュレーションは、PAMA311が反応チャンバ350の側面/周囲に配置され、MW放射が典型的な基板320に向かって内向きに集束される装置構成に対応する。したがって、この構成は、図2Bに概略的に示したICPエッチングチャンバ、または、図2EのCCPエッチングチャンバに対応しうる。3つのシミュレーションの結果が、図3D−2、3D−3、および、3D−4に示されており、反応チャンバ350(または、図2Bの250)内に励起されたエッチングプラズマが存在する状態で、MWビームが、中央、中間点、および、縁部にそれぞれ方向付けられている。エッチングプラズマがオフにされた際の同様の結果が、図3D−5、3D−6、および、3D−7に示されている(この場合も、MWビームは、それぞれ、中央、中間点、および、縁部に向けられている)。エッチングプラズマがオンの場合、結果は、基板の中間点(図3D−3)および縁部(図3D−4)でのMWビーム強度の良好な水平局所化を示しているが、ビームが中央に向けられた場合(図3D−2)には局所化が乏しい。これは、基板中央がアレイのアンテナから最も遠い結果である。反応チャンバの上方に配置されたPAMA310(図3A−1と、以下参照)については、この構成において、PAMAが基板の縁部および中間点領域と同様に基板中央の近くに配置されていることがわかるので、かかる問題がなかったことに注意されたい。しかしながら、図3D−5、3D−6、および、3D−7(これらも、それぞれ、中央、中間点、および、縁部に対応する)は、プラズマがオフにされると、基板の中央に到達する側面/周囲放射のMW放射の問題が解消することを示しており、その理由は、励起プラズマが、MW放射の伝達を幾分遮蔽するイオン化種を有するが、非励起プラズマにはないためである。これは、励起および非励起状態の間でプラズマをサイクルさせることが、基板表面の中央に対しても、このPAMA構成による標的MW放射のパルス印加を可能にしうることを示唆する(とはいえ、いくつかの実施形態では、いずれにしても、反応/エッチング速度の調整/増進が、基板の中間点および端部の領域の近くで最も重要である場合もある)。
プラズマ強化蒸着処理および関連装置
処理動作中に半導体基板表面の近くの局所温度または局所プラズマ密度を調整および/または制御するための様々な技術について上述した。これらの技術は、エッチング動作または蒸着動作の文脈、特に、蒸着側では、プラズマ強化化学蒸着(PECVD)処理および原子層蒸着(ALD)処理の文脈で適用されてよい。したがって、これらの蒸着動作および関連蒸着装置の概略をここで提供する。様々な基板エッチング動作に利用可能であり、基板表面付近のプラズマ密度を局所的に調整するためにフェーズドアレイのマイクロ波アンテナを用いることで利点がありうる装置の概略については後述する。
蒸着処理の概要
多くの課題が、半導体ウエハへの膜蒸着処理の実施に関連する場合があり、多くは、これらの処理が良好なウエハ面内均一性、単一ウエハに対する蒸着サイクル間での均一性、および、ウエハのバッチにわたる良好な均一性を示すことが望ましいという事実から生じる。さらに、特定の不均一な膜厚を意図的に蒸着して、一部の上流または下流の不均一性を補正することが望ましい場合がある。その上に、処理スループット要件が、しばしば、迅速な蒸着サイクル時間を要求し、これは、関連する物理的ハードウェアおよび処理設計要件への強い要求を課しうる。上述のように、プラズマ均一性は、しばしば、重要な課題であり、膜蒸着中のプラズマの点火が、ウエハにわたる均一なプラズマ密度の達成を困難にしうる。かかる課題は、上述のようにフェーズドアレイアンテナを用いてより良好なプラズマ密度制御を達成するための技術による恩恵を受けうる。
以下で詳述するように、処理チャンバ内で基板上に単一の材料層を蒸着させるための基本的なALDサイクルは、以下を含んでよい:(i)吸着制限層を形成するように基板上に薄膜前駆体を吸着させる工程、(ii)基板を保持する処理ステーションの近くから(存在する時、少なくともいくらかの)未吸着(脱着を含む)の薄膜前駆体を除去する工程、および、(iii)未吸着の薄膜前駆体を除去した後に、例えば、処理ステーションの近くでプラズマを点火することによって、基板上に薄膜の層を形成するように吸着した薄膜前駆体を反応させる工程。(本明細書で用いる「未吸着」の薄膜前駆体は、脱着した薄膜前駆体を含むと定義される)。しばしば、ALDサイクルは、さらに、吸着した薄膜前駆体の反応後に、蒸着された基板を保持する処理ステーションの近くから、脱着した薄膜前駆体および/または薄膜前駆体反応副生成物を除去する工程(iv)を含む。工程(ii)および(iv)における除去は、基板の近くをパージする、ベース圧力までポンプダウンする(「ベースへのポンピング(pump−to−base)」)ことで排気する、などによって行われてよい。工程(iii)で表面反応を活性化するために用いられるプラズマは、通例、例えば、(後に詳述する)1または複数のシャワーヘッドを通して反応チャンバに流入されうるプラズマフィードガスによって維持される。いくつかの実施形態において、プラズマフィードガスは、チャンバをパージして工程(ii)および(iv)における除去を達成するために用いられてよい。
しかしながら(上述したように)、PECVD処理を介して蒸着された薄膜のウエハ面内均一性も、上述のマイクロ波アンテナのフェーズドアレイを利用するなどして、局所的なプラズマ密度制御から恩恵を受けうる。従来のPECVD処理には、ALD処理とのいくつかの一般的な類似点があり、例えば、いずれの処理も、基板上に膜層を形成するために、処理チャンバに気相の薄膜前駆体を導入した後に、これらの前駆体をプラズマ活性化することを含む。しかしながら、PECVDにおいて、薄膜形成反応は、薄膜前駆体がまだ気相(または、少なくとも大部分が気相)であり、その結果、膜材料が大量かつ迅速に形成された後にウエハ表面上に蒸着する間に起きる。換言すると、ALD処理と対照的に、PECVD処理で起きる薄膜形成反応は、一般に、表面介在性および吸着制限性ではないため、薄膜材料の吸着制限層よりもかなり多くが各PECVDサイクルで蒸着される。いくつかの実施形態において、PECVDが比較的漸次的ではないというこの事実により、PECVDは一般にALDよりも均一性が低いため、本明細書に開示した局所的プラズマ密度制御技術およびハードウェアからかなりの恩恵を引き出す傾向が大きい。
薄膜蒸着装置
半導体基板上に薄膜を蒸着させるための動作は、一般に、図4Aに示すような基板処理装置で実行されてよい。以下で詳述する図4Aの装置400は、真空ポンプ418によって真空に維持されうる内部空間内に単一の基板ホルダ408を備えた単一の処理チャンバ402を有する。また、(例えば)薄膜前駆体、搬送ガスおよび/またはパージガスおよび/または処理ガス、二次反応物質などの供給のために、ガス供給システム401およびシャワーヘッド406が、チャンバに流体接続されている。処理チャンバ内でプラズマを生成するための装置も、図4Aに示されており、これについては、後に詳述する。いずれにせよ、以下で詳述するように、図4Aに概略的に示す装置は、プラズマ強化化学蒸着(PECVD)処理で利用される動作および原子層蒸着(ALD)処理で利用される動作など、半導体基板への薄膜蒸着動作を実行するための基本的な設備を提供する。
いくつかの状況では、図4Aのような基板処理装置で十分であるが、時間のかかる薄膜蒸着動作が含まれる場合、複数の半導体基板に同時並行で複数回の蒸着動作を実行することにより、基板処理スループットを高めることが有利でありうる。このために、図4Bに概略的に示すようなマルチステーション基板処理装置が用いられてよい。図4Bの基板処理装置440は、(図4Aの処理装置400が単一の処理チャンバ402を用いるものとして図示されているように)単一の基板処理チャンバ445を利用するが、処理チャンバの壁によって規定された単一の内部空間内に、複数の基板処理ステーションがあり、各々が、その処理ステーションに関連するウエハホルダに保持された基板に処理動作を実行するために用いられてよい。この特定の実施形態において、マルチステーション基板処理装置440は、図に示すように、4つの処理ステーション441、442、443、および、444を有する。装置は、さらに、処理ステーション441および442に基板をロードするための基板ロード装置(この例では基板ハンドラロボット446)と、様々な処理ステーション441、442、443、および、444の間で基板を移送するための基板移送装置(この例では基板カルーセル490)とを用いる。実施形態に応じて、上述のように、各処理ステーションは、独自のマイクロ波アンテナフェーズドアレイ(すなわち、自身に固有のアレイ)に関連してもよいし(したがって、例えば、4ステーションチャンバが、4つのフェーズドアレイを有する)、いくつかの実施形態では、単一のフェーズドアレイが、複数の処理ステーションのプラズマ密度に影響を与えるように利用できる操作可能なマイクロ波放射の1または複数のビームを提供してもよい(例えば、4ステーションチャンバが、すべての4つの処理ステーションのプラズマ密度を調整する単一のマイクロ波アンテナフェーズドアレイを有してよい)。他の同様のマルチステーション処理装置が、実施形態と、例えば、所望の並列ウエハ処理レベル、サイズ/スペースの制約、コスト制約などとに応じて、より多くまたはより少ない処理ステーションを有してもよい。また、図4Bには、例えば、ALD動作などで効率的な基板蒸着動作を実行する目標を支援するコントローラ450(後に詳述する)が示されている。
装置のコストおよび運転費用の両方に関する様々な効率化が、図4Bに示したようなマルチステーション処理装置の利用で達成されうることに注意されたい。例えば、単一の真空ポンプ(図4Bでは図示していないが、例えば、図4Aの418)が、4つの処理ステーションすべてのための単一の高真空環境を生成するために用いられてよく、このポンプは、4つの処理ステーションすべてに関して、消費した処理ガスなどを排気するために用いられてもよい。実施形態に応じて、各処理ステーションは、通例、ガス供給のために専用のシャワーヘッド(例えば、図4Aの406を参照)を有するが、シャワーヘッドにガスを供給するガス供給システム(例えば、図4Aの401)のいくつかの構成要素が共有されてもよい。同様に、プラズマ発生装置の特定の要素が、処理ステーション間で共有されてもよい(例えば、電源)が、実施形態によっては、特定の態様が、処理ステーションに固有であってもよい(例えば、シャワーヘッドがプラズマ発生電位を印加するために用いられる場合:以下の図4Aについてのさらなる記載を参照)。ただし、この場合も、2、3、5、6、7、8、9、10、11、12、13、14、15、または、16個、もしくは、それより多い反応チャンバあたりの処理ステーションなど、より多くまたはより少ない数の処理ステーションを処理チャンバごとに用いて、程度の差はあるが、かかる効率化を達成できることを理解されたい。
単一の処理チャンバ内で複数の処理ステーションを用いることに関係する別の利点は、かかる設計により、通例、シングル処理ステーションチャンバで一般に実現可能であるよりも高い電力のプラズマを利用できるようになることである。これは、マルチステーションチャンバの容量が一般にシングルステーションチャンバよりも大きいという事実によるものであり、より大きいチャンバ容量によって、チャンバ壁へのアーク放電を起こすことなしに、より高い電圧をプラズマ生成に利用することが可能になり、これは、より大きいプラズマ電力を安全に利用できることを意味する。より高いプラズマ電力は、例えば、誘電体膜蒸着の場合、より高い電力を供給されたプラズマを用いれば、それに対応して高い密度(しばしば、望ましい特性である)を有する蒸着誘電体膜を形成できるため有利である。
複数の処理ステーションを備えたより大きい処理チャンバを用いれば、上述の利点を提供できるが、一方、より小さい単一ステーション処理チャンバを利用することと一般に関連するいくつかの利点がある。これらの利点の1つは、チャンバ空間の迅速な循環であり、すなわち、反応物質、反応副生成物などを迅速に導入および除去できることである。かかる迅速な循環は、相当の厚さの膜を蒸着するために多くの蒸着サイクルが必要とされるALD処理で特に重要になりうるため、チャンバ空間を循環させるのに費やす時間が、かなり重要になりうる。したがって、より大きい容量のマルチ処理ステーションチャンバの利点と、より小さい容量の単一処理ステーションチャンバと典型的に関連する利点とを組み合わせるために、マルチステーション/チャンバ処理装置が、様々な処理ステーションの間にガスのカーテンを流すことで薄膜蒸着動作中に処理ステーションの容量を互いに隔離することにより、各処理ステーションで小容量チャンバを「シミュレート」してよい。例えば、蒸着動作中、かかる「カーテンガス」は、反応物質、プラズマフィードガスなどの混合を防ぎつつ、各処理ステーションで起きる反応性薄膜蒸着処理を妨げない(少なくとも実行不可能な程度までは妨げない)ように、処理ステーションの間に流される。これは、反応物質を流すと共に副生成物をパージする目的で小容量を「シミュレート」しうるが、高プラズマ電力と、特定の構成要素のコストの増減とに関しては、より大きいチャンバ容量の利点が保持される。
さらに、上述の利点に加えて、カーテンガス流による処理チャンバの容量隔離は、処理ステーションの間で交互になるように蒸着サイクルを構成する動作シーケンスを可能にしうる。ALD処理に関するかかる交互のサイクルと関連する様々な利点については、例えば、2013年12月18日出願の米国特許出願第14/133,246号(代理人整理番号LAMRP059US)(現在は、米国特許第8,940,646号)“SEQUENTIAL PRECURSOR DOSING IN AN ALD MULTI−STATION/BATCH REACTOR”に詳細に記載されており、これは、参照によって全ての目的で本明細書にその全体が組み込まれる。
しかしながら、上述の利点を達成するために、ALDまたはPECVD動作に関しては、様々な処理ステーションが、カーテンガス流によって互いに完全に容量を隔離されるわけではないことに注意されたい。一般に、これは当てはまらないことが予期される。したがって、本開示の文脈では、カーテンガス流によって1つの処理ステーションを別の処理ステーションから「容量的に隔離」することは、処理ガスステーションの間のカーテンガス流が、かかるカーテンガスを用いない場合に起きる処理ステーション間でのガスの混合を大幅に削減するように機能することを意味すると解釈される。これは、各処理ステーションがその独自の別個の処理チャンバ内にある場合に存在する「完全」または「完璧」な容量隔離とは対照的であり、カーテンガスで容量を隔離することは、かかる完璧/完全な分離/隔離を暗示することも必要とすることもない。
また、プラズマベースの蒸着動作において、カーテンガスは、概念上、薄膜蒸着を引き起こす反応を活性化するために用いられるプラズマを支持するために用いられるプラズマフィードガスとは異なるものとして見なされてよいことに注意されたい。いくつかの実施形態において、プラズマフィードガスは、適切な場合、異なる処理ステーションの近くから未吸着の薄膜前駆体(反応物質)を除去するためのパージガスとしても用いられることに注意されたい。したがって、カーテンガスは、蒸着サイクルのすべての段階中に処理ステーションへ連続的に流されうる(通例は、流される)が、プラズマフィードガスは、通例、特定の処理ステーションで動作が実行されている時にプラズマ活性化(および、パージガスとしても用いられる場合のパージ動作)中に、処理チャンバ(より具体的には、処理ステーション)のみに流される。
いくつかの実施形態において、マルチステーション薄膜蒸着装置は、各処理ステーションとそれぞれ関連する複数のシャンデリア型シャワーヘッドを用いてよい。かかるシャンデリアシャワーヘッドは、一般に、ヘッド部分およびステム部分を備えており、ヘッド部分の底面は、薄膜前駆体、プラズマフィードガス、および、場合によっては異なるパージガスを各処理ステーションの近傍で処理チャンバに流すための開口部を提供する。シャワーヘッドのステム部分は、処理チャンバ内の各処理ステーションの上方にヘッド部分を支持/ぶら下げ、さらに、ヘッド部分の開口部に、薄膜前駆体(および/またはその他の反応物質)、プラズマフィードガスなどを流すための流体通路/接続を提供するために存在する。一般に、シャンデリア型シャワーヘッド設計は、基板表面に対する薄膜前駆体の望ましい空間的に均一な分配を可能にし、点流出源として機能するいくつかのノズルだけで達成されるのと比較して改善されることがわかる。
さらに、かかるシャワーヘッドは、蒸着反応(ALDまたはPECVD動作のいずれにおけるものでも)を達成するために用いられる各処理ステーションでプラズマを生成(および維持)する役割も果たしうる。特に、適切な電位の印加後に、各シャンデリアシャワーヘッドは、プラズマ生成のための2つの電極の一方として機能してよく、他方の電極は、基板ホルダ(例えば、ペデスタル)であり、それらの間に電位が印加される。シャンデリア設計は、基板表面の近くにシャワーヘッドを配置することを可能にし、それにより、基板の非常に近くで効率的にプラズマを生成すると共に、基板の近くで薄膜前駆体(反応物質)を空間的に均一に分配することを可能にする。このような(シャンデリア型シャワーヘッドを用いた)プラズマ生成は、(例えば、チャンバ上壁に埋め込みで取り付けられたシャワーヘッドを用いるのに比べて)より高い電力を供給されたプラズマの利用を可能にする、プラズマと接地チャンバ壁との間のより大きい空間的分離を提供しうることにも注意されたい。さらに、上述のように、プラズマフィードガスがパージガスとしても用いられる場合、基板の近傍に導入することで、未吸着薄膜前駆体および/または反応副生成物の効率的かつ効果的なパージが可能になる。
また、シャンデリア型シャワーヘッドの利用は、プラズマフィードガスを基板表面の近くに導入することを可能にするが、カーテンガスは、シャンデリアシャワーヘッドの各々のヘッド部分の背後の流入点から、特に、いくつかの実施形態においては、シャワーヘッドのステム部分を取り巻くシャワーヘッドカラーの開口部を通して、処理チャンバに導入されてよい。さらに、特定のかかる実施形態において、カーテンガスは、これらの開口部から、基板の平面および/またはヘッド部分の底面と実質的に平行な方向に、したがって、一般に最初は、シャワーヘッドのヘッドの底面から流出する流れと垂直な方向に、流されてよい。このカーテンガスの流れは、カーテンガス流が下向きに方向転換してシャワーヘッドのヘッドからのプラズマフィードガスおよび/またはパージガスの流れと平行になるうる場所であるシャワーヘッドの背面(シャワーヘッドのヘッド部分の上面)の端にカーテンガスが到達するまでは、横向きであり続けうる。かかる流れのパターンが、図5Aの単一処理チャンバに関して図示されているため、処理チャンバ502、シャワーヘッド506、シャワーヘッドカラー530、ならびに、それぞれカーテンガスおよびプラズマフィード(および反応前駆体)の流路510および520を参照する。図5Aに示す構成では、上述の記載と一致して、プラズマフィードガス源512からのプラズマフィードガスが、シャワーヘッド506のヘッド部分の底面を通してチャンバ502内に流され、カーテンガス源522からのカーテンガスが、シャワーヘッド506のステム部分を取り巻くシャワーヘッドカラー530の開口部を通してチャンバ530内に流される。したがって、この場合のカーテンガス(単一ステーションの文脈でも、説明的な表現「カーテンガス」が保持されることに注意)は、シャワーヘッド506の背面の中心軸の近くで処理チャンバ502に導入され、ペデスタル508上に保持された基板512の平面と実質的に平行な(かつ、シャワーヘッド506のヘッド部分の底面と実質的に平行な)流れで導入される。次いで、このように導入されたカーテンガスは、(図5Aの矢印で概略的に示すように)クロスプレート503の近くでチャンバから出る前に、シャワーヘッドの周りでチャンバ側壁に沿って下に流れる。
カーテンガス流を用いた処理ステーション間の容量の隔離が図5Bに示されており、図5Bは、処理装置550のマルチステーション処理チャンバ503内の一対の処理ステーション511および512(図5Bの破線を参照)を示す。ガス流の方向を示す矢印によって図に示すように、(単一ステーションの文脈で)図5Aに示したカーテンガス流パターンに加えて、ここでは、カーテンガス520は、互いに容量を隔離された処理ステーション511および512の間にも流れる。この図は、一対の処理ステーションの断面を示しているので、2ステーション処理チャンバの実施形態、または、図4Bに概略的に示すような4ステーション処理チャンバの断面を示しうる。いずれにせよ、図の一対の各処理ステーションは、図5Aに示した単一処理ステーションと同様であるため、図5Aに伴った記載(および符号)は、適切であれば図5Bにも適用され、最も重要な違いは、図5Bでは一対の処理ステーション511および512があり、この一対は、カーテンガス流520によって互いに容量を隔離/分離されている点である。
ここで、図4Aに示したシングル処理ステーション蒸着装置の様々なさらなる態様について説明する;ここで説明するこれらのさらなる態様の多くは、マルチステーション/チャンバ蒸着装置の文脈でも適用されることが明らかである。図に示すように、処理ステーション400は、分配シャワーヘッド406に処理ガスを供給するための反応物質供給システム401と流体連通している。反応物質供給システム401は、シャワーヘッド406への供給に向けて処理ガスを混合および/または調整するための混合容器404を備える。1または複数の混合容器入口バルブ420が、混合容器404への処理ガスの導入を制御しうる。いくつかの反応物質が、気化およびその後の処理チャンバ402への供給の前に、液体の形態で収容されてよい。図4Aの実施形態は、混合容器404に供給される液体反応物質を気化させるための気化ポイント403を備える。いくつかの実施形態において、気化ポイント403は、加熱された液体注入モジュールであってよい。いくつかの実施形態において、気化ポイント403は、加熱された気化器であってよい。かかるモジュール/気化器から生み出された飽和反応物質蒸気は、適切な制御が行われない場合(例えば、液体反応物質を気化/霧化する際にヘリウムを用いなかった場合)、下流の供給配管内で凝結しうる。凝結した反応物質に相性の悪いガスを暴露させると、小粒子が発生しうる。これらの小粒子は、配管を詰まらせる、バルブ動作を妨げる、基板を汚染するなどの可能性がある。これらの課題に対処するためのいくつかのアプローチは、残留した反応物質を除去するために、供給配管を一掃および/または排気することを含む。しかしながら、供給配管を一掃することは、処理ステーションのサイクル時間を長くして、処理ステーションのスループットを低下させうる。したがって、いくつかの実施形態において、気化ポイント403の下流の供給配管が、熱処理されてもよい。いくつかの例において、混合容器404が熱処理されてもよい。非限定的な一例において、気化ポイント403の下流の配管は、約100℃から混合容器404で約150℃まで増加してゆく温度プロファイルを有する。
いくつかの実施形態において、気化ポイント403は、加熱された液体注入モジュール(略して「液体インジェクタ」)であってよい。かかる液体インジェクタは、混合容器の上流の搬送ガス流に液体反応物質のパルスを注入しうる。1つのシナリオにおいて、液体インジェクタは、高圧から低圧へ液体を流す(flash)ことによって反応物質を気化させてよい。別のシナリオにおいて、液体インジェクタは、分散した微液滴に液体を霧化してよく、その後、微液滴は、加熱された供給菅内で気化される。小さい液滴は、大きい液滴よりも速く気化して、液体注入と完全な気化との間の遅延を低減しうることがわかる。より迅速に気化すれば、気化ポイント803から下流の配管の長さを短くすることができる。1つのシナリオにおいて、液体インジェクタは、混合容器804に直接取り付けられてよい。別のシナリオにおいて、液体インジェクタは、シャワーヘッド106に直接取り付けられてもよい。
いくつかの実施形態において、気化ポイント403の上流に、液体流コントローラ(LFC)が、気化および処理チャンバ402への供給に向けて液体の質量流量を制御するために提供されてよい。例えば、LFCは、LFCの下流に配置された熱マスフローメータ(MFM)を含みうる。次いで、LFCのプランジャバルブが、MFMと電気通信して比例積分微分(PID)コントローラによって提供されたフィードバック制御信号に応答して調節されてよい。しかしながら、フィードバック制御を用いて液体流を安定化するには、1秒以上かかりうる。これは、液体反応物質を供給する時間を延長しうる。したがって、いくつかの実施形態において、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態において、LFCは、LFCの検知菅およびPIDコントローラを無効にすることによって、フィードバック制御モードから直接制御モードに動的に切り替えられてよい。
シャワーヘッド406は、処理ガスおよび/または反応物質(例えば、薄膜前駆体)を処理ステーションの基板412に分配し、その流れは、シャワーヘッドの上流の1または複数のバルブ(例えば、バルブ420、420A、405)によって制御される。図4Aに示した実施形態において、基板412は、シャワーヘッド406の下方に配置され、ペデスタル408上に図示されている。シャワーヘッド406は、任意の適切な形状を有してよく、基板412へ処理ガスを分配するための任意の適切な数および配列のポートを有してよいことがわかる。
いくつかの実施形態において、微小空間407が、シャワーヘッド406の下方に配置されている。処理チャンバの空間全体ではなく基板付近の処理ステーション内の微小空間でALD処理を実行することで、反応物質暴露時間および一掃時間を短縮する、処理条件(例えば、圧力、温度など)を変更するための時間を短縮する、処理ステーションロボットの処理ガスへの暴露を制限するなど、を実現できる。微小空間のサイズの例は、0.1リットルから2リットルまでの間の体積を含むが、これに限定されない。
いくつかの実施形態において、ペデスタル408は、微小空間407に基板412を暴露させるため、および/または、微小空間407の体積を変化させるために、上下されてよい。例えば、基板移送段階中に、ペデスタル408は、ペデスタル408上に基板412をロードできるように下げられてよい。基板上への蒸着処理段階中に、ペデスタル408は、微小空間407内に基板412を配置するために上げられてよい。いくつかの実施形態において、微小空間407は、基板412とペデスタル408の一部とを完全に取り囲んで、蒸着処理中にフローインピーダンスの高い領域を作りうる。
任意選択的に、ペデスタル408は、微小空間407内の処理圧力、反応物質濃度などを調節するために、蒸着処理中の一部の間に下げられてよい、および/または、上げられてよい。処理チャンバ本体402が処理中にベース圧力のままである1つのシナリオにおいて、ペデスタル408を下げることにより、微小空間407の排気を可能にしてよい。処理チャンバ空間に対する微小空間の比の例は、1:500から1:10の間の体積比を含むが、それに限定されない。いくつかの実施形態において、ペデスタルの高さは、適切なシステムコントローラによってプログラム的に調節されてよいことがわかる。別のシナリオにおいて、ペデスタル408の高さの調節は、例えばALDまたはCVD処理に含まれるプラズマ活性化および/または処理サイクル中に、プラズマ密度を変化させることを可能にしうる。蒸着処理段階の最後に、ペデスタル408は、ペデスタル408から基板412を回収できるように、別の基板移送段階中に下げられてよい。
本明細書に記載の微小空間の変更の例では、高さ調節可能なペデスタルに言及しているが、いくつかの実施形態において、微小空間407の体積を変化させるために、シャワーヘッド406の位置をペデスタル408に対して調節してもよいことがわかる。さらに、ペデスタル408および/またはシャワーヘッド406の垂直位置は、本開示の範囲内の任意の適切なメカニズムによって変更されてよいことがわかる。いくつかの実施形態において、ペデスタル408は、基板412の向きを回転させるための回転軸を備えてよい。いくつかの実施形態において、これらの調節の例の内の1または複数は、先述の動作の全部または一部を実行するためのマシン読み取り可能な命令を有する1または複数の適切なシステムコントローラによってプログラム的に実行されてよいことがわかる。
さらに、図4Aに示すように、シャワーヘッド406およびペデスタル408は、プラズマに電力供給するために、RF電源414および整合回路網416と電気的に通信する。いくつかの実施形態において、プラズマエネルギは、処理ステーション圧力、ガス濃度、RF源電力、RF源周波数、および、プラズマ電力パルスタイミングの内の1または複数を制御することにより、(例えば、適切なマシン読み取り可能な命令を有するシステムコントローラを用いて)制御されてよい。例えば、RF電源414および整合回路網416は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適切な電力で動作されてよい。適切な電力の例については上述した。同様に、RF電源414は、任意の適切な周波数のRF電力を供給してよい。いくつかの実施形態において、RF電源414は、高周波RF電源および低周波RF電源を互いに独立して制御するよう構成されてよい。低周波RF周波数の例は、50kHzから500kHzの間の周波数を含みうるが、これに限定されない。高周波RF周波数の例は、1.8MHzから2.45GHzの間の周波数を含みうるが、これに限定されない。任意の適切なパラメータが、表面反応にプラズマエネルギを提供するために離散的または連続的に調整されてよいことがわかる。非限定的な一例において、プラズマ電力は、連続的に電力供給されたプラズマと比べて基板表面とのイオン衝撃を削減するために、間欠的にパルス化されてよい。
いくつかの実施形態において、プラズマは、1または複数のプラズマモニタによってその場で監視されてよい。1つのシナリオでは、プラズマ電力が、1または複数の電圧、電流センサ(例えば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度および/または処理ガス濃度が、1または複数の発光分光法(OES)センサによって測定されてもよい。いくつかの実施形態において、1または複数のプラズマパラメータが、かかるその場プラズマモニタからの測定値に基づいてプログラム的に調整されてよい。例えば、OESセンサが、プラズマ電力のプログラム制御を提供するためにフィードバックループで用いられてよい。いくつかの実施形態において、他のモニタが、プラズマおよびその他の処理特性を監視するために用いられてもよいことがわかる。かかるモニタは、赤外線(IR)モニタ、音声モニタ、および、圧力変換器を含みうるが、これらに限定されない。
いくつかの実施形態において、プラズマは、入力/出力制御(IOC)シーケンシング命令によって制御されてよい。一例において、プラズマ活性化段階のプラズマ条件を設定するための命令は、処理レシピの対応するプラズマ活性化レシピ段階に含まれてよい。一部の例では、処理レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。いくつかの実施形態において、1または複数のプラズマパラメータを設定するための命令が、プラズマ処理段階の前のレシピ段階に含まれてよい。例えば、第1のレシピ段階が、不活性ガス(例えば、ヘリウム)および/または反応ガスの流量を設定するための命令と、プラズマ発生器を電力設定点に設定するための命令と、第1のレシピ段階のための時間遅延命令とを含んでよい。次の第2のレシピ段階が、プラズマ発生器を有効にするための命令と、第2のレシピ段階のための時間遅延命令とを含んでよい。第3のレシピ段階が、プラズマ発生器を無効にするための命令と、第3のレシピ段階のための時間遅延命令とを含んでよい。これらのレシピ段階は、本開示の範囲内で、任意の適切な方法でさらに分割および/または反復されてもよいことがわかる。
一部の蒸着処理において、プラズマ衝突は、数秒以上のオーダーの持続期間にわたって続く。本明細書に記載の特定の実施例では、はるかに短いプラズマ衝突が、処理サイクル中に適用されてもよい。これらは、50ミリ秒から1秒のオーダーであってよい(具体的な例において、0.25秒)。かかる短いRFプラズマ衝突は、プラズマの迅速な安定化を必要とする。これを達成するために、プラズマ発生器は、周波数が変動することが許容された状態でインピーダンス整合が特定の電圧に予め設定されるように構成されてよい。従来、高周波プラズマは、約13.56MHzのRF周波数で生成される。本明細書に記載の様々な実施形態において、周波数は、この標準値とは異なる値に変動することを許容される。インピーダンス整合を所定の電圧に固定しつつ周波数の変動を許すことにより、プラズマは、はるかに迅速に安定化することが可能であり、この結果は、ALDサイクルに関連する非常に短いプラズマ衝突を利用する場合に重要でありうる。
いくつかの実施形態において、ペデスタル408は、ヒータ410を用いて温度制御されてよい。さらに、いくつかの実施形態において、処理装置400のための圧力制御が、バタフライバルブ418など1または複数のバルブ式真空源によって提供されてよい。図4の実施形態に示すように、バタフライバルブ418は、下流の真空ポンプ(図示せず)によって提供された真空をスロットル調整する。しかしながら、いくつかの実施形態において、処理装置400の圧力制御は、処理チャンバ402に導入される1または複数のガスの流量を変化させることによって調節されてもよい。いくつかの実施形態において、1または複数のバルブ式真空源(バタフライバルブ418など)は、適切なALD動作段階中に処理ステーションの周りの空間から薄膜前駆体を除去するために用いられてよい。
ここで図4Bに戻ると、上述のように、1または複数の処理ステーションが、マルチステーション基板処理ツールに含まれてよい。図4Bは、共通の低圧処理チャンバ445内に複数の処理ステーション441、442、443、444を含むマルチステーション処理ツール440の一例を概略的に示す。低圧環境内に各ステーションを維持することにより、薄膜蒸着処理の合間の真空破壊によって引き起こされる欠陥を回避できる。
図4Bに示すように、マルチステーション処理ツール440は、基板ロードポート460と、ポッド448からロードされたカセットから、大気ポート449を通して、処理チャンバ445内、最終的には処理ステーション上に、基板を移動させるよう構成された基板ハンドラロボット446と、を有する。具体的には、この例において、基板ハンドラロボット446は、処理ステーション441および442に基板をロードし、基板移送装置(この例では、基板カルーセル490)が、様々な処理ステーション441、442、443、および、444の間で基板を移送する。図4Bに示した実施形態において、基板ロード装置は、基板操作のための2つのアームを有する基板ハンドラロボット446として図示されており、したがって、図に示すように、ステーション441および442の両方に(おそらくは同時に、または、おそらくは順次)基板をロードできる。次いで、ステーション441および442へのロード後、基板移送装置(図4Bに示したカルーセル490)は、180°回転(基板の平面と実質的に直交し(ページから出て)、基板から実質的に等距離にある中心軸に関して回転)し、ステーション441および442からステーション443および444に2つの基板を移送することができる。この時点で、ハンドラロボット446は、ステーション441および442に2つの新しい基板をロードして、ロード処理を完了できる。アンロードするには、4つのウエハの複数組が処理される場合に、処理ロボット446による2つの基板の各アンロードに続いて、移送カルーセル490を180°回転させる前に2つの新しい基板をロードすることを除けば、上記の工程を逆転させればよい。同様に、1ステーション(例えば、441)だけに基板を配置するよう構成された1アームのハンドラロボットを用いると、すべての4ステーションに基板をロードするために、カルーセル490の4回の90°回転を伴う4工程のロード処理が行われる。
図4Bに示した処理チャンバ445は、4つの処理ステーション441、442、443、および、444を提供する。各ステーションは、加熱されたペデスタル(図4Aに示した処理ステーションについては408と図示されている)と、ガスライン流入口と、を有する。いくつかの実施形態において、各処理ステーションは、異なる目的すなわち複数の目的を有してもよいことがわかる。例えば、いくつかの実施形態において、1つの処理ステーションが、ALD処理モードおよびCVD/PECVD処理モードの間で切り替え可能であってもよい。追加的または代替的に、いくつかの実施例において、処理チャンバ445は、1または複数のマッチドペアのALD/CVD/PECVD処理ステーションを備えてもよい。図の処理チャンバは4つの処理ステーションを備えるが、本開示に従った処理チャンバは、任意の適切な数のステーションを有してよいことがわかる。例えば、いくつかの実施形態において、処理チャンバは、1、2、3、4、5、6、7、8、9、10、11、12、13、14、15、16個、または、それより多い処理ステーションを備えてよい(もしくは、1組の実施形態が、上記の値の任意のペアによって規定される範囲内の数の処理ステーションを反応チャンバごとに有する(例えば、反応チャンバごとに2から6個の処理ステーション、反応チャンバごとに4から8個の処理ステーション、または、反応チャンバごとに8から16個の処理ステーションを有するなど)ように記載されてもよい)。
上述のように、図4Bは、処理チャンバ445内の処理ステーション441、442、443、および、444の間で基板を移送するための基板移送装置490の一実施形態を示す。任意の適切な基板移送装置が用いられてよいことがわかる。非限定的な例は、ウエハカルーセルおよび基板ハンドラロボットを含む。
エッチング処理装置の説明
本明細書に開示するフェーズドアレイのマイクロ波アンテナならびにマイクロ波方向付けおよび集束技術は、エッチング処理ひいてはエッチング処理装置で用いられてもよい。半導体基板エッチング動作を達成するための適切な装置は、(後述するように)マルチステーション基板処理ツールに含まれる1または複数の処理ステーション/モジュールと、本明細書に記載の技術および動作に従って装置の処理動作を制御するためのマシン読み取り可能な命令を有する(または、命令にアクセスできる)(後述するような)コントローラと、を備えてよい。
したがって、以下に記載する様々な容量結合プラズマ(CCP)および誘導結合プラズマ(ICP)リアクタの文脈でより具体的に説明するように、適切な基板処理装置は、一般に、処理チャンバ、プラズマ発生器、処理チャンバにガスを流すように構成された1または複数のガス流入口、真空ポンプ、真空ポンプへのバルブ制御された導管、マイクロ波アンテナのフェーズドアレイ(PAMA)、および、これらの構成要素の動作を制御するコントローラを備えてよい。いくつかの実施形態において、かかる装置は、処理チャンバ内で形成されたプラズマの放射強度を測定するための光学検出器をさらに備えてもよく、上述の装置によって具体化された処理モジュールが、この装置を用いて半導体基板上にエッチングされたフィーチャのエッチングプロファイルを測定するための測定ツールにアクセスできてよい。以下の記載では、適切なエッチングチャンバについてより詳細に説明する。
エッチング動作で利用される容量結合プラズマリアクタ
容量結合プラズマ(CCP)リアクタが、2009年2月9日に米国特許出願第12/367,754号として出願した米国特許第8,552,334号「ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON−CONTACT PARTICLE SEAL」、および、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS」に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。
例えば、図6A〜図6Cは、ギャップを調整可能な容量結合閉じ込めRFプラズマリアクタ600の一実施形態を示す図である。図に示すように、真空処理チャンバ602は、下側電極606を収容する内部空間を取り囲むチャンバハウジング604を備える。チャンバ602の上側部分には、下側電極606から垂直に離間されて、上側電極608が設けられている。(プラズマ生成に用いるように構成された)上側電極608および下側電極606の平面は、実質的に、平行であり、電極間の垂直方向に直交する。上側電極608および下側電極606は、円形であり、1つの垂直軸に関して同軸であることが好ましい。上側電極608の下面は、下側電極606の上面と対向している。離間されて対向している電極の表面は、それらの間に調整可能なギャップ610を規定する。プラズマ生成中、下側電極606には、RF電源(整合)620によってRF電力が供給される。RF電力は、RF供給管路622、RFストラップ624、および、RF電力部材626を通して、下側電極606に供給される。より均一なRF場を下側電極606に供給するために、接地シールド636が、RF電力部材626を囲んでよい。米国特許公開第2008/0171444号(すべての目的のためにその全体が本明細書に参照によって組み込まれる)に記載されているように、ウエハが、ウエハポート682を通して挿入され、処理に向けてギャップ610において下側電極606上に支持され、処理ガスが、ギャップ610に供給されて、RF電力によってプラズマ状態に励起される。上側電極608は、電力供給されてもよいし、接地されてもよい。
図6A〜図6Cに示す実施形態では、下側電極606は、下側電極支持プレート616上に支持されている。下側電極606と下側電極支持プレート616との間に挿入された絶縁リング614は、下側電極606を支持プレート616から絶縁する。RFバイアスハウジング630は、RFバイアスハウジングボウル632上に下側電極606を支持する。ボウル632は、RFバイアスハウジング630のアーム634によって、チャンバ壁プレート618の開口部を通して管路支持プレート638に結合されている。好ましい実施形態では、RFバイアスハウジングボウル632およびRFバイアスハウジングアーム634は、1つの構成要素として一体的に形成されるが、アーム634およびボウル632は、ボルトなどで結合された2つの別個の構成要素であってもよい。
RFバイアスハウジングアーム634は、RF電力と、気体冷却剤、液体冷却剤、RFエネルギ、リフトピン制御のためのケーブル、電気的な監視および作動の信号などの設備とを、真空チャンバ602の外側から真空チャンバ602の内側の下側電極606の背面の空間に通すための1または複数の空洞の通路を備える。RF供給管路622は、RFバイアスハウジングアーム634から絶縁されており、RFバイアスハウジングアーム634は、RF電力のRF電源620への帰還路を提供する。設備管路640は、設備要素のための通路を提供する。設備要素のさらなる詳細は、米国特許第5,948,704号および米国特許出願第2008/0171444号(共に、すべての目的のためのその全体が参照によって本明細書に組み込まれる)に記載されているため、記載を簡単にするため、本明細書では省略する。ギャップ610は、閉じこめリングアセンブリ(図示せず)によって囲まれていることが好ましく、その詳細は、米国特許公開第2007/0284045号(すべての目的のためにその全体が参照により本明細書に組み込まれる)に記載されている。
管路支持プレート638は、作動機構642に取り付けられている。作動機構の詳細は、米国特許公開第2008/0171444号(すべての目的のためにその全体が参照により本明細書に組み込まれる)に記載されている。作動機構642(サーボモータ、ステッピングモータなど)は、例えば、ネジ歯車646(ボールネジおよびボールネジを回転させるためのモータなど)によって、垂直リニアベアリング644に取り付けられる。ギャップ610のサイズを調整するための動作の間、作動機構642は、垂直リニアベアリング644に沿って移動する。図6Aは、作動機構642がリニアベアリング644の高位置にある結果、小さいギャップ610aが生じる場合の構成を示す。図6Bは、作動機構642がリニアベアリング644の中間位置にある場合の構成を示す。図に示すように、下側電極606、RFバイアスハウジング630、管路支持プレート638、RF電源620はすべて、チャンバハウジング604および上側電極608に対して、より低く移動されているため、結果として、中間サイズのギャップ610bが生じる。
図6Cは、作動機構642がリニアベアリングの低位置にある場合の大きいギャップ610cを示す。上側電極608および下側電極606は、ギャップ調整の間も同軸を維持し、ギャップの両側にある上側電極および下側電極の対向面は、平行を維持することが好ましい。
この実施形態によれば、複数工程のエッチング処理中のCCPチャンバ602内の下側電極606および上側電極608の間のギャップ610を、例えば、300mmウエハまたは平面パネルディスプレイなど、大直径の基板にわたって均一なエッチングを維持するために、調整することが可能である。特に、この実施形態は、下側電極606および上側電極608の間に調整可能なギャップを提供するのに必要な線形の動きを容易にするための機械的な構成に関する。
図6Aは、近位端で管路支持プレート638に対して、そして、遠位端でチャンバ壁プレート618の段付きフランジ628に対してシールされた軸直角変位ベローズ650を示す。段付きフランジの内径は、RFバイアスハウジングアーム634を通すチャンバ壁プレート618の開口部612を規定する。軸直角変位ベローズ650は、真空シールを提供すると共に、RFバイアスハウジング630、管路支持プレート638、および、作動機構642の垂直移動を許容する。RFバイアスハウジング630、管路支持プレート638、および、作動機構642は、片持梁アセンブリと呼んでもよい。好ましくは、RF電源620は、片持梁アセンブリと共に移動し、管路支持プレート638に取り付けられてよい。図6Bは、片持梁アセンブリが中間位置にある時に中立位置にあるベローズ650を示す。図6Cは、片持梁アセンブリが低位置にある時に軸直角方向に変位したベローズ650を示す。
ラビリンスシール648が、ベローズ650とプラズマ処理チャンバハウジング604の内部との間に粒子バリアを提供する。チャンバハウジング604の内壁のチャンバ壁プレート618に、固定シールド656を固定することで、ラビリンス溝660(スロット)が提供されており、そのラビリンス溝660内で、移動可能なシールドプレート658が、片持梁アセンブリの垂直の動きに対応して垂直移動する。移動可能なシールドプレート658の外側部は、下側電極606がどの垂直位置にあっても、スロット内に残る。
図の実施形態において、ラビリンスシール648は、チャンバ壁プレート618の開口部612においてチャンバ壁プレート618の内面に取り付けられてラビリンス溝660を規定する固定シールド656を備える。移動可能なシールドプレート658は、RFバイアスハウジングのアーム634がチャンバ壁プレート618の開口部612を通過する位置で、アーム634から放射状に伸びるように取り付けられる。移動可能なシールドプレート658は、ラビリンス溝660内に伸びると共に、第1ギャップだけ固定シールド656から離間され、第2ギャップだけチャンバ壁プレート618の内壁から離間されていることにより、片持梁アセンブリが垂直に移動することを許容する。ラビリンスシール648は、ベローズ650から砕けた粒子が、真空チャンバの内部に進入することを防ぎ、処理ガスプラズマからのラジカルがベローズ650に移動すると堆積物を形成して後に砕ける場合があることから、その移動を防止する。
図6Aは、片持梁アセンブリが高位置にある(小さいギャップ610aである)時に、移動可能なシールドプレート658が、RFバイアスハウジングアーム634の上方のラビリンス溝660内で高位置にある様子を示す。図6Cは、片持梁アセンブリが低位置にある(大きいギャップ610cである)時に、移動可能なシールドプレート658が、RFバイアスハウジングアーム634の上方のラビリンス溝660内で低位置にある様子を示す。図6Bは、片持梁アセンブリが中間位置にある(中間のギャップ610bである)時に、移動可能なシールドプレート658が、ラビリンス溝660内で中立すなわち中間位置にある様子を示す。ラビリンスシール648は、RFバイアスハウジングアーム634に関して対称であることが図示されているが、別の実施形態では、RFバイアスアーム634に関して非対称であってもよい。
エッチング動作で利用される誘導結合プラズマリアクタ
本明細書に記載のマイクロ波アンテナフェーズドアレイ(PAMA)およびマイクロ波集束技術は、上述のように基板表面付近の局所的なプラズマ密度を調整および/または制御するために、誘導結合プラズマ(ICP)リアクタで用いられてもよい。ICPリアクタのさらなる説明については、2013年12月10日出願の米国特許公開第2014/0170853号「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」、および、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS」に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。
例えば、図7は、本明細書の特定の実施形態を実施するのに適した誘導結合プラズマエッチング装置700の断面を概略的に示しており、エッチング装置の一例は、カリフォルニア州フレモントラムリサーチ社製のKiyo(商標)リアクタである。誘導結合プラズマエッチング装置700は、チャンバ壁701および窓711によって構造的に規定された全面エッチングチャンバを備える。チャンバ壁701は、ステンレス鋼またはアルミニウムから製造されてよい。窓711は、石英、セラミック、または、その他の誘電材料から製造されてよい。任意選択的な内部プラズマグリッド750が、全面エッチングチャンバを上側サブチャンバ702および下側サブチャンバ703に分割する。ほとんどの実施形態において、プラズマグリッド750を取り除くことにより、サブチャンバ702および703でできたチャンバ空間を利用することができる。チャック717が、下側サブチャンバ703内で底部内面付近に配置されている。チャック717は、エッチング処理が実行される半導体ウエハ719を受けて保持するように構成されている。チャック717は、ウエハの存在時にウエハ719を支持するための静電チャックでありうる。いくつかの実施形態では、エッジリング(図示せず)が、チャック717を取り囲んでおり、ウエハがチャック717上に存在する時にウエハ719の上面とほぼ同一平面上にある上面を有する。チャック717は、ウエハをチャックおよびデチャックするための静電電極も備える。フィルタおよびDCクランプ電源(図示せず)が、そのために提供されてよい。ウエハ719をチャック717から持ち上げるための他の制御システムも準備されうる。チャック717は、RF電源723を用いて帯電されうる。RF電源723は、接続727を通して整合回路721に接続される。整合回路721は、接続725を通してチャック717に接続される。このように、RF電源723が、チャック717に接続されている。
プラズマ生成のための要素には、窓711の上方に配置されたコイル733が含まれる。コイル733は、導電材料から製造され、少なくとも1つの完全な巻きを含む。図7に示すコイル733の例は、3回の巻きを含む。コイル733の断面が記号で示されており、「X」のコイルは、紙面の表から裏に向かって回転して伸び、「●」のコイルは、紙面の裏から表に向かって回転して伸びている。プラズマ生成のための要素には、コイル733にRF電力を供給するように構成されたRF電源741も含まれる。一般に、RF電源741は、接続745を通して整合回路739に接続される。整合回路739は、接続743を通してコイル733に接続される。このように、RF電源741が、コイル733に接続されている。任意選択的なファラデーシールド749が、コイル733と窓711との間に配置されている。ファラデーシールド749は、コイル733に対して離間された関係に維持される。ファラデーシールド749は、窓711の直上に配置される。コイル733、ファラデーシールド749、および、窓711は、各々、互いに実質的に水平になるように構成される。ファラデーシールドは、金属またはその他の種がプラズマチャンバの誘電体窓上に蒸着することを防ぎうる。
処理ガス(例えば、ヘリウム、ネオン、エッチャントなど)が、上側チャンバ内に配置された1または複数の主要ガス流入口760および/または1または複数の側方ガス流入口770を通して処理チャンバに流されてよい。同じように、明示されていないが、同様のガス流入口が、図6A〜図6Cに示した容量結合プラズマ処理チャンバに処理ガスを供給するために用いられてよい。真空ポンプ(例えば、1または2段の機械的乾式ポンプおよび/またはターボ分子ポンプ)740が、処理チャンバ724から処理ガスを引き出すため、および、処理チャンバ700内の圧力を維持するために用いられてよい。バルブ制御された導管が、真空ポンプを処理チャンバに流体接続して、真空ポンプによって提供される真空環境の印加を選択的に制御するために用いられてよい。これは、動作プラズマ処理中、スロットルバルブ(図示せず)または振り子バルブ(図示せず)などの閉ループ制御された流量制限装置を用いて行われてよい。同様に、真空ポンプ、および、図6A〜図6Cの容量結合プラズマ処理チャンバへのバルブ制御された流体接続が、用いられてもよい。
装置の動作中、1または複数の処理ガスが、ガス流入口760および/または770を通して供給されてよい。特定の実施形態において、処理ガスは、主要ガス流入口760を通してのみ、または、側方ガス流入口770を通してのみ供給されてよい。いくつかの場合、図に示したガス流入口は、例えば、より複雑なガス流入口、1または複数のシャワーヘッドと置き換えられてもよい。ファラデーシールド749および/または任意選択的なグリッド750は、チャンバへの処理ガスの供給を可能にする内部チャネルおよび孔を備えてよい。ファラデーシールド749および任意選択的なグリッド750の一方または両方が、処理ガスの供給のためのシャワーヘッドとして機能してよい。
高周波電力が、RF電源741からコイル733へ供給されることで、RF電流がコイル733を流れる。コイル733を流れるRF電流は、コイル733の周りに電磁場を生成する。電磁場は、上側サブチャンバ702内で誘導電流を発生させる。生成された様々なイオンおよびラジカルとウエハ719との物理的および化学的な相互作用が、ウエハのフィーチャを選択的にエッチングする。
上側サブチャンバ702および下側サブチャンバ703の両方が存在するようにプラズマグリッドが利用される場合、誘導電流は、上側サブチャンバ702に存在するガスに作用して、上側サブチャンバ702内で電子イオンプラズマを生成する。任意選択的な内部プラズマグリッド750は、下側サブチャンバ703内のホットエレクトロンの量を制限する。いくつかの実施形態において、装置は、下側サブチャンバ703に存在するプラズマがイオン−イオンプラズマになるように設計および動作される。
上側の電子−イオンプラズマおよび下側のイオン−イオンプラズマは両方とも、正イオンおよび負イオンを含むが、イオン−イオンプラズマの方が、正イオンに対する負イオンの比が大きい。揮発性のエッチング副生成物が、ポート722を通して下側サブチャンバ703から除去されてよい。
本明細書に開示されたチャック717は、約10℃〜約250℃の範囲の高温で動作されてよい。温度は、エッチング処理動作および個々のレシピに依存する。いくつかの実施形態において、チャンバ701は、約1mTorr〜約95mTorrの範囲の圧力で動作してもよい。特定の実施形態において、圧力は、上述のようにより高くてもよい。
チャンバ701は、クリーンルームまたは製造施設に設置される時に、設備(図示せず)に接続されてよい。設備は、処理ガス、真空、温度制御、および、環境粒子制御を提供する配管を備える。これらの設備は、対象となる製造施設に設置される時に、チャンバ701に接続される。さらに、チャンバ701は、典型的なオートメーションを用いてロボット技術により半導体ウエハをチャンバ701の内外に移送することを可能にする移送チャンバに接続されてよい。
いくつかの実施形態において、1または複数のフィジカルコントローラまたはロジックコントローラを備えうるシステムコントローラ730(例えば、後述するようなコントローラ)が、上述のように局所的なプラズマ密度(および反応速度)を調整および/または制御するための1または複数の操作可能なマイクロ波放射ビームを提供するために、PAMAの各アンテナから放射されたマイクロ波放射の位相および/または振幅および/または方向を制御するなど、処理ステーションに関連する1または複数のマイクロ波アンテナフェーズドアレイの動作を含め、エッチングチャンバの動作の一部または全部を制御してよい。システムコントローラ730は、1または複数のメモリデバイスと、1または複数のプロセッサとを備えてよい。
統合測定ツールを有するクラスタツール
図8は、真空移送モジュール838(VTM:vacuum transfer module)に接続された様々なモジュールを備えた半導体処理クラスタツール800の図である。複数の保管設備および処理モジュールの間でウエハを「移送する」移送モジュールの配置は、「クラスタツール構造」システムと称されることがある。エアロック830(ロードロックまたは移送モジュールとしても知られる)が、4つの処理モジュール820a〜820dと共にVTM838内に図示されており、処理モジュールは、様々な製造処理を実行するために個別に最適化されうる。
例えば、処理モジュール820a〜820dは、基板エッチング(ALE処理による一次元または二次元のパターンのエッチングなど)、蒸着(原子層蒸着(ALD)処理による共形膜の蒸着など)、イオン注入、ウエハ洗浄、ウエハ平坦化、スパッタリング、および/または、その他の半導体処理を実行するために実装されてよい。したがって、例えば、処理モジュールは、(上述の)誘導結合プラズマリアクタまたは(上述の容量結合プラズマリアクタであってよい。
いくつかの実施形態において、基板処理モジュールの内の1または複数(820a〜820dのいずれか)が、クラスタツール上の他のウエハ処理モジュールの動作を調整および/または制御するために利用できるウエハ測定データを取得することに特化してもよい。例えば、ウエハ測定ツールモジュールが、エッチング動作後の1または複数の基板フィーチャの1または複数の特性を測定してよく、次いで、結果として得られたデータは、クラスタツール上で実行されるさらなるエッチング動作で処理パラメータ(例えば、ALE処理を活性化するために用いられるプラズマ中のヘリウムおよびネオンの相対的比率など)を調整するために用いられてよい。特定のかかる実施形態において、測定モジュール/ツールによって測定される基板フィーチャは、半導体基板のフィーチャのエッチングプロファイルであってよい。
図8に示したのと同様のクラスタツール上で実行されるいくつかのエッチング動作において、エッチング動作中に測定が行われてよく、測定値は、同じエッチングの進行中および/または(例えば、異なる基板への)次のエッチング動作中に、1または複数の処理パラメータを調整および/または制御する方法を決定するために分析されてよい。例えば、誘導結合プラズマリアクタまたは容量結合プラズマリアクタは、例えば、ALE表面反応を活性化するために用いられたプラズマからの、1または複数の可視光線、赤外線、紫外線(UV)、および/または、真空紫外線の放射帯域からの放射強度を測定するための光学検出器を用いてよい。いくつかの実施形態において、測定された放射強度は、本明細書に記載のように、ALE動作で用いられるヘリウム−ネオンプラズマ中のヘリウムおよびネオンの相対濃度を調整するために分析および利用されうる。
図8を参照すると、エアロック830および処理モジュール820は、「ステーション」と呼ばれてもよい。各ステーションは、ステーションをVTM838とつなぐファセット836を有する。各ファセットにおいて、ウエハがそれぞれのステーションの間で移動された時にウエハ826の通過を検出するために、センサ1〜18が用いられる。ロボット822が、ステーション間でウエハ826を移送する。一実施形態において、ロボット822は、1つのアームを有し、別の実施形態において、ロボット822は2つのアームを有し、各アームは、移送のためにウエハ(ウエハ826など)をつかむエンドエフェクタ824を有する。大気移送モジュール(ATM:atmospheric transfer module)840内のフロントエンドロボット832が、ロードポートモジュール(LPM:Load Port Module)842内のカセットまたは前開き一体型ポッド(FOUP:Front Opening Unified Pod)834からエアロック830へウエハ826を移送するために用いられる。処理モジュール820内のモジュールセンタ828が、ウエハ826を配置するための1つの場所となる。ATM840内のアライナ844が、ウエハを整列させるために用いられる。
処理シーケンスの一例において、ウエハは、LPM842内のFOUP834の1つに配置される。フロントエンドロボット832は、FOUP834からアライナ844へウエハを移送し、アライナ844は、ウエハ826をエッチングまたは処理の前に適切に中心に配置することを可能にする。整列後、ウエハ826は、フロントエンドロボット832によってエアロック830へ移動される。エアロックモジュールは、ATMおよびVTMの間で環境に合わせることができるので、ウエハ826は、損傷されることなしに2つの圧力環境の間で移動されうる。エアロックモジュール830から、ウエハ826は、ロボット822によってVTM838を通して、処理モジュール820a〜820dの1つに移動される。このウエハ移動を達成するために、ロボット822は、そのアームの各々にあるエンドエフェクタ824を用いる。ウエハ826は、処理されると、ロボット822によって処理モジュール820a〜820dからエアロックモジュール830へ移動される。ここから、ウエハ826は、フロントエンドロボット832によってFOUP834の1つまたはアライナ844へ移動されてよい。
クラスタツールの動作を制御するために(例えば、クラスタツール上の様々なステーション間での基板の移動を制御するために)、(以下に記載のような)システムコントローラが用いられてよいことに注意されたい。システムコントローラは、クラスタ構造にローカルに配置されてもよいし、製造フロア内でクラスタツールの外側すなわち離れた位置に配置され、ネットワークを介してクラスタ構造に接続されてもよい。
システムコントローラ
上述の処理装置のいずれかにおける蒸着動作またはエッチング動作(または、その他の処理動作)を制御するために、システムコントローラが用いられてよい。いくつかの実施形態において、システムコントローラは、上述のように局所的なプラズマ密度(および蒸着またはエッチングの反応速度)を調整および/または制御するための1または複数の操作可能なマイクロ波放射ビームを提供するために、アレイの各アンテナから放射されたマイクロ波放射の位相および/または振幅および/または方向を制御するなど、処理ステーションに関連する1または複数のマイクロ波アンテナフェーズドアレイの動作を制御してよい。
したがって、例えば、蒸着装置(図4Bに示したものなど)に関しては、システムコントローラ450が、処理ツール440およびその処理ステーションの処理条件およびハードウェア状態を制御するために用いられてよい。システムコントローラ450は、1または複数のメモリデバイス456と、1または複数のマスストレージデバイス454と、1または複数のプロセッサ452と、を備えてよい。プロセッサ452は、1または複数のCPU、ASIC、汎用コンピュータ、および/または、専用コンピュータ、1または複数のアナログおよび/またはデジタル入力/出力接続、1または複数のステッパモータコントローラボードなどを備えてよい。
同様に、システムコントローラは、半導体基板エッチング装置(CCPリアクタを構成するものでもICPリアクタを構成するものでも)に関して用いられてもよく;同様に、かかるシステムコントローラは、上述のように局所的なプラズマ密度を調整および/または制御するための1または複数の操作可能なマイクロ波放射ビームを提供するために、アレイの各アンテナから放射されたマイクロ波放射の位相および/または振幅および/または方向を制御するなど、エッチングリアクタの1または複数の処理ステーションに関連する1または複数のマイクロ波アンテナフェーズドアレイの動作を制御してよい。
したがって、図8は、さらに、処理ツール800およびその処理ステーションの処理条件およびハードウェア状態を制御するために用いられるシステムコントローラ850の一実施形態を示す。システムコントローラ850は、1または複数のメモリデバイス856と、1または複数のマスストレージデバイス854と、1または複数のプロセッサ852と、を備えてよい。プロセッサ852は、1または複数のCPU、ASIC、汎用コンピュータ、および/または、専用コンピュータ、1または複数のアナログおよび/またはデジタル入力/出力接続、1または複数のステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態において、システムコントローラ(図4Bの450、図8の850)は、個々の処理ステーションの動作を含む処理ツール(図4Bの450、図8の800)の動作の一部または全部を制御する。マシン読み取り可能なシステム制御命令(図4Bの458、図8の858)が、本明細書に記載の膜蒸着処理および/またはエッチング処理を実施/実行するために提供されてよい。命令は、システムコントローラに接続されうるおよび/またはシステムコントローラによって読み出されうるマシン読み取り可能な持続性の媒体で提供されてよい。命令は、プロセッサ(図4Bの452、図8の852)上で実行されてよく、システム制御命令は、いくつかの実施形態において、マスストレージデバイス(454、854)からメモリデバイス(456、856)にロードされる。システム制御命令は、タイミング;気体および液体反応物質の混合;チャンバおよび/またはステーションの圧力;チャンバおよび/またはステーションの温度;ウエハ温度;目標電力レベル;RF電力レベル(例えば、DC電力レベル、RFバイアス電力レベル);RF暴露時間;基板ペデスタル、チャック、および/または、サセプタの位置;ならびに、処理ツールによって実行される特定の処理の他のパラメータ、を制御するための命令を含んでよい。上述のように、処理ステーションに関連する1または複数のマイクロ波アンテナフェーズドアレイを動作させるための命令を含んでもよい。
半導体基板処理動作は、(表面に吸着したエッチャントのプラズマ活性化を含む原子層エッチング(ALE)動作(例えば、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS」を参照。これは、参照によって全ての目的で本明細書にその全体が組み込まれる)による)基板上の薄膜のエッチングに関する処理、蒸着処理(表面に吸着した薄膜前駆体のプラズマ活性化による原子層蒸着(ALD)など)、および、その他のタイプの基板処理動作を含むがこれらに限定されない様々なタイプの処理を利用しうる。
したがって、例えば、1または複数のマイクロ波アンテナフェーズドアレイを有するプラズマベースエッチング処理または蒸着処理を実行するための基板処理装置に関して、システムコントローラによって実行されるマシン読み取り可能な命令は、処理チャンバ内でプラズマを発生させるように構成されたプラズマ発生器を動作させるための命令と、さらに、チャンバにマイクロ波放射ビームを放射して、処理チャンバ内のプラズマに影響を与えるように構成された1または複数のマイクロ波アンテナフェーズドアレイを動作させるための命令と、を含んでよい。いくつかの実施形態において、コントローラは、放射されたマイクロ波放射ビームを操作するために、1または複数のマイクロ波アンテナフェーズドアレイを動作させてよい。コントローラは、1または複数のフェーズドアレイの2以上のアンテナから放射されたマイクロ波放射の相対位相を変化させることによって、操作を実現しうる。コントローラは、1または複数のフェーズドアレイの2以上のアンテナから放射されたマイクロ波放射の相対振幅を変化させることによって、操作を実現してもよい。さらに、いくつかの実施形態において、基板処理装置は、プラズマベース処理動作で用いられるプラズマからの光学放電を測定するための光学検出器を有してよく、コントローラは、プラズマの放射帯域の放射強度を測定するために光学検出器を動作させてよく、特定のかかる実施形態では、測定値に応じて、フェーズドアレイから放射されたマイクロ波放射の位相および/または振幅および/または方向を変化させてよい(および/または、その他の処理条件を調整してよい)。
システム制御命令(図4Bの458、図8の858)は、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために必要な処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御命令は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。いくつかの実施形態において、システム制御命令は、ソフトウェアで実装され、別の実施形態において、命令は、ハードウェアで実装されてもよく(例えば、ASIC(特定用途向け集積回路)内にロジックとしてハードコードされる)、また、別の実施形態において、ソフトウェアおよびハードウェアの組み合わせとして実装されてもよい。
いくつかの実施形態において、システム制御ソフトウェア(図4Bの458、図8の858)は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。例えば、1または複数の蒸着および/またはエッチング処理の各段階が、システムコントローラによる実行のための1または複数の命令を備えてよい。例えば、薄膜蒸着および/またはエッチング処理段階のための処理条件を設定するための命令が、対応する蒸着および/またはエッチングレシピ段階に含まれてよい。いくつかの実施例において、レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。
システムコントローラ850に関連するマスストレージデバイス854および/またはメモリデバイス856(または、図4Bに関しては、システムコントローラ450に関連するマスストレージデバイス454および/またはメモリデバイス456)に格納された他のコンピュータ読み取り可能な命令および/またはプログラムが、いくつかの実施形態で用いられてもよい。プログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
基板位置決めプログラムは、基板をペデスタル(例えば,図4Bの408を参照:さらに、図5の508を参照)上にロードすると共に基板と処理ツールの他の部品との間の間隔を制御するために用いられる処理ツール構成要素のための命令を備えてよい。位置決めプログラムは、基板上に薄膜を蒸着および/またはエッチングするために、必要に応じて、反応チャンバに基板を適切に出し入れするための命令を備えてよい。
処理ガス制御プログラムは、ガス組成および流量を制御するため、ならびに、任意選択的に、蒸着および/またはエッチングの前に1または複数の処理ステーションの周りの空間内にガスを流して、これらの空間内の圧力を安定させるための命令を備えてよい。いくつかの実施形態において、処理ガス制御プログラムは、基板への薄膜蒸着および/またはエッチング動作中に処理チャンバ内の1または複数の処理ステーションの周りの空間に特定のガスを導入するための命令を備えてもよい。処理ガス制御プログラムは、さらに、蒸着される薄膜の組成および/または含まれるエッチング処理の性質に応じて、同じ持続時間、同じ流量、もしくは、異なる流量および/または異なる持続時間で、これらのガスを供給するための命令を備えてもよい。処理ガス制御プログラムは、さらに、加熱された注入モジュール内で、ヘリウムまたはその他の搬送ガスの存在下で、液体反応物質を霧化/気化させるための命令を備えてもよい。
圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するための命令を備えてよい。圧力制御プログラムは、基板への様々な薄膜タイプの蒸着中および/または基板のエッチング中に、同じまたは異なる圧力を維持するための命令を備えてよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するための命令を備えてよい。代替的または追加的に、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御してもよい。ヒータ制御プログラムは、基板への様々な薄膜タイプの蒸着および/または基板のエッチング中に、反応チャンバ内および/または処理ステーションの周りの空間内で、同じまたは異なる温度を維持するための命令を備えてよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1または複数の処理ステーションにおけるRF電力レベル、周波数、および、暴露時間を設定するための命令を備えてよい。いくつかの実施形態において、プラズマ制御プログラムは、基板への薄膜蒸着および/または基板のエッチング中に、同じまたは異なるRF電力レベルおよび/または周波数および/または暴露時間を利用するための命令を備えてよい。
いくつかの実施形態において、システムコントローラに関連したユーザインターフェースがあってもよい。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
いくつかの実施形態において、システムコントローラによって調整されるパラメータは、処理条件に関してよい。非限定的な例は、処理ガスの組成および流量、温度(例えば、基板ホルダおよびシャワーヘッドの温度)、圧力、プラズマ条件(RFバイアス電力レベルおよび暴露時間)などを含む。さらなるパラメータが、1または複数のマイクロ波アンテナフェーズドアレイから放射されたマイクロ波放射の振幅および位相に関してよい。さらに、パラメータは、個々に、1または複数のアレイの各アンテナから放射されたマイクロ波放射の振幅および/または位相および/または方向を制御することに関してよい。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラのアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号が、処理ツールのアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ(MFC)、圧力センサ(マノメータなど)、温度センサ(熱電対など)などを含む。ウエハ表面付近の局所的プラズマ密度を調整および/または制御するための1または複数のマイクロ波アンテナフェーズドアレイを有するエッチング装置において、装置のセンサは、プラズマの密度および/または電力/レベルを測定するために、プラズマからのスペクトル放電を監視するための光学放射センサを備えてよい。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
上述の様々な装置および方法は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールおよび/または処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、共通の製造施設で一緒および/または同時に、かかるツールが利用され、また、処理が実行される。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール(誘導結合プラズマまたは容量結合プラズマを用いるもの)、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
ALD技術および蒸着膜のさらなる詳細な説明
上述のように、ICデバイスサイズが縮小し続け、ICが三次元トランジスタおよびその他の三次元構造の利用に移行しているので、正確な量(厚さ)の共形薄膜材料(特に誘導体だが、様々なドーパント含有材料も)を蒸着できることが、ますます重要になっている。原子層蒸着(ALD)は、所望の膜厚を達成するために、通例は複数サイクルの蒸着を含む共形薄膜蒸着を実行するための一技術である。
薄膜を蒸着させるために熱的に活性化された気相反応を用いる化学蒸着(CVD)処理とは対照的に、ALD処理は、表面媒介性の蒸着反応を用いて、一層ずつ薄膜を蒸着する。例えば、或る種のALD処理では、第1の薄膜前駆体(P1)が気相で処理チャンバ内に導入され、基板に暴露され、基板の表面(通例、一群の表面活性部位)上に吸着することを許容される。P1の分子の一部は、P1の化学吸着種および物理吸着分子を含む凝集相を基板表面上に形成しうる。次いで、基板表面の周りの空間は、化学吸着種だけが残るように、気相および物理吸着したP1を除去するために排気される。次いで、第2の薄膜前駆体(P2)が、基板表面にP2分子の一部を吸着させるように、処理チャンバに導入されてよい。処理チャンバ内の基板の周りの空間は、ここで未結合のP2を除去するために、再び排気されてよい。その後、基板に供給されたエネルギ(例えば、熱エネルギまたはプラズマエネルギ)が、P1およびP2の吸着分子の間の表面反応を活性化し、薄膜層を形成する。最後に、基板の周りの空間は、未反応のP1および/またはP2および/または反応副生成物が存在する場合にそれらを除去するために再び排気され、1回のALDサイクルが終了する。
様々な化学物質を有する共形薄膜を蒸着させるためのALD技術と、基本的なALD処理シーケンスの多くの変形例については、2011年4月11日出願の米国特許出願第13/084,399号「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」(代理人整理番号NOVLP405)、2011年9月23日出願の米国特許出願第13/242,084号「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」すなわち米国特許第8,637,411号(代理人整理番号NOVLP427号)、2011年9月1日出願の米国特許出願第13/224,240号「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」(代理人整理番号NOVLP428号)、および、2012年9月7日出願の米国特許出願第13/607,386号「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」(代理人整理番号NOVLP488号)に詳細に記載されており、これらの各々は、参照によって全ての目的で本明細書に組み込まれる。これらの先行出願に記載のように、基板上に単一の材料層を蒸着させるための基本的なALDサイクルは、以下を含んでよい:(i)吸着制限層を形成するように処理ステーションで薄膜前駆体を基板上に吸着させる動作、(ii)存在する場合に、処理ステーションの近傍から未吸着の前駆体(脱着した前駆体を含むと定義された「未吸着の前駆体」)を除去する動作、(iii)基板上に薄膜の層を形成するように、吸着した前駆体を反応させる動作、ならびに、随意的に(iv)処理ステーションの近傍から、脱着した薄膜前駆体および/または反応副生成物を除去する動作。動作(ii)および(iv)における除去は、基板の周りの空間をパージする、排気する、ベース圧力までポンプダウンする(「ベースへのポンピング」)などによって行われてよい。いくつかの実施形態において、パージガスは、主要プラズマフィードガスと同じであってよい。上述の一連の動作(i)ないし(iv)は、単一の薄膜層の形成をもたらす1回のALDサイクルを表す。ただし、ALDによって形成された単一の薄膜層は、通例、非常に薄い(しばしば、1分子の厚さのみである)ため、適切な厚さの薄膜を形成するために、複数回のALDサイクルが、順次繰り返される。したがって、例えばN層の薄膜(または、等価に、Nの薄膜層ともいう)が蒸着されることが望ましい場合、複数回のALDサイクル(動作(i)〜(iv))が、N回順次繰り返されてよい。
動作(i)ないし(iv)のこの基本的なALDシーケンスは、上述の例のように2つの化学吸着した反応種P1およびP2を含む必要も、第2の反応種を含む必要もないが、これらの可能性/選択肢は、含まれる所望の蒸着化学物質に応じて用いられてもよいことに注意されたい。
しかしながら、ALDの吸着制限される性質により、1回のALDサイクルで蒸着されるのは、1つの材料薄膜のみであり、しばしば、材料の1つの単分子層のみである。例えば、薄膜前駆体供給動作の暴露時間および(基板表面への)薄膜前駆体の付着係数に応じて、各ALDサイクルは、約0.5から3オングストローム厚だけの薄膜層を蒸着しうる。したがって、典型的なALDサイクル内の一連の動作(上述の動作(i)ないし(iv))は、一般に、所望の厚さの共形薄膜を形成するために、複数回繰り返される。したがって、いくつかの実施形態において、動作(i)ないし(iv)は、少なくとも1回、少なくとも2回、少なくとも3回、少なくとも5回、少なくとも7回、または、少なくとも10回連続で繰り返される。ALD薄膜は、ALDサイクルごとに約0.1Åから2.5Åの間、ALDサイクルごとに約0.2Åから2.0Åの間、ALDサイクルごとに約0.3Åから1.8Åの間、ALDサイクルごとに約0.5Åから1.5Åの間、ALDサイクルごとに約0.1Åから1.5Åの間、ALDサイクルごとに約0.2Åから1.0Åの間、ALDサイクルごとに約0.3Åから1.0Åの間、または、ALDサイクルごとに約0.5Åから1.0Åの間、の割合で蒸着されうる。
一部の薄膜形成化学物質では、「薄膜前駆体」と呼ばれるものに加えて、補助反応物質または共反応物質が用いられてもよい。特定のかかる実施形態において、補助反応物質または共反応物質は、上記の工程が繰り返される際に、工程(i)ないし(iv)の一部の間または工程(i)ないし(iv)の各々を通して、連続的に流されてよい。いくつかの実施形態において、この他の反応化学種(補助反応物質、共反応物質など)は、(上述の前駆体P1およびP2を含む例のように)薄膜前駆体との反応の前に薄膜前駆体を有する基板表面上に吸着されてよいが、別の実施形態においては、それ自体が、基板の表面上に事前に吸着することなしに、吸着した薄膜前駆体と接触した時に反応してもよい。また、いくつかの実施形態において、吸着した薄膜前駆体を反応させる動作(iii)は、吸着した薄膜前駆体をプラズマに接触させることを含んでよい。プラズマは、基板表面上での薄膜形成反応を促進するためのエネルギを提供しうる。特定のかかる実施形態において、プラズマは、適切なRF電力を印加して反応チャンバ内で生成された酸化プラズマであってよい(ただし、いくつかの実施形態では、遠隔で生成されてもよい)。別の実施形態では、酸化プラズマの代わりに、不活性プラズマが用いられてもよい。酸化プラズマは、1または複数の酸化剤(O、NO、または、COなど)から形成されてよく、1または複数の希釈剤(Ar、N、または、Neなど)を任意選択的に含んでもよい。別の実施形態では、酸化プラズマは、OおよびArから形成される。適切な不活性プラズマが、1または複数の不活性ガス(HeまたはArなど)から形成されうる。ALD処理に関するさらなる変形例については、上述の先行特許出願(参照によって組み込まれている)に詳述されている。
いくつかの実施形態では、多層の蒸着薄膜が、例えば、或る組成を有する複数の層を連続的に共形蒸着し、次いで、別の成分を有する複数の層を共形蒸着し、その後、これら2つのシーケンスを潜在的に繰り返して交互に行うことによって形成された交互の組成を持つ領域/部分を含んでよい。蒸着ALD膜のこれらの態様の一部は、例えば、2012年9月7日出願の米国特許出願第13/607,386号「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」(代理人整理番号NOVLP488)に記載されており、この出願は、参照によって全ての目的で本明細書にその全体が組み込まれる。交互の組成を持つ部分を有する共形膜のさらなる例(下側のターゲットIC構造または基板領域にドープするために用いられる膜など)と、これらの膜の形成方法については、以下に詳述されている:2011年4月11日出願の米国特許出願第13/084,399号「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」(代理人整理番号NOVLP405);2011年9月23日出願の米国特許出願第13/242,084号「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」、すなわち、米国特許第 8,637,411号(代理人整理番号NOVLP427);2011年9月1日出願の米国特許出願第 13/224,240号「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」(代理人整理番号NOVLP428);2012年9月7日出願の米国特許出願第13/607,386号「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」(代理人整理番号NOVLP488);および、2014年2月28日出願の米国特許出願第14/194,549号「CAPPED ALD FILMS FOR DOPING FIN−SHAPED CHANNEL REGIONS OF 3−D IC TRANSISTORS」;これらは各々、参照によって全ての目的で本明細書にその全体が組み込まれる。
上で参照した明細書で詳述されているように、ALD処理は、しばしば、共形の酸化シリコン膜(SiOx)を蒸着させるために用いられるが、先述の組み込まれた明細書にも開示されているように、他の化学物質の共形誘電体膜を蒸着させるために用いられてもよい。ALDで形成された誘電体薄膜は、いくつかの実施形態において、炭化シリコン(SiC)材料、窒化シリコン(SiN)材料、炭窒化シリコン(SiCN)材料、または、それらの組み合わせを含んでよい。シリコン−炭素−酸化物およびシリコン−炭素−酸窒化物、ならびに、シリコン−炭素−窒化物が、いくつかの実施形態のALD形成された薄膜に形成されてもよい。これらのタイプの膜を蒸着する方法、技術、および、動作については:2012年6月12日出願の米国特許出願第13/494,836号「REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS」(代理人整理番号NOVLP466/NVLS003722);2013年5月31日出願の米国特許出願第13/907,699号「METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES」(代理人整理番号LAMRP046/3149);米国特許出願第14/062,648号「GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON−CARBON−CONTAINING FILMS」;および、2014年2月28日出願の米国特許出願第14/194,549号「CAPPED ALD FILMS FOR DOPING FIN−SHAPED CHANNEL REGIONS OF 3−D IC TRANSISTORS」に詳述されており、これらは各々、参照によって全ての目的で本明細書にその全体が組み込まれる。
ALDによる膜蒸着の他の例は、上で列挙して参照によって組み込まれた特許出願に記載されるように、ドーパント含有膜を蒸着させるための化学物質を含む(米国特許出願第13/084,399号、第13/242,084号、第13/224,240号、および、第14/194,549号)。それらに記載されているように、様々なドーパント含有薄膜前駆体が、ホウ素ドープケイ酸塩ガラス(BSG)、リンドープケイ酸塩ガラス(PSG)、ホウ素リンドープケイ酸塩ガラス(BPSG)、ヒ素(As)ドープケイ酸塩ガラス(ASG)などのドーパント含有膜を形成するために用いられてよい。ドーパント含有膜は、B、BO、P、P、As、Asなどを含みうる。したがって、ホウ素以外のドーパントを有するドーパント含有膜が実現可能である。例としては、ガリウム、リン、または、ヒ素ドーパント、もしくは、半導体基板のドープに適切な他の元素(他のIII価およびV価の元素など)が含まれる。
ALD処理条件に関して、ALD処理は、様々な温度で実行されてよい。いくつかの実施形態において、ALD反応チャンバ内の適切な温度は、約25℃から450℃の間、約50℃から300℃の間、約20℃から400℃の間、約200℃から400℃の間、または、約100℃から350℃の間、の範囲でありうる。
同様に、ALD処理は、様々なALD反応チャンバ圧力で実行されてよい。いくつかの実施形態において、反応チャンバ内の適切な圧力は、約10mTorrから10Torrの間、約20mTorrから8Torrの間、約50mTorrから5Torrの間、または、約100mTorrから2Torrの間、の範囲であってよい。
様々なRF電力レベルが、動作(iii)で用いられる場合にプラズマを生成するために用いられてよい。いくつかの実施形態において、適切なRF電力は、約100Wから10kWの間、約200Wから6kWの間、約500Wから3kWの間の間、または、約1kWから2kWの間、の範囲であってよい。
様々な薄膜前駆体流量が、動作(i)で用いられてよい。いくつかの実施形態において、適切な流量は、約0.1mL/分から10mL/分の間、約0.5mL/分から5mL/分の間、または、約1mL/分から3mL/分の間、の範囲であってよい。
様々なガス流量が、様々な動作で用いられてよい。いくつかの実施形態において、一般的なガス流量は、約1L/分から20L/分の間、または、約2L/分から10L/分の間、の範囲であってよい。動作(ii)および(iv)の任意選択的な不活性パージ工程については、用いられるバースト流量が、約20L/分から100L/分の間、または、約40L/分から60L/分の間、の範囲であってよい。
再び、いくつかの実施形態において、ベースへのポンピング工程とは、1または複数の真空ポンプを直接作用させることによって、反応チャンバをベース圧力までポンプで減圧することである。いくつかの実施形態において、ベース圧力は、通例、ほんの数ミリTorrであってよい(例えば、約1から20mTorrの間)。さらに、上述のように、ベースへのポンピング工程は、不活性パージを伴っても伴わなくてもよく、したがって、1または複数のバルブが真空ポンプへの導通路を開いた時に搬送ガスが流れてもよいし流れなくてもよい。
また、再び、複数回のALDサイクルが、共形層のスタックを形成するために繰り返されてよい。いくつかの実施形態において、各層は、実質的に同じ組成を有してよいが、別の実施形態において、連続的にALD蒸着された層が、異なる成分を有してもよく、また、特定のかかる実施形態において、上述のように、組成が層から層へ交互に変わってもよいし、異なる組成を有する一連の層の繰り返しがあってもよい。したがって、実施形態に応じて、上で列挙して参照によって組み込まれた特許出願(米国特許出願第13/084,399号、13/242,084号、および、13/224,240号)に開示されたような特定の積層工学概念は、これらの薄膜内のホウ素、リン、または、ヒ素の濃度を調節するために利用できる。
リソグラフィパターニング
上述の様々な装置および方法は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールおよび/または処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、共通の製造施設で一緒および/または同時に、かかるツールが利用され、また、処理が実行される。
薄膜のリソグラフィパターニングは、通例、以下の動作の一部または全部を含み、各動作は、複数の可能なツールで実現される:(1)スピンオンまたはスプレーオンツールを用いて、基板(窒化シリコン薄膜を上に形成された基板など)上にフォトレジストを塗布する工程;(2)ホットプレートまたは炉またはその他の適切な硬化ツールを用いて、フォトレジストを硬化させる工程;(3)ウエハステッパなどのツールで可視光またはUVまたはx線にフォトレジストを暴露させる工程;(4)ウェットベンチまたはスプレー現像装置などのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程;(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜または基板にレジストパターンを転写する工程;ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。いくつかの実施形態において、アッシング可能なハードマスク層(アモルファス炭素層など)および別の適切なハードマスク(反射防止層など)が、フォトレジストの塗布前に蒸着されてよい。
別の実施形態
上述の開示されている技術、動作、処理、方法、システム、装置、ツール、薄膜、化学物質、および、組成は、簡潔さおよび理解を促進するために具体的な実施形態の文脈で詳細に記載されているが、当業者にとって、本開示の精神および範囲の中に含まれる上述の実施形態を実施する多くの別の方法があることは明らかである。したがって、本明細書に記載の実施形態は、限定的ではなく、開示された発明の概念を例示するものと見なされるべきであり、最終的には本開示の主題に向けられた任意の請求項の範囲を不当に限定するための容認できない根拠として用いられるべきではない。
また、シャンデリア型シャワーヘッドの利用は、プラズマフィードガスを基板表面の近くに導入することを可能にするが、カーテンガスは、シャンデリアシャワーヘッドの各々のヘッド部分の背後の流入点から、特に、いくつかの実施形態においては、シャワーヘッドのステム部分を取り巻くシャワーヘッドカラーの開口部を通して、処理チャンバに導入されてよい。さらに、特定のかかる実施形態において、カーテンガスは、これらの開口部から、基板の平面および/またはヘッド部分の底面と実質的に平行な方向に、したがって、一般に最初は、シャワーヘッドのヘッドの底面から流出する流れと垂直な方向に、流されてよい。このカーテンガスの流れは、カーテンガス流が下向きに方向転換してシャワーヘッドのヘッドからのプラズマフィードガスおよび/またはパージガスの流れと平行になるうる場所であるシャワーヘッドの背面(シャワーヘッドのヘッド部分の上面)の端にカーテンガスが到達するまでは、横向きであり続けうる。かかる流れのパターンが、図5Aの単一処理チャンバに関して図示されているため、処理チャンバ502、シャワーヘッド506、シャワーヘッドカラー530、ならびに、それぞれカーテンガスおよびプラズマフィード(および反応前駆体)の流路510および520を参照する。図5Aに示す構成では、上述の記載と一致して、プラズマフィードガス源524からのプラズマフィードガスが、シャワーヘッド506のヘッド部分の底面を通してチャンバ502内に流され、カーテンガス源522からのカーテンガスが、シャワーヘッド506のステム部分を取り巻くシャワーヘッドカラー530の開口部を通してチャンバ530内に流される。したがって、この場合のカーテンガス(単一ステーションの文脈でも、説明的な表現「カーテンガス」が保持されることに注意)は、シャワーヘッド506の背面の中心軸の近くで処理チャンバ502に導入され、ペデスタル508上に保持された基板518の平面と実質的に平行な(かつ、シャワーヘッド506のヘッド部分の底面と実質的に平行な)流れで導入される。次いで、このように導入されたカーテンガスは、(図5Aの矢印で概略的に示すように)クロスプレート503の近くでチャンバから出る前に、シャワーヘッドの周りでチャンバ側壁に沿って下に流れる。
いくつかの実施形態において、気化ポイント403は、加熱された液体注入モジュール(略して「液体インジェクタ」)であってよい。かかる液体インジェクタは、混合容器の上流の搬送ガス流に液体反応物質のパルスを注入しうる。1つのシナリオにおいて、液体インジェクタは、高圧から低圧へ液体を流す(flash)ことによって反応物質を気化させてよい。別のシナリオにおいて、液体インジェクタは、分散した微液滴に液体を霧化してよく、その後、微液滴は、加熱された供給菅内で気化される。小さい液滴は、大きい液滴よりも速く気化して、液体注入と完全な気化との間の遅延を低減しうることがわかる。より迅速に気化すれば、気化ポイント403から下流の配管の長さを短くすることができる。1つのシナリオにおいて、液体インジェクタは、混合容器404に直接取り付けられてよい。別のシナリオにおいて、液体インジェクタは、シャワーヘッド106に直接取り付けられてもよい。
いくつかの実施形態において、ペデスタル408は、ヒータ410を用いて温度制御されてよい。さらに、いくつかの実施形態において、処理装置400のための圧力制御が、バタフライバルブ418など1または複数のバルブ式真空源によって提供されてよい。図4の実施形態に示すように、バタフライバルブ418は、下流の真空ポンプ(図示せず)によって提供された真空をスロットル調整する。しかしながら、いくつかの実施形態において、処理装置400の圧力制御は、処理チャンバ402に導入される1または複数のガスの流量を変化させることによって調節されてもよい。いくつかの実施形態において、1または複数のバルブ式真空源(バタフライバルブ418など)は、適切なALD動作段階中に処理ステーションの周りの空間から薄膜前駆体を除去するために用いられてよい。

Claims (35)

  1. マイクロ波アンテナのフェーズドアレイであって、
    0.1〜150cmの隣接アンテナ間の平均間隔で実質的に平面内に配列された5〜256個のマイクロ波アンテナを備える、フェーズドアレイ。
  2. 請求項1に記載のフェーズドアレイであって、
    前記実質的に平面のアンテナ配列は、最外のグループが275〜325mmの直径を有するいくつかの実質的に同心円状のアンテナグループを備える、フェーズドアレイ。
  3. 請求項2に記載のフェーズドアレイであって、
    前記実質的に平面のアンテナ配列は、3〜24組の実質的に同心円状のアンテナグループを備える、フェーズドアレイ。
  4. マイクロ波アンテナのフェーズドアレイであって、
    互いに関して実質的に円筒形状に配列された8〜256個のマイクロ波アンテナを備え、
    前記円筒形状の配列の高さは5〜500mmであり、前記円筒形状の配列の直径は300〜600mmである、フェーズドアレイ。
  5. 請求項4に記載のフェーズドアレイであって、
    前記円筒形状の配列の高さは100〜300mmであり、前記円筒形状の配列の直径は350〜450mmである、フェーズドアレイ。
  6. 請求項5に記載のフェーズドアレイであって、
    隣接アンテナ間の平均間隔は、0.1〜150cmである、フェーズドアレイ。
  7. 請求項6に記載のフェーズドアレイであって、
    前記円筒形状の配列は、実質的に円形に配列されたアンテナのいくつかのグループのスタックを備える、フェーズドアレイ。
  8. 請求項7に記載のフェーズドアレイであって、
    前記円筒形状の配列は、実質的に円形に配列されたアンテナの3〜7組のグループのスタックを備える、フェーズドアレイ。
  9. 処理チャンバ内の半導体基板上での反応速度を変える方法であって、
    処理チャンバ内でプラズマを励起する工程と、
    マイクロ波アンテナのフェーズドアレイからマイクロ波放射のビームを放射させる工程と、
    前記処理チャンバ内の半導体基板の表面上での反応速度の変化を引き起こすように、前記ビームを前記プラズマに方向付ける工程と、
    を備える、方法。
  10. 請求項9に記載の方法であって、さらに、
    前記プラズマの密度への影響を変えるように、前記プラズマに方向付けられた前記マイクロ波エネルギのビームを操作する工程を備える、方法。
  11. 請求項10に記載の方法であって、
    前記ビームを操作する工程は、前記フェーズドアレイの前記マイクロ波アンテナの2以上から放射される前記マイクロ波放射の相対位相を変化させる工程を含む、方法。
  12. 請求項11に記載の方法であって、
    前記ビームを操作する工程は、前記フェーズドアレイの前記マイクロ波アンテナの2個以上から放射される前記マイクロ波放射の相対位相および相対振幅を変化させる工程を含む、方法。
  13. 請求項9に記載の方法であって、
    前記マイクロ波アンテナの少なくとも一部は、前記処理チャンバの周囲に配置されている、方法。
  14. 請求項9に記載の方法であって、
    前記マイクロ波アンテナの少なくとも一部は、前記処理チャンバの上方に配置されている、方法。
  15. 請求項9に記載の方法であって、
    前記プラズマは、誘導結合プラズマ(ICP)である、方法。
  16. 請求項9に記載の方法であって、
    前記プラズマは、容量結合プラズマ(CCP)である、方法。
  17. 請求項9に記載の方法であって、
    平板電極が、複数の開口部を有し、
    前記フェーズドアレイのアンテナによって放射されたマイクロ波エネルギは、前記複数の開口部の少なくとも一部を通して方向付けられる、方法。
  18. 半導体の処理装置であって、
    処理チャンバと、
    前記処理チャンバ内で半導体基板を保持するように構成された基板ホルダと、
    前記処理チャンバ内でプラズマを発生させるように構成されたプラズマ発生器と、
    マイクロ波放射のビームを前記チャンバ内に放射するように構成されたマイクロ波アンテナのフェーズドアレイと、
    前記処理チャンバ内の前記プラズマに影響を与えるように前記フェーズドアレイのマイクロ波アンテナを動作させるための命令を有するコントローラと、
    を備える、処理装置。
  19. 請求項18に記載の処理装置であって、
    前記コントローラは、前記放射されたマイクロ波放射のビームを操作するために、前記フェーズドアレイのマイクロ波アンテナを動作させる、処理装置。
  20. 請求項18に記載の処理装置であって、
    前記コントローラは、前記フェーズドアレイの2個以上のアンテナから放射される前記マイクロ波放射の相対位相を変化させる、処理装置。
  21. 請求項20に記載の処理装置であって、
    前記コントローラは、前記フェーズドアレイの2個以上のアンテナから放射される前記マイクロ波放射の相対位相および相対振幅を変化させる、処理装置。
  22. 請求項18に記載の処理装置であって、
    前記アンテナの少なくとも一部は、前記処理チャンバの周囲に配置されている、処理装置。
  23. 請求項18に記載の処理装置であって、
    前記アンテナの少なくとも一部は、前記処理チャンバの上方に配置されている、処理装置。
  24. 請求項18に記載の処理装置であって、
    前記プラズマ発生器は、誘導結合プラズマ(ICP)を発生させるように構成されている、処理装置。
  25. 請求項24に記載の処理装置であって、
    前記プラズマ発生器は、前記ICPプラズマを発生させるために、1または複数の電源に接続された2個以上のコイルを備える、処理装置。
  26. 請求項25に記載の処理装置であって、
    前記フェーズドアレイのアンテナによって放射されたマイクロ波エネルギは、前記2個以上のコイルの間に方向付けられる、処理装置。
  27. 請求項18に記載の処理装置であって、
    前記プラズマ発生器は、容量結合プラズマ(CCP)を発生させるように構成されている、処理装置。
  28. 請求項27に記載の処理装置であって、
    前記プラズマ発生器は、平板電極を備え、
    前記平板電極は、前記CCPプラズマを発生させるために、前記平板電極と前記基板ホルダとの間に電圧差を印加する電源に接続されている、処理装置。
  29. 請求項28に記載の処理装置であって、
    前記平板電極は、複数の開口部を有し、
    前記フェーズドアレイのアンテナによって放射されたマイクロ波エネルギは、前記複数の開口部の少なくとも一部を通して方向付けられる、処理装置。
  30. 請求項17に記載の処理装置であって、
    前記マイクロ波放射ビームは、前記チャンバ内の前記プラズマの密度に影響を与える、処理装置。
  31. 請求項17に記載の処理装置であって、
    前記処理チャンバは、誘電体窓を備え、
    前記フェーズドアレイのアンテナによって放射されたマイクロ波エネルギは、前記誘電体窓を通して前記チャンバ内に伝達される、処理装置。
  32. 請求項31に記載の処理装置であって、
    前記誘電体窓は、前記処理チャンバの周壁に配置される、処理装置。
  33. 請求項32に記載の処理装置であって、
    前記誘電体窓は、石英および/またはセラミックを含む、処理装置。
  34. 請求項31に記載の処理装置であって、
    前記誘電体窓は、前記処理チャンバの上壁に配置される、処理装置。
  35. 請求項34に記載の処理装置であって、
    前記誘電体窓は、石英および/またはセラミックを含む、処理装置。
JP2016217694A 2015-11-09 2016-11-08 エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正 Pending JP2017103454A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/936,437 US20170133202A1 (en) 2015-11-09 2015-11-09 Computer addressable plasma density modification for etch and deposition processes
US14/936,437 2015-11-09

Publications (2)

Publication Number Publication Date
JP2017103454A true JP2017103454A (ja) 2017-06-08
JP2017103454A5 JP2017103454A5 (ja) 2017-07-20

Family

ID=58668196

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016217694A Pending JP2017103454A (ja) 2015-11-09 2016-11-08 エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正

Country Status (5)

Country Link
US (1) US20170133202A1 (ja)
JP (1) JP2017103454A (ja)
KR (1) KR20170058272A (ja)
CN (1) CN106972281A (ja)
TW (1) TW201728780A (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020203406A1 (ja) * 2019-04-03 2020-10-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2021044201A (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2021068682A (ja) * 2019-10-28 2021-04-30 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20210090698A (ko) * 2018-12-18 2021-07-20 도쿄엘렉트론가부시키가이샤 카본 하드마스크, 성막 장치 및 성막 방법
KR20210092677A (ko) 2020-01-16 2021-07-26 도쿄엘렉트론가부시키가이샤 어레이 안테나 및 플라스마 처리 장치
WO2022044864A1 (ja) * 2020-08-28 2022-03-03 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および誘電体窓
KR20220029391A (ko) * 2020-08-28 2022-03-08 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US11967485B2 (en) 2019-11-05 2024-04-23 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11993849B2 (en) 2018-12-18 2024-05-28 Tokyo Electron Limited Carbon hard mask, film forming apparatus, and film forming method

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10431451B2 (en) * 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10153133B2 (en) 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10651017B2 (en) * 2016-06-30 2020-05-12 Tokyo Electron Limited Method for operation instability detection in a surface wave plasma source
US10748745B2 (en) 2016-08-16 2020-08-18 Applied Materials, Inc. Modular microwave plasma source
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10748797B2 (en) 2017-01-18 2020-08-18 Applied Materials, Inc. Plasma parameters and skew characterization by high speed imaging
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10790118B2 (en) * 2017-03-16 2020-09-29 Mks Instruments, Inc. Microwave applicator with solid-state generator power source
US10707058B2 (en) 2017-04-11 2020-07-07 Applied Materials, Inc. Symmetric and irregular shaped plasmas using modular microwave sources
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11037764B2 (en) 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
SG11202003372RA (en) * 2017-10-31 2020-05-28 Lam Res Corp Methods and apparatuses for increasing reactor processing batch size
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10504699B2 (en) 2018-04-20 2019-12-10 Applied Materials, Inc. Phased array modular high-frequency source
US10943768B2 (en) 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US11393661B2 (en) 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
US11081317B2 (en) 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220037577A1 (en) * 2019-02-25 2022-02-03 Corning Incorporated Multi-showerhead chemical vapor deposition reactor, process and products
CN113544825B (zh) * 2019-03-19 2024-02-09 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
US11388809B2 (en) * 2019-03-25 2022-07-12 Recarbon, Inc. Systems for controlling plasma reactors
KR20200143254A (ko) * 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US10857573B1 (en) * 2019-06-18 2020-12-08 The Boeing Company Methods and apparatuses for clearing particles from a surface of an electronic device using skewed waveforms to eject debris by way of electromagnetic propulsion
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制系统及半导体设备
JP2021107570A (ja) * 2019-12-27 2021-07-29 住友重機械工業株式会社 成膜装置
DE102020113578A1 (de) * 2020-05-19 2021-11-25 Muegge Gmbh Mikrowellenbehandlungseinrichtung
WO2022051073A1 (en) * 2020-09-01 2022-03-10 Lam Research Corporation Arcing reduction in wafer bevel edge plasma processing
CN114724914A (zh) * 2021-01-04 2022-07-08 江苏鲁汶仪器有限公司 一种等离子体密度控制系统及方法
EP4373992A1 (en) * 2021-07-21 2024-05-29 Lam Research Corporation Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system
CN116190190B (zh) * 2023-04-25 2023-07-25 季华实验室 自动阻抗匹配方法、装置、系统、电子设备及存储介质

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0810634B2 (ja) * 1990-06-01 1996-01-31 インターナショナル・ビジネス・マシーンズ・コーポレイション マイクロ波給電式材料/プラズマ処理システム
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
IL110896A0 (en) * 1994-01-31 1994-11-28 Loral Qualcomm Satellite Serv Active transmit phases array antenna with amplitude taper
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
TW454429B (en) * 2000-05-31 2001-09-11 Nanya Technology Corp Plasma generator
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
KR100988085B1 (ko) * 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
CN1998272A (zh) * 2004-06-25 2007-07-11 东京毅力科创株式会社 等离子体处理装置
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
CN101828427A (zh) * 2007-10-18 2010-09-08 松下电器产业株式会社 微波加热装置
JP5231308B2 (ja) * 2009-03-31 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置
US8421684B2 (en) * 2009-10-01 2013-04-16 Qualcomm Incorporated Methods and apparatus for beam steering using steerable beam antennas with switched parasitic elements
CN103229280A (zh) * 2010-11-17 2013-07-31 东京毅力科创株式会社 等离子体处理用设备和等离子体处理用方法
JP5893865B2 (ja) * 2011-03-31 2016-03-23 東京エレクトロン株式会社 プラズマ処理装置およびマイクロ波導入装置
FR2974701B1 (fr) * 2011-04-27 2014-03-21 Sairem Soc Pour L Applic Ind De La Rech En Electronique Et Micro Ondes Installation de production d'un plasma micro-onde
DE102012200878B4 (de) * 2012-01-23 2014-11-20 Forschungsverbund Berlin E.V. Verfahren und Vorrichtung zum Erzeugen von Plasmapulsen
US9301383B2 (en) * 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
WO2014050979A1 (ja) * 2012-09-26 2014-04-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9607809B2 (en) * 2013-03-12 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. High density plasma reactor with multiple top coils
US9867269B2 (en) * 2013-03-15 2018-01-09 Starfire Industries, Llc Scalable multi-role surface-wave plasma generator
US9530621B2 (en) * 2014-05-28 2016-12-27 Tokyo Electron Limited Integrated induction coil and microwave antenna as an all-planar source
US10269541B2 (en) * 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11993849B2 (en) 2018-12-18 2024-05-28 Tokyo Electron Limited Carbon hard mask, film forming apparatus, and film forming method
KR102650874B1 (ko) * 2018-12-18 2024-03-26 도쿄엘렉트론가부시키가이샤 카본 하드마스크, 성막 장치 및 성막 방법
KR20210090698A (ko) * 2018-12-18 2021-07-20 도쿄엘렉트론가부시키가이샤 카본 하드마스크, 성막 장치 및 성막 방법
JP7221115B2 (ja) 2019-04-03 2023-02-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2020170643A (ja) * 2019-04-03 2020-10-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2020203406A1 (ja) * 2019-04-03 2020-10-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2021044201A (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7233348B2 (ja) 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7336959B2 (ja) 2019-10-28 2023-09-01 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
US11887825B2 (en) 2019-10-28 2024-01-30 Tokyo Electron Limited Control method and plasma processing apparatus
KR20210050458A (ko) 2019-10-28 2021-05-07 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라스마 처리 장치
JP2021068682A (ja) * 2019-10-28 2021-04-30 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
US11967485B2 (en) 2019-11-05 2024-04-23 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11476088B2 (en) 2020-01-16 2022-10-18 Tokyo Electron Limited Array antenna and plasma processing apparatus
KR20210092677A (ko) 2020-01-16 2021-07-26 도쿄엘렉트론가부시키가이샤 어레이 안테나 및 플라스마 처리 장치
KR20220029391A (ko) * 2020-08-28 2022-03-08 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
WO2022044864A1 (ja) * 2020-08-28 2022-03-03 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および誘電体窓
KR102640514B1 (ko) * 2020-08-28 2024-02-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법

Also Published As

Publication number Publication date
TW201728780A (zh) 2017-08-16
US20170133202A1 (en) 2017-05-11
CN106972281A (zh) 2017-07-21
KR20170058272A (ko) 2017-05-26

Similar Documents

Publication Publication Date Title
JP2017103454A (ja) エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
TWI714619B (zh) 在多站半導體基板處理腔室中實施電漿活化膜沉積之方法
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9609730B2 (en) Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
TW201903833A (zh) 具有原子層蝕刻重置之選擇性沉積
KR20160028360A (ko) 샤워헤드 능동 가열 및/또는 페데스탈 냉각에 의한 저온 ald 시스템들에서의 안정된 증착 레이트 제어를 위한 방법들 및 장치들
TW201712144A (zh) 用以達成改良的流動均勻性之具有面板孔的小體積噴淋頭
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
US20230066676A1 (en) Core removal

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170511