KR20170058272A - 에칭 프로세스 및 증착 프로세스를 위한 컴퓨터 어드레싱가능한 플라즈마 밀도 수정 - Google Patents

에칭 프로세스 및 증착 프로세스를 위한 컴퓨터 어드레싱가능한 플라즈마 밀도 수정 Download PDF

Info

Publication number
KR20170058272A
KR20170058272A KR1020160146442A KR20160146442A KR20170058272A KR 20170058272 A KR20170058272 A KR 20170058272A KR 1020160146442 A KR1020160146442 A KR 1020160146442A KR 20160146442 A KR20160146442 A KR 20160146442A KR 20170058272 A KR20170058272 A KR 20170058272A
Authority
KR
South Korea
Prior art keywords
plasma
antennas
microwave
processing chamber
chamber
Prior art date
Application number
KR1020160146442A
Other languages
English (en)
Inventor
이반 엘. 베리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170058272A publication Critical patent/KR20170058272A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/061Two dimensional planar arrays
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

위상 배열 (phased-array) 마이크로파 안테나들을 활용하는, 프로세싱 챔버 내에서 반도체 기판 상의 반응 레이트를 수정하는 방법들이 본 명세서에 개시된다. 방법들은 프로세싱 챔버 내에서 플라즈마를 에너자이징하는 (energizing) 단계, 위상 배열 마이크로파 안테나들로부터 마이크로파 복사선의 빔을 방출하는 단계, 및 프로세싱 챔버 내부의 반도체 기판의 표면 상의 반응 레이트의 변화를 유발하도록 플라즈마 내로 빔을 지향시키는 단계를 포함할 수도 있다. 위상 배열 마이크로파 안테나들, 뿐만 아니라 마이크로파 복사선의 빔을 프로세싱 챔버 내로 방출하도록 구성된 위상 배열 마이크로파 안테나들을 포함하는 반도체 프로세싱 장치들의 특정한 실시예들이 또한 본 명세서에 개시된다.

Description

에칭 프로세스 및 증착 프로세스를 위한 컴퓨터 어드레싱가능한 플라즈마 밀도 수정{COMPUTER ADDRESSABLE PLASMA DENSITY MODIFICATION FOR ETCH AND DEPOSITION PROCESSES}
반도체 제조시 중요한 많은 부류들의 프로세스들은 가스 플라즈마의 사용을 수반한다. 예를 들어, RIE (reactive ion etching) 동작들 및 ALD (atomic layer deposition) 동작들은 연관된 표면 반응들 - RIE의 경우 표면 에칭 반응들 그리고 ALD의 경우 표면 증착 반응들 - 을 활성화하기 위해 에너제틱 (energetic) 플라즈마-위상 이온 및 자유-라디칼 종의 사용을 수반할 수도 있다. 그러나, 이들 프로세스들은 프로세싱될 기판의 전체 표면에 걸쳐 항상 이상적인 정도의 균일도로 진행되는 것은 아니다. 많은 인자들이 웨이퍼에 걸친 균일도에 영향을 줄 수 있다. 플라즈마-기반 프로세스들의 경우 (그리고 플라즈마 위상의 매우 에너자이징된 (energized) 특성으로 인해), 기판 표면과 콘택트하는 공간적 영역 내 플라즈마 밀도를 이상적으로 균일하게 유지하는 것이 어려울 수도 있고, 플라즈마 밀도의 이들 차들은 (증착이든 에칭이든) 플라즈마-매개 표면 반응들의 웨이퍼에 걸쳐 차동 활성화를 야기할 수도 있다. 그러나, 플라즈마 특성들 이외의 많은 다른 인자들이 또한 웨이퍼 불균일도에 전체적으로 또는 부분적으로 기여할 수도 있다. 일반적으로 말하면, 표면 불균일도들은 특정한 프로세스 (아마도 특정한 표면 화학적 구성에 특정) 에 대해 시스템화될 수도 있고, 설계 또는 구성, 등의 특정한 프로세싱 챔버의 불균일성들에 특정될 수도 있다. 다른 시스템적 불균일도들은 본질적인 웨이퍼 사이즈/기하구조로 인해 발생하는 웨이퍼 중심-대-에지 불균일도들을 포함할 수도 있다. 물론, 기판 프로세싱 불균일도들은 또한 랜덤, 예를 들어, 반응 챔버 프로세스 조건들의 랜덤 기복들 (fluctuations) 의 결과, 랜덤 웨이퍼 변동, 등일 수도 있다. 보다 통상적으로, 시스템적 인자들 및 랜덤 인자들 양자는 기판 프로세싱시 불균일도들에 기여한다. 이들 특정한 시나리오들에서 전체 프로세스 균일도를 개선하기 위한 플라즈마-기반 기법들이 탐구되었다.
위상 배열 (phased-array) 마이크로파 안테나들을 활용하는, 프로세싱 챔버 내에서 반도체 기판 상의 반응 레이트를 수정하는 방법들이 본 명세서에 개시된다. 방법들은 프로세싱 챔버 내에서 플라즈마를 에너자이징하는 (energizing) 단계, 위상 배열 마이크로파 안테나들로부터 마이크로파 복사선의 빔을 방출하는 단계, 및 프로세싱 챔버 내부의 반도체 기판의 표면 상의 반응 레이트의 변화에 영향을 주도록 플라즈마 내로 빔을 지향시키는 단계를 포함할 수도 있다.
또한, 위상 배열 마이크로파 안테나들의 특정한 실시예들이 본 명세서에 개시된다. 일부 실시예들에서, 위상 배열 마이크로파 안테나들은 인접한 안테나들 간 0.1 내지 150 ㎝의 평균 간격으로 실질적으로 평면 내에 배열된 5 내지 256 개의 마이크로파 안테나들을 포함할 수도 있다. 일부 실시예들에서, 위상 배열 마이크로파 안테나들은 서로에 대해 실질적으로 실린더형으로 배열된 8 내지 256 개의 마이크로파 안테나들을 포함할 수도 있다. 일부 실시예들에서, 상기 실린더형 배열의 높이는 5 내지 500 ㎜일 수도 있고, 그리고 상기 실린더형 배열의 직경은 300 내지 600 ㎜일 수도 있다.
또한, 마이크로파 복사선의 빔을 프로세싱 챔버 내로 방출하도록 구성된 위상 배열 마이크로파 안테나들을 포함하는 반도체 프로세싱 장치들이 본 명세서에 개시된다. 이들 장치들은 상기 프로세싱 챔버 및 위상 배열 마이크로파 안테나들 뿐만 아니라 프로세싱 챔버 내에 반도체 기판을 홀딩하도록 구성된 기판 홀더, 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기, 프로세싱 챔버 내의 플라즈마에 영향을 주도록 위상 배열 마이크로파 안테나를 동작시키기 위한 인스트럭션들을 갖는 제어기를 포함할 수도 있다.
도 1a 내지 도 1d는 기판 표면에 대해 포지셔닝되고, 기판 표면의 특정한 영역 또는 영역들을 향해 지향된 마이크로파 에너지의 하나 이상의 빔들 및/또는 스팟들 (spots) 를 생성하는 위상 배열 마이크로파 안테나들을 예시한다.
도 2a는 반응기 장치의 반도체 프로세싱 챔버의 상단부에 위치된 위상 배열 마이크로파 안테나들을 갖는 ICP 반응기를 개략적으로 예시한다.
도 2b의 반응기 장치의 반도체 프로세싱 챔버의 주변 둘레에 포지셔닝된 위상 배열 마이크로파 안테나들을 갖는 ICP 반응기를 개략적으로 예시한다.
도 2c는 반응기 장치의 반도체 프로세싱 챔버의 상단부에 위치된 위상 배열 마이크로파 안테나들을 갖는 CCP 반응기를 개략적으로 예시한다.
도 2d는 도 2c에 예시된 CCP 반응기로부터 플레이트 전극을 개략적으로 예시한다.
도 2e는 반응기 장치의 반도체 프로세싱 챔버의 주변 둘레에 포지셔닝된 위상 배열 마이크로파 안테나들을 갖는 CCP 반응기를 개략적으로 예시한다.
도 3a-1 내지 도 3a-4는 프로세싱 장치의 상단부에 포지셔닝된 25 개의 마이크로파 안테나들의 위상 배열의 컴퓨터 모델로부터 생성된, 모범 (prototypical) 기판 표면 상 또는 근방으로 마이크로파 복사선의 제어된 포커싱을 예시하는 시뮬레이션 결과들의 세트를 도시한다.
도 3b-1 내지 도 3b-5는 프로세싱 장치의 상단부에 포지셔닝된 25 개의 마이크로파 안테나들의 위상 배열의 컴퓨터 모델로부터 생성된, 모범 기판 표면 상 또는 근방으로 마이크로파 복사선의 제어된 포커싱을 예시하는 시뮬레이션 결과들의 또 다른 세트를 도시한다.
도 3c-1 내지 도 3c-5는 프로세싱 장치의 상단부에 포지셔닝된 25 개의 마이크로파 안테나들로 구성된 위상 배열의 컴퓨터 모델로부터 생성된, 모범 기판 표면 상 또는 근방으로 마이크로파 복사선의 제어된 포커싱을 예시하는 시뮬레이션 결과들의 또 다른 세트를 도시한다.
도 3d-1 내지 도 3d-7은 프로세싱 장치의 주변에 포지셔닝된 25 개의 마이크로파 안테나들로 구성된 위상 배열의 컴퓨터 모델로부터 생성된, 모범 기판 표면 상 또는 근방으로 마이크로파 복사선의 제어된 포커싱을 예시하는 시뮬레이션 결과들의 세트를 도시한다.
도 4a는 단일 프로세스 스테이션을 갖는 프로세싱 챔버를 갖는 기판 프로세싱 장치의 단면 개략도이다.
도 4b는 2 개의 프로세스 스테이션들로부터 기판들을 로딩 및 언로딩하기 위한 기판 핸들러 로봇을 갖는 4-스테이션 기판 프로세싱 장치 및 장치를 동작시키기 위한 제어기의 개략도이다.
도 5a는 샹들리에-타입 샤워헤드 및 연관된 샤워헤드 칼라를 채용하고, 그리고 플라즈마 피드 경로 및 커튼 가스 플로우 경로를 특징으로 하는, 다양한 ALD 프로세스 및/또는 CVD 프로세스를 구현하기에 적절한 기판 프로세싱 장치의 단일-스테이션 프로세싱 챔버의 단면 개략도이다.
도 5b는 다양한 ALD 프로세스 및/또는 CVD 프로세스를 구현하기에 적절한 기판 프로세싱 장치의 듀얼-스테이션 프로세싱 챔버의 단면 개략도이고, 프로세싱 스테이션 각각은 기판 홀더를 갖고 샹들리에-타입 샤워헤드 및 연관된 샤워헤드 칼라를 채용한다.
도 6a 내지 도 6c는 다양한 에칭 프로세스들을 구현하기에 적절한 CCP 반응기의 개략도들이다.
도 7은 다양한 에칭 프로세스들을 구현하기에 적절한 ICP 반응기의 개략도이다.
도 8은 다양한 에칭 프로세스들을 구현하기에 적절한 기판 프로세싱 클러스터 툴의 개략도이다.
이하의 기술에서, 다수의 구체적인 상세들이 본 발명의 전체적인 이해를 제공하기 위해 언급된다. 그러나, 본 발명은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 본 발명이 구체적인 상세한 실시예들과 함께 기술되지만, 이들 구체적인 상세 실시예들은 본 명세서에 개시된 본 발명의 개념들의 범위를 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
웨이퍼 프로세싱 동작들이 프로세싱되는 모든 웨이퍼의 전체 표면에 걸쳐 일관되게 균일한 효과를 적용하는 것이 일반적으로 목표되지만, 물론 이러한 균일도는 현실적이지 않다. 실제로, 웨이퍼 프로세싱 동작들은 가변하는 정도로 웨이퍼에 걸친 불균일도를 나타낸다. 일부 경우들에서, 증착된 막 및/또는 에칭된 막의 불균일도들은 이전 (업스트림) (플라즈마-기반 또는 플라즈마-기반이 아닌) 프로세싱 동작들로부터 발생되었을 수도 있다. 일부 경우들에서, 불균일도들은 후속 (다운스트림) (다시, 플라즈마-기반 또는 플라즈마-기반이 아닌) 프로세싱 동작들로부터 발생할 것으로 예상될 수도 있다. 따라서 - 제 1 예에서, 프로세싱 불균일도를 방지하거나 최소화함으로써, 또는 그렇지 않으면 일부 경우들에서, 프로세싱 워크플로우의 복수의 스테이지들에서, 프로세싱 불균일도가 발생한 후 프로세싱 불균일도를 보상함으로써 - 프로세싱 불균일도를 처리하기 위한 효과적인 전략들을 고안하는 것이 프로세스 엔지니어의 업무이다.
표면 에칭 프로세스들 및 ALD (atomic layer deposition) 프로세스들을 포함하는, 표면-국부적 프로세스들에 대해, 웨이퍼에 걸친 균일도는 표면에 걸친 반응 레이트들에 따르고, 반응 레이트들은 충돌하는 그리고/또는 흡착하는 반응물질들의 인입 (incoming) 플럭스 밀도, 임의의 관련 부착 계수 및/또는 흡착 계수, 부산물들의 인출 (outgoing) 플럭스들, 및 반응들이 온도 민감성 및/또는 압력 민감성인 정도로 표면에서의 온도들 및 압력들에 따른다. 이에 더하여, 활성화 에너지의 하나 이상의 외부 소스(들)에 의해 향상되거나 요구되는 표면 반응들, 예컨대 플라즈마-기반/향상된 에칭 프로세스 또는 증착 프로세스 동안, 웨이퍼에 걸친 반응 레이트들은 또한 활성화 에너지의 소스의 밀도 (및/또는 에너지 밀도) 에 따른다. 실시예에 따라, 이러한 외부 활성화 에너지 소스는, (예컨대 RIE (reactive ion etch) 프로세스들에서 통상적인) 인바운드 (inbound)/충돌하는 화학적 종이 기판 표면에 도달하기 전에 인바운드 /충돌하는 화학적 종을 반응성 상태(들)로 활성화하도록 기능할 수 있고, 또는 (예컨대 ALD (atomic layer deposition) 프로세스들 또는 PECVD (plasma enhanced chemical vapor deposition) 프로세스에서 통상적인) 표면 흡착된 반응물질들을 활성화하도록 기능할 수도 있다는 것이 이해되어야 한다. 따라서, 표면 반응들을 수반하는 반도체 프로세싱 동작들의 맥락에서, 일반적으로 프로세싱 불균일도를 처리하는 일 방식은, 기판 표면 상의 예상된 (다운스트림) 시스템적 불균일도들을 보상하기 위해, 또는 지난 (업스트림) 랜덤 불균일도들을 교정하기 위해, 또는 즉각적인 표면 반응성 프로세싱 단계에서 발생하는 불균일도들을 보상하기 위해 (예컨대 모든 보상의 부재시 에칭 프로세스가 불균일한 경향이라면), 또는 상기한 모두를 보상하기 위해, 표면 반응 레이트들 - 특히 기판 표면의 위치들 및/또는 영역들 - 을 국부적으로 변경하는 기법들을 채용하는 것이다.
국부적인 온도 조정/제어는 표면 반응 레이트들을 국부적으로 조정 및/또는 제어하기 위해 사용될 수 있는 - 그리고 성공적으로 사용된 - 일 메커니즘이라는 것을 주의한다. 로컬 온도 제어가 달성될 수 있는 일 방식은, 에칭 챔버 내에 포지셔닝될 때 기판 밑 - 예를 들어, 웨이퍼 척 내부 또는 밑 - 에 위치된 열-생성 저항성 엘리먼트들의 사용을 통한 것이고, 이에 따라 저항성 소자 각각을 통한 전류를 개별적으로 제어함으로써 기판 온도들이 국부적으로 수정될 수 있다. 이러한 설계가 국부적인 에칭 레이트 조정의 맥락에서 적용되는 동안, 이는 원리적으로, 또한 ALD 프로세스 또는 PECVD 프로세스에서 막-형성 반응 레이트들을 조정하는데 적용될 수 있다. 그러나, 어떤 경우에서든, - 에칭 레이트들이든 증착 레이트들이든 - 반응 레이트들을 변경하기 위해 이러한 국부적인 온도 제어가 효과적으로 사용될 수 있는 정도는 채용되는 특정한 에칭 프로세스 또는 증착 프로세스의 반응 레이트가 온도 민감성인 정도에 따른다. 그렇지만, 일부 에칭 프로세스 또는 증착 프로세스는 특히 온도 민감성이 아닐 수도 있고, 게다가, 일부 경우들에서, 프로세스 안정성을 개선할 목적들을 위해, 실제로 온도 둔감성 (insensitive) (또는 온도에 대해 약한 민감도만 나타내는) 에칭 프로세스 또는 증착 프로세스를 채용하는 것이 바람직할 수도 있다 - 그리고 이들 부류들의 프로세스들에 대해 온도 제어를 통한 반응 레이트 조정은 실현가능하지 않다. 따라서, 국부적인 온도 제어가 일부 시나리오들에서 반응 레이트들 (증착 또는 에칭) 을 국부적으로 조정하기 위한 메커니즘을 제공하지만, 단점들이 없지 않다.
그러나, (상기에 나타낸 바와 같이) 온도에 대한 일반적인 의존성에 더하여, 표면 반응 레이트들은 또한 통상적으로 다양한 다른 인자들에 따르기 때문에, 표면 반응 레이트들을 국부적으로 조정하기 위해 또한 채용될 수도 있는 다른 메커니즘이 있다. 에칭 프로세스의 경우에 대해, 에칭 레이트들은 일반적으로 활성화된 에천트 종의 국부적인 밀도를 따르고, 따라서 (예를 들어, 플라즈마 해리 이벤트로부터) 에천트가 플라즈마 활성화되면, 그러면 국부적인 플라즈마 밀도는 에칭 레이트들에 강한 영향을 또한 가질 것이다. 따라서, 이들 프로세스들 동안, 국부적인 플라즈마 밀도의 제어는 국부적인 에칭 레이트 조정/제어를 위한 실행가능한 메커니즘을 제공한다. 언급된 바와 같이, 온도-의존 에칭 반응이 국부적인 에칭 레이트 제어에 더이상 필요하지 않고, (프로세스 안정성 고려사항들에 기초하여) 심지어 바람직하지 않을 수도 있기 때문에, 채용될 에칭 반응의 선택시 보다 큰 자유를 허용하는 이점을 갖는다.
이러한 에칭 레이트 제어를 달성하기 위해, 플라즈마 밀도는 다양한 메커니즘들을 통해 조정될 수도 있지만, 다수의 메커니즘들은 플라즈마 밀도에 대한 웨이퍼 위치/영역-특정 수정들을 사실상 유발할 수 없다. 예를 들어, (예를 들어, 플라즈마-기반 에칭을 위한) 통상적인 플라즈마 반응기 내의 플라즈마 밀도는 가스 조성, 가스 플로우 레이트, 인가된 전기 바이어스, RF 전력 레벨들, 주파수들, 듀티 사이클들, 전기 에너지 분포, 표면 재결합 이벤트들, 등의 함수이고, 일반적으로, 이들 인자들 각각은 확립되고 대부분의 부품에 대해 플라즈마 반응기 설계 자체로 고정된다. 미리 결정된 설계는 이들 파라미터들 중 일부의 선택에 일부 유연성을 허용하고, 그리고 플라즈마 밀도는 이들 파라미터들 - 예를 들어, 가스 플로우, 압력, 인가된 RF 전력 - 의 변동을 통해 가변될 수도 있다는 것이 사실이지만, 이러한 조정들은 특정한 위치들/영역들의 플라즈마 밀도에 타깃팅된 효과를 갖는 대신, 일반적으로 반응기 볼륨에 걸쳐 플라즈마 밀도에 대한 전반적인 변화들을 발생시킬 것이다.
따라서, - 예를 들어, 다른 영역들의 레이트들에 영향을 주지 않고, 웨이퍼 상의 특정한 영역의 레이트를 조정하기 위해 - (증착 또는 에칭) 반응 레이트들의 표면 국부적인 조정들은 부가적인 타입의 플라즈마 밀도 제어 메커니즘을 필요로 한다. 표면 국부적인 조정들이 달성될 수 있는 일 메커니즘은 마이크로파 복사선의 선택적으로 타깃팅된 애플리케이션이다. 마이크로파 복사선은 분자들을 이온화하고 플라즈마 밀도를 상승시키기 위해 사용될 수 있고, 플라즈마 생성을 위한 주 전력 소스 또는 심지어 배타적인 전력 소스로서 마이크로파 복사선을 사용하는 다양한 상업적 플라즈마 에칭기들이 이용가능하다는 것이 이해된다. 그러나, 기판 표면 근방의 플라즈마 밀도의 미세한 국부적, 공간적으로 분해된 제어를 제공하기 위해 타깃팅된 마이크로파 복사선을 사용하는 툴이 없다.
따라서, 마이크로파 (MW) 복사선의 타깃팅된 적용을 통해 기판 표면 근방에서 타깃팅된, 공간적으로-국부적인 플라즈마 밀도 조정/제어를 달성하기 위한 방법들 및 장치들, 그리고 특히, 기판 표면에 걸쳐 차동/불균일한 강도의 마이크로파 복사선을 생성하기 위해 위상 배열 마이크로파 안테나들/이미터들을 사용하게 하는 방법들 및 장치들이 본 명세서에 예시되고 기술된다. 따라서 방법들은 일반적으로 프로세싱 챔버 내 플라즈마의 에너자이징, 프로세싱 챔버와 연관된 위상 배열 마이크로파 안테나들로부터 마이크로파 복사선의 빔의 방출 및 마지막으로 플라즈마의 에너지 밀도에 영향을 주어 프로세싱 챔버 내부에서 반도체 기판의 표면 상의 반응 레이트의 변화를 유발하기 위해 에너자이징된 플라즈마 내로 MW 복사선의 빔을 지향시키는 것을 수반할 수도 있다. 실시예에 따라, 방법들 및 장치들은 플라즈마-활성화된 (그리고/또는 향상된) 에칭 프로세스들의 공간적으로-국부적 조정 및/또는 제어, 플라즈마-활성화된 (그리고/또는 향상된) ALD 프로세스들, PECVD 프로세스들, 또는 일반적으로 반도체 기판의 표면에서, 근방에서, 또는 상에서 플라즈마-활성화되는 (그리고/또는 향상되는) 부류들의 반응성 프로세스들에 적용가능할 수도 있다.
기본 원리는 기판 표면 (120) 에 대해 포지셔닝되고 기판 표면의 특정한 영역을 향해 지향된 마이크로파 에너지 (110) 의 "빔"을 생성하는 (예를 들어, 상업적 레이더 시스템들에서 사용된 안테나들과 유사한) 위상 배열 마이크로파 안테나들 (PAMA) (101) 을 도시하는 도 1a에 예시된다. 위상 마이크로파 안테나 어레이들의 예들은 "Integrated Phased Array Systems in Silicon," ALI HAJIMIRI, HOSSEIN HASHEMI, ARUN NATARAJAN, XIANG GUAN, AND ABBAS KOMIJANI, IEEE PROCEEDINGS OF THE IEEE, VOL. 93, NO. 9, (SEPTEMBER 2005), 및 "Microwave Theory of Phased Array Antennas - A Review", Louis Stark, PROCEEDINGS OF THE IEEE, VOL. 62, NO. 12, DECEMBER 1974에서 알 수도 있고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다. 일반적으로, 당업자가 용이하게 인식할 바와 같이, 위상 배열 마이크로파 안테나들은 어레이의 다양한 안테나들로부터 방출된 MW 복사선의 위상들 및/또는 진폭들로 하여금 서로에 대해 가변되게 하는 안테나 어레이이다 - 즉, 어레이의 (적어도 일부의) 안테나들로부터 방출된 마이크로파 복사선의 상대적인 위상들 및/또는 진폭들이 조정될 수도 있다 -. 일부 실시예들에서, 상대적인 위상들만이 가변되고; 다른 실시예들에서, 상대적인 진폭들만이 가변되고, 다른 실시예들에서, 어레이의 안테나들의 상대적인 위상들 및 상대적인 진폭들은 서로에 대해 가변한다. 부가적으로, 일부 실시예들에서, 어레이로부터 방출된 MW 주파수, 및/또는 주파수들, 및/또는 주파수들의 범위가 가변될 수도 있고, 특정한 이러한 실시예들에서, 상이한 위상 배열 안테나들에서 상이하게 가변될 수도 있다. (적합한 MW 주파수 범위들은 1 내지 500 ㎓를 포함한다.) 이러한 위상 배열 마이크로파 안테나들 (PAMA) (101) 을 사용하여, 마이크로파 강도의 방향 및 제어는 PAMA의 2 개 이상의 안테나들 (예를 들어, PAMA의 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 또는 그 이상의 안테나들), 또는 심지어 PAMA의 안테나 각각으로부터 방출되는 마이크로파 복사선의 위상들 및/또는 진폭들 및/또는 방향들을 개별적으로 조정함으로써 달성될 수도 있다. 이러한 방식으로, 건설적이고 파괴적인 간섭을 통해, 기판 표면의 하나 이상의 목표된 영역들 내에 마이크로파 에너지의 조종가능하고 국부화된 "빔" 또는 "스팟"을 생성할 수 있는 파동선단들 (wave fronts) 의 적절한 슈퍼포지션 (superposition) 이 생성될 수도 있다. 일부 실시예들에서, 마이크로파 진폭, 위상, 및 방향은 마이크로파 복사선의 공간 분해능 근사 (및/또는 대략) 파장을 갖는 규정된 시변 플라즈마 강도 프로파일을 생성하도록 전기적으로 신속하게 가변될 수 있다.
다시, 도 1a는 PAMA (101) 로부터의 방출로 인해 기판 표면 (120) 의 특정한 영역을 향해 지향되는 마이크로파 빔 (110) 을 예시한다. 도 1a에서, 빔이 적절히 타깃팅되게 하는, 수직에 대한 0이 아닌 각도로 빔이 방출된다. 부가적인 예들은 도 1b 내지 도 1d에 개략적으로 예시된다. 도 1b에서, 도 1b에 도시된 바와 같이 빔이 수직으로 지향되더라도, 빔이 기판 표면 (120) 의 다양한 영역들로 매핑될 수도 있도록, PAMA (101) 로부터 중심을 벗어난 변위로 빔을 방출함으로써 빔이 특정한 영역을 향해 지향된다. 도 1c는 일부 실시예들에서, PAMA (101) 는 복수의 마이크로파 빔들 (110, 112, 114) 을 동시에 방출할 수도 있고, 그리고, 이렇게 함으로써, 웨이퍼 표면 (120) 상의 복수의 영역들 근방에서 플라즈마 밀도를 동시에 수정할 수도 있다는 것을 예시한다. 예를 들어, 64 개의 MW 안테나들을 갖는 PAMA는 8 개 이상의 개별적으로 제어가능한 "빔들"을 생성할 수도 있다. 도 1d는 일부 실시예들에서, - 예를 들어, 복수-스택된 PAMA (102) 를 채용함으로써 - 마이크로파 에너지 (116) 의 "스팟"이 생성될 수도 있다는 것을 예시한다. PAMA (102) 는 3-D 위상 배열로서 생각될 수도 있고, 그리고 PAMA들 (101) 은 2-D 위상 배열들로서 생각될 수도 있다. 도 1d에 도시된 바와 같이, 마이크로파 에너지 (및 상승된 플라즈마 밀도) 의 "스팟"은 (도 1a 내지 도 1c와 유사하게) 수평으로 국부화될 뿐만 아니라, 웨이퍼 표면에 대해 수직으로 국부화된다.
- 도 1a 내지 도 1d에 예시된 바와 같이 - 타깃팅된 마이크로파 복사선이 지향되는 기판 표면의 영역의 플라즈마 밀도를 상승시키기 때문에, 이 전략은 플라즈마 밀도의 국부적 조정 및/또는 제어를 위한, 그리고 더구나, 플라즈마 밀도 (및/또는 플라즈마-활성화된 반응물질 종의 밀도) 에 따르는 모든 국부적 반응 레이트들의 국부적 조정 및/또는 제어를 추구하는 메커니즘으로서 기능한다. 언급된 바와 같이, - 예를 들어, ALD 레이트들은 또한 국부적인 플라즈마 밀도에 의해 영향을 받을 수도 있기 때문에 - 이들은 에칭 반응들일 수도 있지만, 이들은 또한 막 증착 반응들일 수도 있다. 다시 한번, 이 타입의 레이트 제어는 - 플라즈마-활성화되는 경우에만 - 에칭 프로세스 또는 증착 프로세스가 온도-민감성이 될 것을 요구하고, 따라서 위상 배열 마이크로파 안테나들의 활용은 국부적인 에칭 레이트 및/또는 증착 레이트를 제어하는 강력하고 일반적인 방법을 제공한다. 실시예에 따라, 마이크로파 복사선은 플라즈마 에너지의 주 소스로서 기능할 수 있고, 또는 에너지의 또 다른 주 소스 (또는 단순히 마이크로파 에너지의 또 다른 주 소스) 에 의해 주로 유지되는 플라즈마의 밀도를 수정하도록 인가된 보조 지향성 에너지 소스로서 기능할 수 있다는 것을 주의한다.
실시예에 따라, 하나 이상의 PAMA들의 사용은 일 PAMA로 하여금 특정 컴퓨터 어드레싱가능 영역들/위치들로 웨이퍼 표면을 분할하게 한다는 것을 또한 주의한다. 이렇게 함으로써, 국부적인 반응 레이트 조정은 특정한 영역/위치 당 프로그램적으로 할당 및 제어될 수 있다. 예를 들어, 예를 들어, 웨이퍼 표면 상의 영역들 A, B, 및 C에서 국부적인 에칭 레이트가 조정되는 것이 목표된다면, 컴퓨터 프로그램은 마이크로파 에너지 "빔"이 목표된 양으로 위치 각각에서 에칭 레이트를 변경하기 위한 적절한 강도로 A, B, 및 C 영역들 각각으로 지향되도록, PAMA의 복수의 마이크로파 안테나들로부터 방출된 마이크로파 복사선의 요구된 위상들 및/또는 진폭들 및/또는 방향들 (및 가능하면 주파수들 및 주파수들의 범위들) 을 설정하도록 작성될 수도 있다. 영역들 A, B, 및 C 근방에서 이 플라즈마 밀도 수정은 순차적으로 이루어질 수 있고, 또는 (충분히 큰 PAMA를 사용하여) (다시 적절한 안테나들의 어레이로부터 방출된 적절한 위상들 및/또는 진폭들 및/또는 방향들의 선택에 의해) 복수의 빔들을 사용하여 동시에 이루어질 수 있다. - 기계적으로, 건설적/파괴적 파형 간섭 원리들을 사용하여 - MW 소스들로부터 상대적인 위상들 및/또는 진폭들 및/또는 방출 지향성의 조정을 통해 보수의 MW 소스들로부터 방출된 MW 복사선의 빔들을 지향시키는 예들은, 예를 들어, "Phased Array Antennas", R. C. Hansen, Wiley Series in Microwave and Optical Engineering, Kai Chang ed., 1998 및 "Phased Array Systems and Applications", Nicholas Fourikis, Wiley Series in Microwave and Optical Engineering, Kai Chang ed., 1997 에서 발견될 수도 있고, 이들 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
공간적으로-국부적인 반응 레이트 (기술된 바와 같이, 에칭 레이트 및/또는 증착 레이트, 등) 조정 및/또는 제어를 달성하기 위해, 하나 이상의 PAMA들은 적절한 기판 프로세싱 챔버에 대해 전략적으로 포지셔닝된다. 도 2a는 반도체 프로세싱 챔버 (250) 에 대해 포지셔닝된 PAMA (210) 를 갖는 기판 프로세싱 장치 (201) 를 개략적으로 예시한다. PAMA (210) 는, 상대적인 위상들, 진폭들, 및/또는 방향들을 적절하게 전기적으로 제어하고 가변시키기 위해, 위상/진폭 제어 유닛 (290) 이 모든 안테나 엘리먼트들의 어레이에 연결되는 것으로 도 2a (및 도 2b 내지 도 2e) 에 도시된다.
이러한 특정한 실시예 (도 2a) 에서, 기판 프로세싱 장치 (201) 는 유도 코일들 (260) 을 갖는 ICP (inductively coupled plasma) 반응기이다. 기판 홀더 (230) 상의 기판 (220) 이 프로세싱 챔버 내에 위치된다. 유도 코일들 (260) (일반적으로, 마이크로파 복사선을 흡수하는 경향이 있고, 따라서 마이크로파 복사선으로부터 챔버 (250) 내부를 차폐하는 경향이 있을 것이다) 사이에 마이크로파 복사선을 지향시키도록 PAMA (210) 의 개별 안테나들이 위치되고 배향된다는 것을 주의한다. 유도 코일들 (260) 및 위상 배열 (210) 양자는 (적어도) RF 및 MW 복사선에 특정한 정도의 투명도를 갖는 프로세싱 챔버 (250) 의 "윈도우" (270) 에 인접하게 위치된다 (이 개념에서, 일반적으로, 프로세싱 챔버 (250) 의 벽들은 RF 및 MW 투명성이 아닐 것이다). "윈도우" (270) 는 석영 또는 세라믹 예를 들어, 또는 다른 유전체 재료로 이루어질 수 있고, 한편 일반적으로, 프로세싱 챔버의 벽들은 금속 재료로 형성된다.
PAMA를 갖는 (또는 PAMA와 연관되는) ICP 반응기 장치 (202) 의 대안적인 실시예가 도 2b에 도시된다. 이 실시예에서, PAMA (211) (진폭/위상/방향 제어 유닛 (290) 의 존재를 다시 주의) 는 프로세싱 챔버 (250) 의 주변 둘레에 랩핑되고 (wrap) (도 2b에 단면으로 도시), 이에 따라, (적어도) 부분적으로 투명 "윈도우" (272) 가 프로세싱 챔버 (250) 의 측면/주변-벽들에 위치되도록, 적절히 사이징된다. 이 설계는 (여전히 챔버 (250) 의 상단 윈도우 (270) 에 인접하게 위치된) 유도 코일들 (260) PAMA (210) 로부터 프로세싱 챔버 내로 마이크로파 복사선의 송신을 간섭하지 않을 것이라는 장점을 갖는다. 그러나, 이하에 상세히 기술될 바와 같이 이러한 설계들을 사용하여 고려되어야할 다른 문제들이 있다.
도 2c 내지 도 2e는 CCP (capacitively-coupled plasma) 반응기와 PAMA (210, 211) 의 연관 (및/또는 통합) 을 개략적으로 예시한다. 도 2c에 도시된 장치 설계 (203) 는 PAMA (210) 가 프로세싱 챔버의 상단부에 위치되는 관점으로 도 1a에 도시된 ICP 반응기 (201) 과 유사하다; 그러나, (ICP 반응기 (도 1a 및 도 1b) 에서와 같이) 플라즈마 생성을 위해 유도 코일들이 존재하는 대신, 플라즈마 생성 플레이트 전극 (280) 이 (플레이트 전극 (280) 과 기판 홀더/척 (230) 간의 전압 차의 인가를 통한) 플라즈마 생성을 위해 제공된다. 도 2a의 유도 코일들 (260) 의 경우에서와 같이, 플레이트 전극 (280) 은 PAMA (210) 로부터 방출된 마이크로파 복사선으로부터 프로세싱 챔버 (250) 의 내부를 차폐하는 경향이 있을 것이다. 따라서, 이 문제를 처리하기 위해, 도 2d에 도시된 사시도로 도시된 바와 같이, 플레이트 전극 (280) 은 어퍼처들 (292) 로 구성될 수 있고, 어레이 (210) 의 개별 안테나들의 위치들과 대략 정렬될 것이다. 실시예에 따라, 어퍼처들은 일반적으로 라운드되거나, 타원형이거나, 심지어 슬롯 형상이거나, 전술한 바들의 조합일 수도 있다.
유사하게, 도 2e는 CCP 반응기 장치 (204) 와 측면 장착된 PAMA의 통합을 개략적으로 예시한다. 도 2b의 ICP 반응기와 연관된 측면 장착된 PAMA와 유사하게, 도 2e의 CCP 반응기와 연관된 PAMA는 프로세싱 챔버 (250) 의 주변 둘레에 - 그리고, 도 2b에서와 같이, 프로세싱 챔버 (250) 의 측벽들 내 (적어도) 부분적으로 MW 투명 "윈도우" (272) 근방에 - 안테나들을 위치시키고, 이는 플레이트 전극 (280) 에 의한 간섭 문제를 방지한다. PAMA (211) 의 측벽 위치로 인해, 플레이트 전극 (280) 은 도 2d에 도시된 어퍼처들 (292) 을 제공할 필요가 없다는 것을 주의한다. 게다가, 반응 챔버 (250) 주변 둘레에 측벽 장착된 PAMA (211) 를 사용하여, 그리고 반응 챔버 상단부가 아닌 상단 벽 내부에 위치된 플레이트 전극 (280) 을 사용하여, (도 2e에 도시된 바와 같이) (적어도 부분적으로) MW/RF-투명 윈도우 (270) 가 제거될 수도 있다. 이 설계의 다른 의미들은 이하에 논의된다.
도 2a 내지 도 2e에 (각각) 도시된 프로세싱 장치들 (201, 202, 203, 및 204) 에 대해, 장치 각각과 연관된 PAMA들 (210 또는 211) 은 장치 내로 통합되는 방식으로 구성될 수도 있고, 또는 기존의 장치 설계에 대해 개량되기 (retrofit) 적절하게 사이징되는 별도의 컴포넌트들일 수도 있다. ICP 반응기들 및 또한 CCP 반응기들의 상세한 기술들이 이하에 제공되고, 공간적으로-타깃팅된 반응 레이트 조정을 위해 PAMA들로 개량될 수도 있다. (ALD 프로세스들을 수행하는데 적합한) 막 증착 장치들이 또한 이하에 기술되고, 하나 이상의 PAMA 디바이스들로 개량될 수도 있다.
부가적인 개량가능 컴포넌트로서 또는 프로세싱 장치의 완전히 통합된 원래 컴포넌트로서 제안되든, PAMA는 사이징되고, 이의 안테나들은 적용가능한 프로세싱 챔버 내로 마이크로파 복사선의 효과적으로 직접 포커싱된 빔(들)로서 적절하게 배열된다. 이에 따라, 적절한 상단-포지셔닝된 PAMA는 평면 내에 실질적으로 배열된 5 내지 256 개의 마이크로파 안테나들을 포함할 수도 있다. 평면형 배열은 안테나들의 몇몇 실질적으로 동심 원형그룹들을 포함할 수도 있다. 최외곽 그룹은 200 내지 400 ㎜, 또는 보다 구체적으로, 이러한 특정한 실시예들에서, 275 내지 325 ㎜의 직경을 가질 수도 있고; 이러한 안테나들의 3 내지 24 개의 실질적으로 평면형이고 실질적으로 동심 원형 그룹들이 있을 수도 있다. 일부 실시예들에서, 상단-포지셔닝된/장착된 PAMA의 인접한 안테나들 간 평균 간격은 0.1 내지 150 ㎝, 또는 보다 구체적으로, 0.2 내지 100 ㎝, 또는 더 보다 구체적으로, 0.5 내지 50 ㎝일 수도 있다.
유사하게, 적절한 측면/주변 포지셔닝된 PAMA는 도 2b 및 도 2e (단면으로) 에 그리고 도 3d-1 (이하에 논의됨) 에 도시된 바와 같이 서로에 대해 실질적으로 실린더형으로 배열된 8 내지 256 마이크로파 안테나들을 포함할 수도 있다. 일부 실시예들에서, 상기 실린더형 배열의 높이는 5 내지 500 ㎜, 또는 보다 구체적으로 100 내지 300 ㎜일 수도 있다. 일부 실시예들에서, 상기 실린더형 배열의 직경은 300 내지 600 ㎜, 또는 보다 구체적으로 350 내지 450 ㎜일 수도 있다. 측면/주변 포지셔닝된 PAMA에서 인접한 안테나들 간 평균 간격들은 0.1 내지 150 ㎝, 또는 보다 구체적으로 0.1 내지 15 ㎝일 수도 있다. 일부 실시예들에서, 안테나들은 몇몇 안테나들의 그룹들의 실린더형 스택으로 배열될 수도 있고, 그룹 각각은 실질적으로 원형 배열을 갖고; 예를 들어, 2 내지 7 개의 이러한 그룹들 (예를 들어, 도 2b 및 도 2e에서 4 개의 그룹들 및 도 3d-1에서 2 개의 그룹들) 이 있을 수도 있다. 일부 실시예들에서, - 증착, 에칭, 또는 다른 프로세싱 동작들을 위한 - 기판 프로세싱 장치는 상단-장착 PAMA 및 측면/주변-장착 PAMA를 포함할 수도 있고, 그러면 목표된 레벨의 플라즈마 밀도 수정에 영향을 주도록 함께 그리고/또는 일제히 사용될 수도 있다. 일부 실시예들에서, 충분히 강력한 PAMA 또는 PAMA들의 세트를 사용하여, PAMA(들) 자체가/자체들이 국부적인 플라즈마 밀도 수정을 위해 MW 복사선의 지향가능 빔들을 생성하기 위한 툴로서 기능하는 것에 부가하여, 플라즈마를 유지하고 전력공급하도록 EM 복사선의 주 소스로서 사용될 수도 있다. 기판 표면 상의 반응 레이트들을 조정하도록 협력하여 (PAMA + 온도 제어 어레이) 작동하도록 (그렇지만, 효과적으로, 이는 다시 온도 민감성 반응 프로세스, 에칭, 증착, 등을 필요로 할 것임) 기판 온도 제어 어레이 (예컨대 기판 홀더 내에 위치된 개별적으로 제어가능한 열-생성 저항성 엘리먼트들) 와 함께 사용될 전술한 PAMA 기반 표면 반응 레이트 제어 기법들을 방지할 원리는 없다는 것을 또한 주의한다. 이러한 온도 제어 어레이들의 예들은 2014년 1월 28일 출원된, 명칭이 "Heating Plate with Planar Heating Zones for Semiconductor Processing"인 미국 특허 제 8,637,794 호에서 알 수도 있고, 이는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
시뮬레이션 결과들
도 3a-1 내지 도 3d-7은 25 개의 마이크로파 안테나들로 구성된 위상 배열의 컴퓨터 모델로부터 생성된, 모범 기판 표면 상으로 또는 근방에 마이크로파 (MW) 복사선의 제어된 포커싱을 예시하는 시뮬레이션 결과들을 제공한다. 시뮬레이팅된 PAMA의 다양한 안테나들로부터 방출된 마이크로파 복사선의 상대적인 위상들 및/또는 상대적인 진폭들을 가변시킴으로써 다양한 결과들이 생성된다.
도 3a-1에 도시된 바와 같이, 제 1 세트의 시뮬레이션들은 PAMA (310) 가 반응 챔버 (350) 위에 포지셔닝되고, MW 복사선이 모범 기판 (320) 을 향해 아래로 포커싱된 장치 구성을 모델링한다. 따라서 이 구성은 도 2a에 개략적으로 예시된 ICP 에칭 챔버 또는 도 2c의 CCP 챔버에 대응할 수 있다. 3 개의 시뮬레이션들의 결과들이 도 3a-1: 각각 도 3a-2, 도 3a-3, 및 도 3a-4의 중심, 중간 지점, 및 에지로 나타낸 바와 같이, 기판 표면 상의 3 개의 상이한 스팟들로 포커싱된 MW 복사선의 빔으로 도시된다. 시뮬레이션 결과들은 모델링된 PAMA가 기판 표면 상의 3 개의 지정된 스팟들 각각으로 MW 빔을 포커싱하는 훌륭한 작업을 한다는 것을 도시한다.
도 3b-1은 (도 3a-1에서와 같이) 동일한 장치 구성에 대한 부가적인 결과들을 도시한다. 이 예에서, MW 빔은 다시 (도 3a-2에 도시된 바와 같이) 웨이퍼의 중심에 포커싱되지만, 여기서, 도 3b-2, 도 3b-3, 및 도 3b-4에 도시된 결과들은 도 3b-5에 도시된 웨이퍼 표면의 평면에서 MW 강도와 대비되도록 (도면에 도시된 바와 같이) 웨이퍼 표면의 평면 위의 다양한 상승 슬라이스들에서 MW 복사선의 강도를 도시한다. 이들 시뮬레이션 결과들은 MW 복사선이 (도 3a에 도시된 바와 같이) 기판 표면에 걸쳐 수평으로 국부화될 뿐만 아니라, 수직으로도 국부화된다는 것을 도시한다. 따라서 이들 시뮬레이션들은 도 1d에 도시된 바에 막연히 대응한다. 도 3c-1 내지 도 3c-5는 웨이퍼 에지를 향해 지향된 MW 복사선의 빔에 대해 유사한 결과들 (웨이퍼의 평면의 강도와 대비되는 다양한 수직 슬라이스들에서의 MW 강도) 을 도시하고, 다시 MW 강도의 상당한 수직 국부화는 수평 국부화를 동반한다는 것을 알 수 있다.
도 3d-1에 도시된 바와 같이, 시뮬레이션들의 다음 그룹은 PAMA (311) 가 반응 챔버 (350) 의 측면/주변 둘레에 포지셔닝되고, 그리고 MW 복사선은 모범 기판 (320) 을 향해 내측으로 포커싱되는 장치 구성에 대응한다. 따라서 이 구성은 도 2b에 개략적으로 예시된 ICP 에칭 챔버 또는 도 2e의 CCP 에칭 챔버에 대응할 수 있다. 3 개의 시뮬레이션 결과들은 반응 챔버 (350) (또는 도 2b의 250) 내에 에너자이징된 에칭 플라즈마의 존재시, 각각 중심, 중간 지점, 및 에지로 지향된 MW 빔을 사용한 도 3d-2, 도 3d-3, 및 도 3d-4에 도시된다. 에칭 플라즈마가 턴 오프된 유사한 결과들이 도 3d-5, 도 3d-6, 및 도 3d-7 (다시, 각각 중심, 중간 지점, 및 에지로 지향된 MW 빔) 에 도시된다. 에칭 플라즈마가 온되면, 결과들은 기판의 중간 지점 (도 3d-3) 및 에지 (도 3d-4) 에서 MW 빔 강도의 우수한 수평 국부화를 나타내지만, 빔이 중심으로 지향될 때 (도 3d-2) 불량한 국부화를 나타낸다. 이는 기판 중심이 어레이의 안테나들로부터 가장 멀어진 결과이다. PAMA (310) 가 반응 챔버 위에 위치된 (도 3a-1, 이하 참조) 구성에서, PAMA는 기판 중심 근방 뿐만 아니라 기판의 에지 영역 및 중간 지점 영역들에 위치된다는 점이 관찰된다는 것을 주의한다. 그러나, 도 3d-5, 도 3d-6, 및 도 3d-7 (다시, 각각 중심, 중간 지점, 및 에지) 은 기판의 중심에 도달하는 측면/주변 방출된 MW 복사선은 플라즈마가 턴 오프되면 사라진다 - 에너자이징된 플라즈마는 MW 복사선의 송신을 다소 차폐하는 이온화된 종을 갖지만, 에너자이징되지 않은 플라즈마는 그렇지 않기 때문이다 -. 이는 에너자이징된 상태와 에너자이징되지 않은 상태 사이에서 플라즈마를 사이클링하는 것이 (일부 실시예들에서, 반응/에칭 레이트 조정/향상이 어쨌든, 중간 지점 영역 및 에지 영역 근방에서 가장 중요할 수도 있지만) 심지어 기판의 표면 중심으로 이러한 PAMA 구성을 갖는 타깃팅된 MW 복사선의 펄싱된 인가를 허용할 수도 있다는 것을 암시한다.
PEDP (Plasma-Enhanced Deposition Processes) 및 연관된 장치들
프로세싱 동작시 반도체 기판 표면 근방에서 국부적인 온도 또는 국부적인 플라즈마 밀도를 조정 및/또는 제어하기 위한 다양한 기법들이 상기 기술되었다. 이들 기법들은 에칭 동작 또는 증착 동작의 맥락에서, 특히, 증착 면에서, PECVD 프로세스들 뿐만 아니라, ALD 프로세스들에 적용될 수도 있다. 이에 따라, 이들 증착 동작들 및 연관된 증착 장치들의 개요가 본 명세서에 제공된다. 또한, 다양한 기판 에칭 동작들에 사용될 수도 있고 기판 표면 근방에서 플라즈마 밀도를 국부적으로 제어하기 위해 위상 배열 마이크로파 안테나들을 사용하는 것이 또한 유익할 수도 있는, 장치들의 개요가 이하에 제공된다.
증착 프로세스들의 개요
많은 과제들이 반도체 웨이퍼들 상의 막 증착 프로세스들의 구현과 연관될 수도 있고, 대부분 우수한 웨이퍼에 걸친 균일도, 단일 웨이퍼 상에서 증착 사이클 간 (cycle-to-cycle) 의 균일도, 뿐만 아니라 웨이퍼들의 배치 (batch) 에 걸친 우수한 균일도를 나타내는 것을 목표로 한다는 사실로부터 파생된다. 부가적으로, 일부 업스트림 또는 다운스트림 불균일도를 보상하기 위해 특정한 불균일한 막 두께를 의도적으로 증착하는 것이 의도될 수도 있다. 이에 더하여, 프로세싱 쓰루풋 요건들은 종종 신속한 증착 사이클 시간들을 요구하고, 이는 연관된 물리적 하드웨어 뿐만 아니라 프로세스 설계 요건들에 대한 강한 요구를 제기할 수도 있다. 상기 기술된 바와 같이, 플라즈마 균일도는 종종 중요한 문제이고, 막 증착 동안 플라즈마 스트라이킹 (striking) 은 웨이퍼에 걸친 균일한 플라즈마 밀도 달성이 어렵게 할 수도 있다. 이러한 문제들은 상기 기술된 바와 같은 위상 배열 안테나들을 통한 보다 큰 플라즈마 밀도 제어를 달성하기 위한 기법들에 의해 유리해 질 수도 있다.
이하에 보다 상세히 기술될 바와 같이, 프로세싱 챔버 내 기판 상에 재료의 단일 층을 증착하기 위한 기본적인 ALD 사이클은: (i) 막 전구체가 흡착 제한된 층을 형성하도록 막 전구체를 기판 상에 흡착시키는 단계, (ii) 기판을 홀딩하는 프로세스 스테이션 근방으로부터 (적어도 일부, 존재한다면) (탈착된 전구체를 포함하여) 흡착되지 않은 막 전구체를 제거하는 단계, 및 (iii) 흡착되지 않은 전구체를 제거한 후, 기판 상에 막 층을 형성하도록, - 예를 들어, 상기 프로세스 스테이션 근방에서 플라즈마를 점화함으로써 - 흡착된 막 전구체를 반응시키는 단계를 포함할 수도 있다. (본 명세서에 사용된 바와 같은, "흡착되지 않은" 막 전구체는 탈착된 막 전구체를 포함하도록 규정된다.) 종종, ALD 사이클은 (iv) 상기 흡착된 막 전구체의 반응 후, 기판을 홀딩하는 상기 프로세스 스테이션 근방으로부터 기판 위에 증착된 막 전구체 반응 부산물 및/또는 탈착된 막 전구체를 제거하는 단계를 부가적으로 수반할 수도 있다. 단계 (ii) 및 단계 (iv) 에서의 제거는 기판 근방의 퍼지, 기준 압력으로 펌핑 다운 ("펌프-투-베이스") 함으로써 배기, 등을 통해 이루어질 수도 있다. 단계 (iii) 에서 표면 반응을 활성화하기 위해 사용된 플라즈마는 통상적으로 예를 들어, 하나 이상의 샤워헤드들을 통해 반응 챔버 내로 흐를 수도 있는 플라즈마 피드 가스에 의해 지원된다 (이하에 보다 상세히 기술됨). 일부 실시예들에서, 플라즈마 피드 가스는 단계 (ii) 및 단계 (iv) 의 제거를 유발하기 위해 챔버를 퍼지하도록 사용될 수도 있다.
그러나 (언급된 바와 같이), PECVD 프로세스들을 통해 증착된 막들의 웨이퍼에 걸친 균일도는 또한 국부적인 플라즈마 밀도 제어로부터, 예컨대 상기 기술된 바와 같은 위상 배열 마이크로파 안테나들의 채용을 통해 유리할 수도 있다. 전통적인 PECVD 프로세스들은 ALD 프로세스들과 일부 일반적인 유사성을 갖는다 - 예를 들어, PECVD 프로세스 및 ALD 프로세스 양자는 프로세스 챔버 내로 가스-상 막 전구체의 도입 이어서 후속하는 기판 상에 막층을 형성하기 위해 이들 전구체들의 플라즈마-활성화를 수반한다. 그러나, PECVD에서, 막 형성 반응들은 막 전구체가 여전히 가스-상 (또는 적어도 많은 정도로) 인 동안 일어나 막 재료가 보다 많은 양들로 보다 신속하게 형성되고 그 후 스스로 웨이퍼 표면 상으로 증착된다. 즉, ALD 프로세스들과 반대로, PECVD 프로세스들에서 일어나는 막 형성 반응들은 일반적으로 표면-매개되고 흡착-제한되지 않고, 따라서, PECVD 사이클 각각에서 막 재료의 흡착 제한된 층보다 훨씬 많이 증착된다. 일부 실시예들에서, 이 - PECVD가 보다 덜 점진적이라는 사실 - 는 PECVD가 대체로 ALD보다 덜 균일하게 하고, 따라서, 본 명세서에 개시된 국부적인 플라즈마 밀도 제어 기법들 및 하드웨어로부터 상당한 이점을 도출하기에 보다 적합하다.
막 증착 장치들
반도체 기판들 상에 막들을 증착하기 위한 동작들은 도 4a에 도시된 바와 같이 일반적으로 기판 프로세싱 장치에서 수행될 수도 있다. 이하에 보다 상세히 기술된, 도 4a의 장치 (400) 는 진공 펌프 (418) 에 의해 진공 하에 유지될 수도 있는 내부 볼륨 내에 단일 기판 홀더 (408) 를 갖는 단일 프로세싱 챔버 (402) 를 갖는다. 또한 (예를 들어) 막 전구체들, 캐리어 및/또는 퍼지 및/또는 프로세스 가스들, 2차 반응물질들, 등을 전달하기 위해 가스 전달 시스템 (401) 및 샤워헤드 (406) 가 유체로 커플링된다. 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 실시예는 또한 도 4a에 도시되고, 이하에 보다 상세히 기술될 것이다. 어떤 경우든, 이하에 상세히 기술된 바와 같이, 도 4a에 개략적으로 예시된 장치는 PECVD 프로세스들에 채용된 동작들 뿐만 아니라 ALD 프로세스들에 채용된 동작들과 같은 반도체 기판들 상에서 막 증착 동작들을 수행하기 위한 기본적인 장비를 제공한다.
일부 환경들에서 도 4a와 유사한 기판 프로세싱 장치가 충분할 수도 있지만, 시간 소모적인 막 증착 동작들이 수반된다면, 복수의 증착 동작들을 복수의 반도체 기판들에 대해 동시에 병렬적으로 수행함으로써 기판 프로세싱 쓰루풋을 상승시키는 것이 유리할 수도 있다. 이 목적을 위해, 멀티-스테이션 기판 프로세싱 장치가 도 4b에 개략적으로 예시된 바와 유사하게 채용될 수도 있다. (도 4a의 프로세싱 장치 (400) 는 단일 프로세싱 챔버 (402) 를 채용하는 것으로 도시되는 것 같이) 도 4b의 기판 프로세싱 장치 (440) 는 단일 기판 프로세싱 챔버 (445) 를 채용하지만, 프로세싱 챔버의 벽들에 의해 규정된 단일 내부 볼륨 내에 복수의 기판 프로세스 스테이션들이 있고, 기판 프로세스 스테이션 각각이 연관된 웨이퍼 홀더에 홀딩된 기판 상에서 프로세싱 동작들을 수행하도록 사용될 수도 있다. 이러한 특정한 실시예에서, 멀티-스테이션 기판 프로세싱 장치 (440) 는 4 개의 프로세스 스테이션들 (441, 442, 443, 및 444) 을 갖는 것으로 도시된다. 장치는 또한 프로세스 스테이션들 (441 및 442) 에서 기판들을 로딩하기 위한 기판 로딩 디바이스, 이 경우에서 기판 핸들러 로봇 (446) 및 다양한 프로세스 스테이션들 (441, 442, 443, 및 444) 사이에서 기판들을 이송하기 위한 기판 이송 디바이스, 이 경우 기판 캐로절 (490) 을 채용한다. 실시예에 따라 그리고 상기 언급된 바와 같이, 프로세스 스테이션 각각은 고유의 위상 배열 마이크로파 안테나들과 연관될 수도 있고 - 즉, 스테이션에 특정된 어레이, 그리고 따라서, 예를 들어, 4-스테이션 챔버는 4 위상 배열들을 가질 수도 있다 - 또는, 일부 실시예들에서, 단일 위상 배열는 복수의 프로세스 스테이션들에서 플라즈마 밀도에 영향을 주도록 사용될 수 있는 조종가능 마이크로파 복사선의 하나 이상의 빔들을 제공할 수도 있다 - 예를 들어, 4-스테이션 챔버는 모든 4 프로세스 스테이션들에서 플라즈마 밀도를 조정하는 단일 위상 배열 마이크로파 안테나들을 가질 수도 있다 - 는 것을 주의한다. 다른 유사한 멀티-스테이션 프로세싱 장치들이 실시예에 따라 보다 많거나 보다 적은 프로세싱 스테이션들, 그리고 예를 들어, 목표된 레벨의 병렬 웨이퍼 프로세싱, 사이즈/공간 제약들, 비용 제약들, 등을 가질 수도 있다. 효과적인 기판 증착 동작들 예컨대, 예를 들어, ALD 동작들을 수행할 목적을 보조하는 (이하에 보다 상세히 기술될) 제어기 (450) 가 또한 도 4b에 도시된다.
다양한 효율성들 - 장비 가격 및 동작 비용 양자에 대하여 - 이 도 4b에 도시된 바와 유사한 멀티-스테이션 프로세싱 장치의 사용을 통해 달성될 수도 있다는 것을 주의한다. 예를 들어, 단일 진공 펌프 (도 4b에는 미도시, 그러나 예를 들어, 도 4a의 418) 가 모든 4 프로세스 스테이션들에 대해 단일 고-진공 분위기를 생성하도록 사용될 수도 있고, 상기 펌프는 또한 모든 4 프로세스 스테이션들에 대해 사용된 프로세스 가스들을 배기하도록 사용될 수도 있다. 실시예에 따라, 프로세스 스테이션 각각은 통상적으로 가스 전달을 위한 전용 샤워헤드 (예를 들어, 도 4a의 406 참조) 를 갖지만, 샤워헤드들로 가스를 공급하는 가스 전달 시스템의 일부 컴포넌트들 (예를 들어, 도 4a의 401) 은 공유될 수도 있다. 유사하게, 플라즈마 생성기 장비의 특정한 엘리먼트들 (예를 들어, 전력 공급부들) 은 프로세스 스테이션들 사이에서 공유될 수도 있지만, 실시예에 따라, 특정한 양태들은 프로세스 스테이션-특정될 수도 있다 (예를 들어, 플라즈마 생성 전위를 인가하기 위해 샤워헤드들이 사용된다면 - 이하의 도 4a의 추가 논의 참조). 그러나, 다시, 이러한 효율성들은 또한 반응 챔버 당 2, 3, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 또는 16, 그 이상의 프로세스 스테이션들과 같이, 프로세싱 챔버 당 보다 많거나 보다 적은 수의 프로세스 스테이션들을 사용함으로써 보다 큰 정도 또는 보다 작은 정도로 달성될 수도 있다는 것이 이해된다.
단일 프로세싱 챔버 내에서 복수의 프로세스 스테이션들을 채용하는 것과 연관된 또 다른 장점은 이러한 설계가 통상적으로 단일 프로세스 스테이션 챔버 내에서 일반적으로 실현가능한 것보다 높은 전력 플라즈마들의 사용을 허용한다는 것이다. 이는 멀티-스테이션 챔버가 일반적으로 단일 스테이션 챔버보다 체적적으로 보다 크고, 보다 큰 챔버 볼륨은 챔버 벽들에 전기적 아킹 (arcing) 을 유발하지 않고 플라즈마 생성을 위한 보다 큰 전압들의 사용을 허용한다 - 보다 큰 플라즈마 전력들이 안전하게 사용될 수 있다는 의미 - 는 사실로 인한 것이다. 예를 들어, 유전체 막 증착의 경우, 보다 높은 전력공급된 플라즈마가 종종 바람직한 특성인, 대응하여 보다 높은 밀도를 갖는 증착된 유전체 막을 발생시키기 때문에, 보다 높은 플라즈마 전력들이 유리하다.
복수의 프로세스 스테이션들을 갖는 보다 큰 프로세싱 챔버들을 사용하는 것이 전술한 이점들을 제공할 수도 있지만, 한편, 특정한 장점들은 일반적으로 보다 작은 단일-스테이션 프로세싱 챔버를 채용하는 것과 연관될 것이다. 이들 이점들 중 하나는 챔버 볼륨들의 신속한 사이클링이다 - 즉, 반응물질들, 반응 부산물들, 등을 신속하게 도입하고 제거하는 능력 -. 이러한 신속한 사이클링은 인지가능한 두께의 막을 증착하기 위해 많은 증착 사이클들이 요구되고, 따라서 시간-소모적 사이클링 챔버 볼륨들이 꽤 중요해질 수도 있는 ALD 프로세스들에서 특히 중요할 수도 있다. 따라서, 보다 큰 볼륨 멀티-프로세스 스테이션 챔버들의 이점들을 보다 작은 볼륨 단일-프로세스 스테이션 챔버들과 통상적으로 연관된 이점들을 조합하기 위해, 멀티-스테이션/챔버 프로세싱 장치는 다양한 프로세스 스테이션들 사이에서 가스의 커튼들을 흘림으로써 프로세스 스테이션 각각에서 작은 볼륨 챔버를 "시뮬레이팅"할 수도 있어서, 막 증착 동작들 동안 챔버를 서로 체적적으로 격리한다. 예를 들어, 증착 동작들 동안, 이러한 "커튼 가스"는 반응물질들, 플라즈마 피드 가스들, 등의 상호혼합을 방지하도록 프로세스 스테이션들 사이에서 흐를 수도 있지만, 프로세스 스테이션 각각에서 일어나는 반응성 막-증착 프로세스들을 간섭하지 않는다 (적어도 실행불가능한 정도가 아니다). 이는 반응물질 플로우 및 부산물 퍼지의 목적들을 위해 보다 작은 볼륨을 "시뮬레이팅"할 수도 있지만, 보다 큰 챔버 볼륨의 장점들이 특정한 컴포넌트 비용들의 스케일링 및 고 플라즈마 전력에 대해 온전하게 유지된다.
더욱이, 전술한 이점들에 더하여, 커튼 가스 플로우를 통한 프로세스 스테이션들의 체적적으로 격리는 동작들의 시퀀스로 하여금 증착 사이클이 프로세스 스테이션들 간에서 스태거되게 할 수도 있다. ALD 프로세스들에 대해 이러한 스태거된 사이클링과 연관된 다양한 이점들은, 예를 들어, 2013년 12월 18일 출원되고, 이제는 미국 특허 제 8,940,646 호인, 명칭이 "SEQUENTIAL 전구체 DOSING IN AN ALD MULTI-STATION/BATCH REACTOR"인 미국 특허 출원번호 제 14/133,246 호 (대리인 관린 번호 LAMRP059US) 에 상세히 기술되고, 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
그러나, - ALD 동작 또는 PECVD 동작에 대해 - 달성될 전술한 이점들에 대해 다양한 프로세스 스테이션들이 커튼 가스 플로우에 의해 서로 완전히 체적적으로 격리되어야 하는 것은 아니라는 것을 주의한다. 일반적으로, 사실이 아니길 기대할 것이다. 따라서, 본 개시의 맥락에서, 커튼 가스 플로우를 통해 일 프로세스 스테이션을 또 다른 스테이션으로부터 "체적적으로 격리"하는 것은 프로세스 스테이션들 간의 커튼 가스 플로우는, 이러한 커튼 가스가 채용되지 않는다면 일어날 프로세스 스테이션들 간의 가스들의 혼합을 상당히 감소시키도록 작용한다는 것을 의미하도록 해석되어야 한다. 이는 프로세스 스테이션 각각이 각자 분리된 프로세스 챔버 내에 상주하면 존재할 "완전한" 또는 "완벽한" 체적적으로 격리와 대비되고; 커튼 가스를 사용하여 체적적으로 격리하는 것은 이러한 완전한/완벽한 분리/격리를 요구하거나 암시하지 않는다.
플라즈마-기반 증착 동작들에서, 커튼 가스는 개념적으로 플라즈마 피드 가스로부터 구별되는 것으로 보여질 수도 있고, 후자는 막 증착을 유발하는 반응을 활성화하기 위해 사용되는 플라즈마를 지지하도록 사용된다는 것을 또한 주의한다. 일부 실시예들에서, 적절할 때, 플라즈마 피드 가스는 또한 상이한 프로세스 스테이션들 근방으로부터 흡착되지 않은 막 전구체 (반응물질) 를 제거하기 위한 퍼지 가스로서 사용된다는 것을 주의한다. 따라서, 커튼 가스는 증착 사이클의 모든 스테이지들 동안 프로세싱 스테이션 내로 연속적으로 흐를 수 있고 (통상적으로 흐를 것이고), 플라즈마 피드 가스는 특정한 프로세스 스테이션들에서 수행되는, 플라즈마 활성화 (그리고 퍼지 가스로서 사용된다면 퍼지 동작들) 동안 통상적으로 프로세싱 챔버로만 - 그리고, 보다 구체적으로, 프로세스 스테이션들로 - 흐를 것이다.
일부 실시예들에서, 멀티-스테이션 막 증착 장치들은 프로세스 스테이션 각각과 연관된 샹들리에-타입 샤워헤드들을 채용할 수도 있다. 이러한 샹들리에 샤워헤드들은 일반적으로 헤드 부분 및 스템 부분을 포함할 수도 있고, 헤드 부분의 하단 표면은 막 전구체, 플라즈마 피드 가스 및 가능하면 구별된 퍼지 가스를 프로세스 스테이션 각각의 근방의 프로세싱 챔버 내로 흘리기 위한 어퍼처들을 제공한다. 샤워헤드의 스템 부분은 프로세싱 챔버 내 프로세스 스테이션 각각 위로 헤드 부분을 지지하도록/매달도록 (hang), 또한 헤드 부분의 어퍼처들로 막 전구체 (및/또는 다른 반응물질들), 플라즈마 피드 가스, 등을 흘리기 위한 유체 경로/연결부를 제공하도록 존재한다. 일반적으로, 샹들리에-타입 샤워헤드 설계들이 기판 표면에 대한 막 전구체 플로우의 우수한 공간적으로 균일한 분포를 허용하고, 그렇지 않으면 플로우 소스들의 지점으로서 역할을 하는 소수의 노즐들만을 사용하여 달성될 것과 비교하여 개선된다는 것을 알 수 있다.
부가적으로, 이러한 샤워헤드들은 또한 (ALD 동작 또는 PECVD 동작에서든) 증착 반응을 활성화하기 위해 사용되는 프로세스 스테이션 각각에서 플라즈마를 생성하는 (그리고 유지하는) 역할을 할 수도 있다. 특히, 적합한 전위의 인가시, 샹들리에 샤워헤드 각각은 플라즈마 생성을 위한 2 개의 전극들 중 하나로서 기능할 수도 있고, 다른 전극은 그 사이에 전위가 인가되는 기판 홀더 (예를 들어, 페데스탈) 이다. 샹들리에 설계는 기판 표면에 가깝게 샤워헤드의 포지셔닝을 허용하고, 따라서 기판에 매우 가깝게 효과적인 플라즈마 생성을 허용할 뿐만 아니라 기판에 가까운 막 전구체 (반응물질) 의 공간적으로 균일한 분포를 제공한다. (샹들리에-타입 샤워헤드를 통한) 이러한 방식의 플라즈마 생성은 플라즈마와 접지된 챔버 벽들 사이에 보다 큰 공간적 분리를 제공할 수도 있고, 이는 다시, (예를 들어 챔버 상단 벽과 같은 높이로 장착된 샤워헤드를 사용하는 것에 비해) 보다 높은 전력이 공급된 플라즈마들의 사용을 허용한다는 것을 또한 주의한다. 부가적으로, 상기 언급된 바와 같이, 플라즈마 피드 가스가 퍼지 가스로서 또한 사용된다면, 그러면 기판 근방의 플라즈마 피드 가스의 도입은 흡착되지 않은 막 전구체 및/또는 반응물질 부산물의 효과적이고 효율적인 퍼지를 허용한다.
또한, 샹들리에-타입 샤워헤드의 사용은 플라즈마 피드 가스로 하여금 기판 표면에 가깝게 도입되게 하고, 커튼 가스는 샹들리에 샤워헤드들 각각의 헤드 위치들 뒤의 진입 지점들로부터 특히, 일부 실시예들에서, 샤워헤드들의 스템 부분들을 둘러싸는 샤워헤드 칼라들 내의 어퍼처들을 통해 프로세싱 챔버 내로 도입될 수도 있다. 더욱이, 이러한 특정한 실시예들에서, 커튼 가스는 이들 어퍼처들로부터 헤드 부분들의 기판들의 평면 및/또는 하단 표면들에 실질적으로 평행한 방향들로, 그리고 따라서 일반적으로 처음에 샤워헤드의 헤드의 하단 표면으로부터 방사되는 (emanating) 플로우에 수직인 방향들로 흐를 수도 있다. 커튼 가스의 이 플로우는 커튼 가스가 커튼 가스 플로우가 아래 쪽으로 턴 (turn) 할 수도 있고, 이제 샤워헤드의 헤드로부터 플라즈마 피드 가스 및/또는 퍼지 가스의 플로우에 평행한 지점인 샤워헤드의 후면의 단부 (샤워헤드의 헤드 부분의 상단 표면) 에 도달할 때까지 측방향으로 계속될 수도 있다. 이러한 플로우 패턴은 도 5a의 단일 프로세스 챔버에 대하여 예시된다 - 프로세싱 챔버 (502), 샤워헤드 (506), 샤워헤드 칼라 (530); 및 커튼 가스 및 플라즈마 피드 (및 반응물질 전구체) 플로우 경로들 (각각 510 및 520) 참조 -. 도 5a에 도시된 구성에서, 전술한 기술과 일치하여, 플라즈마 피드 가스 소스 (512) 로부터 플라즈마 피드 가스는 샤워헤드 (506) 의 헤드 부분의 하단 표면을 통해 챔버 (502) 내로 흐르는 한편, 커튼 가스 소스 (522) 로부터의 커튼 가스는 샤워헤드 (506) 의 스템 부분을 둘러싸는 샤워헤드 칼라 (530) 내 어퍼처들을 통해 챔버 (502) 내로 흐른다. 따라서, 본 명세서에서 커튼 가스 (단일 스테이션 맥락에서 조차 기술형 구 "커튼 가스"가 유지된다는 것을 주의) 는 샤워헤드 (506) 의 후면의 중심 축 근방의 프로세싱 챔버 (502) 내로 도입되고, 페데스탈 (508) 상에 홀딩된 기판 (512) 의 평면에 실질적으로 평행한 (그리고 샤워헤드 (506) 의 헤드 부분의 하단 표면에 실질적으로 평행한) 플로우로 도입된다. 그러면 이렇게 도입된 커튼 가스는 샤워헤드 둘레를 흐르고 크로스-플레이트 (503) 근방에서 챔버를 나가기 전에 (도 5a에서 화살표들로 개략적으로 예시된 바와 같이) 챔버 측벽들 아래로 진행된다.
커튼 가스 플로우를 통한 프로세스 스테이션들 간 체적 격리는, 프로세싱 장치 (550) 의 멀티-스테이션 프로세싱 챔버 (503) 내 한 쌍의 프로세스 스테이션들 (511 및 512) (도 5b의 대시선 참조) 를 도시하는 도 5b에 예시된다. 가스 플로우의 방향을 나타내는 화살표들에 의해 도면에 예시된 바와 같이, (단일 스테이션의 맥락에서) 도 5a에 도시된 커튼 가스 플로우에 부가하여, 본 명세서에서 커튼 가스 (520) 가 부가적으로 서로를 체적적으로 격리하는 프로세스 스테이션들 (511 및 512) 사이를 흐른다. 이 도면은 한 쌍의 프로세스 스테이션들을 단면으로 도시하고, 따라서 이 도면은 2-스테이션 프로세싱 챔버 실시예를 대표할 수 있고, 또는 도 4b에 개략적으로 예시된 바와 같이, 4-스테이션 프로세싱 챔버 실시예의 단면도를 대표할 수 있다. 어떠한 경우든, 도시된 한 쌍의 프로세스 스테이션 각각은 도 5a에 도시된 단일 프로세스 스테이션과 유사하고, 따라서 도 5a를 동반하는 기술 (또한 참조 번호) 이 또한 적절하게 도 5b에 적용되고, 도 5b에서 가장 중요한 차이는 한 쌍의 프로세스 스테이션들 (511 및 512) 이 있고, 이 한 쌍의 프로세스 스테이션들은 커튼 가스 (520) 의 플로우에 의해 서로 체적적으로 격리/분리된다.
도 4a에 도시된 단일 프로세스 스테이션 증착 장치의 다양한 추가 양태들이 이제 기술된다: 지금 기술된 많은 이들 추가 양태들은 또한 멀티-스테이션/챔버 증착 장치의 맥락에 적용된다는 것이 자명하다. 도면에 도시된 바와 같이, 프로세스 스테이션 (400) 은 분배 샤워헤드 (406) 로 프로세스 가스들을 전달하기 위한 반응물질 전달 시스템 (401) 과 유체로 연통한다. 반응물질 전달 시스템 (401) 은 샤워헤드 (406) 로의 전달을 위해 프로세스 가스들은 블렌딩하고 그리고/또는 컨디셔닝하기 위한 공통의 혼합 용기 (404) 를 포함한다. 하나 이상의 혼합 베젤 유입부 밸브들 (420) 이 혼합 용기 (404) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 일부 반응물질들은 기화 및 프로세스 챔버 (402) 로의 후속 전달 전에 액체 형태로 저장될 수도 있다. 도 4a의 실시예는 혼합 용기 (404) 로 공급될 액체 반응물질을 기화하기 위한 기화 지점 (403) 을 포함한다. 일부 실시예들에서, 기화 지점 (403) 은 가열된 액체 주입 모듈일 수도 있다. 일부 실시예들에서, 기화 지점 (403) 은 가열된 기화기일 수도 있다. 이러한 모듈들/기화기들로부터 생성된 포화된 반응물질 증기는 적절한 제어가 일어나지 않을 때 (예를 들어, 액체 반응물질 기화/원자화 시 헬륨이 사용되지 않을 때) 다운스트림 전달 파이프에서 응결할 수도 있다. 양립할 수 없는 가스들의 응결된 반응물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고 (clog), 밸브 동작을 지연시키고, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 방법들은 잔여 반응물질을 제거하기 위해 전달 파이프를 스윕핑 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 스윕핑하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 증가시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (403) 의 전달 파이핑 다운스트림에서 열 처리될 수도 있다. 일부 예들에서, 혼합 용기 (404) 가 또한 열 처리될 수도 있다. 비제한적인 일 예에서, 기화 지점 (403) 의 파이핑 다운스트림에서 혼합 용기 (404) 은 대략 100 ℃ 내지 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서 기화 지점 (403) 은 가열된 액체 주입 모듈 (간략히 "액체 주입기") 일 수도 있다. 이러한 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기의 업스트림에서 캐리어 가스 스트림으로 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래시함으로써 반응물질을 기화시킬 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프에서 후속하여 기화되는 분산된 마이크로드롭릿들로 액체를 원자화할 수도 있다. 보다 작은 드롭릿들이 보다 큰 드롭릿들보다 보다 고속으로 기화될 수도 있어서, 액체 주입과 기화 완료 간의 지연을 감소시킨다는 것이 이해될 것이다. 보다 고속의 기화는 기화 지점 (403) 으로부터 파이핑 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (404) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 분배 샤워헤드 (406) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (403) 의 업스트림에, LFC (liquid flow controller) 가 기화 및 프로세싱 챔버 (402) 로의 전달을 위해 액체의 질량 유량을 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 유량을 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (406) 는 프로세스 스테이션의 기판 (412) 을 향하여 프로세스 가스들 및/또는 반응물질들 (예를 들어, 막 전구체들) 을 분배하고, 이 플로우는 샤워헤드로부터 업스트림의 하나 이상의 밸브들 (예를 들어, 밸브들 (420, 420A, 405)) 에 의해 제어된다. 도 4a에 도시된 실시예에서, 기판 (412) 은 샤워헤드 (406) 밑에 위치되고, 페데스탈 (408) 상에 얹힌 것으로 도시된다. 샤워헤드 (406) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (412) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 마이크로볼륨 (407) 은 샤워헤드 (406) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨에서보다 기판 근방의 프로세스 스테이션의 마이크로볼륨 내에서 ALD 프로세스를 수행하는 것은 반응물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스의 노출을 제한하는 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적을 포함한다.
일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 을 마이크로볼륨 (407) 에 노출시키고 그리고/또는 마이크로볼륨 (407) 의 체적을 가변하기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (408) 은 기판 (412) 으로 하여금 페데스탈 (408) 상으로 로딩되게 하도록 하강될 수도 있다. 기판 상의 증착 프로세스 페이즈 동안, 페데스탈 (408) 은 마이크로볼륨 (407) 내에 기판 (412) 을 포지셔닝하기 위해 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (407) 은 증착 프로세스 동안 높은 플로우 임피던스 영역을 생성하기 위해 페데스탈 (408) 의 일부뿐만 아니라 기판 (412) 을 완전히 둘러쌀 수도 있다.
선택가능하게, 페데스탈 (408) 은 마이크로볼륨 (407) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 일부의 증착 프로세스 동안 하강되고 그리고/또는 상승될 수도 있다. 프로세스 챔버 바디 (402) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (408) 을 하강시키는 것은 마이크로볼륨 (407) 으로 하여금 배기되게 할 수도 있다. 프로세스 챔버 볼륨에 대한 마이크로볼륨의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비를 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 시스템 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다. 또 다른 시나리오에서, 페데스탈 (408) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 예를 들어, ALD 또는 CVD 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변되게 할 수도 있다. 증착 프로세스 페이즈의 종료 시, 페데스탈 (408) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (408) 로부터 기판 (412) 의 제거를 허용하기 위해 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이-조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 샤워헤드 (406) 의 위치는 마이크로볼륨 (407) 의 체적을 가변하기 위해 페데스탈 (408) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (408) 및/또는 샤워헤드 (406) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 전술한 동작들 전부 또는 서브세트를 수행하기 위한 머신-판독가능 인스트럭션들을 갖는 하나 이상의 적합한 시스템 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
또한, 도 4a에 도시된 바와 같이, 샤워헤드 (406) 및 페데스탈 (408) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (414) 및 매칭 네트워크 (416) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 (예를 들어, 적절한 머신-판독가능 인스트럭션들을 갖는 시스템 제어기를 통해) 제어될 수도 있다. 예를 들어, RF 전력 공급부 (414) 및 매칭 네트워크 (416) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (414) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (414) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 50 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비제한적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해서 제어될 수도 있다. 일 예에서, 플라즈마 활성화 페이즈를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈 내에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은, 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록, 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들이 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈 내에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 (예를 들어, 헬륨) 의 플로우 레이트 및/또는 반응물 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 생성기를 전력 설정점으로 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 후속하는 레시피 페이즈는 플라즈마 생성기를 인에이블하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 하위분할 및/또는 반복될 수도 있다는 것이 이해될 것이다.
일부 증착 프로세스들에서, 플라즈마 스트라이킹은 약 수 초 이상의 지속기간으로 지속된다. 본 명세서에서 기술된 특정 구현예들에서, 훨씬 보다 단축된 플라즈마 스트라이킹들이 프로세싱 사이클 동안에 인가될 수도 있다. 이는 약 50 ㎳ 내지 1 초, 특정 예에서는 0.25 초일 수도 있다. 이러한 짧은 RF 플라즈마 스트라이킹은 플라즈마의 신속한 안정화를 요구한다. 이를 달성하기 위해서, 플라즈마 생성기는, 주파수가 플로팅되게 되는 동안 임피던스 매칭이 특정 전압으로 사전설정되도록 구성될 수도 있다. 통상적으로, 고 주파수 플라즈마들은 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시예들에서, 주파수는 이 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정시키면서 주파수가 플로팅되게 함으로써, 플라즈마는 훨씬 보다 신속하게 안정화될 수 있으며, 이 결과는 ALD 사이클들과 연관된 매우 짧은 플라즈마 스트라이킹을 사용할 때에 중요할 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은 가열기 (410) 를 통해서 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세스 장치 (400) 에 대한 압력 제어는 버터플라이 밸브 (418) 와 같은 하나 이상의 밸브-동작된 진공 소스들을 사용하여서 제공될 수도 있다. 도 4의 실시예에서 도시된 바와 같이, 버터플라이 밸브 (418) 는 다운스트림 진공 펌프 (미도시) 에 의해서 제공된 진공을 쓰로틀링한다. 그러나, 일부 실시예들에서, 프로세스 장치 (400) 의 압력 제어는 프로세싱 챔버 (402) 로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 또한 조절될 수도 있다. 일부 실시예들에서, 하나 이상의 밸브-동작된 진공 소스들 - 예컨대 버터플라이 밸브 (418) - 이 적절한 ALD 동작 페이즈들 동안 프로세스 스테이션들 주변의 볼륨들로부터 막 전구체를 제거하기 위해 사용될 수도 있다.
이제 도 4b를 참조하면, 상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 기판 프로세싱 툴에 포함될 수도 있다. 도 4b는 공통 저-압력 프로세싱 챔버 (445) 내에 복수의 프로세스 스테이션들 (441, 442, 443, 444) 을 포함하는 멀티-스테이션 프로세싱 툴 (400) 의 예를 개략적으로 예시한다. 스테이션 각각을 저-압력 분위기로 유지함으로써, 막 증착 프로세스들 사이에서 진공 브레이크로 인한 디펙트들이 방지될 수도 있다.
도 4b에 도시된 바와 같이, 멀티-스테이션 프로세싱 툴 (400) 은 기판 로딩 포트 (460), 및 포드 (448) 로부터 로딩된 카세트로부터 기판들을 대기 포트 (449) 를 통해 프로세싱 챔버 (445) 내로, 그리고 마침내 프로세스 스테이션들 상으로, 이동시키도록 구성된 기판 핸들러 로봇 (446) 을 갖는다. 구체적으로, 이 경우에서, 기판 핸들러 로봇 (446) 은 프로세스 스테이션들 (441 및 442) 에서 기판들을 로딩하고, 그리고 기판 이송 디바이스, 이 경우 기판 캐로절 (490) 은 다양한 프로세스 스테이션들 (441, 442, 443, 및 444) 사이에서 기판들을 이송한다. 도 4b에 도시된 실시예에서, 기판 로딩 디바이스는 기판 조작을 위한 2 개의 암들을 갖는 기판 핸들러 로봇 (446) 으로서 도시되고, 따라서, 도시된 바와 같이, 스테이션들 (441 및 442) 양자에서 (아마도 동시에, 또는 아마도 순차적으로) 기판들을 로딩할 수 있다. 이어서, 스테이션들 (441 및 442) 에서 로딩한 후, 기판 이송 디바이스, 도 4b에 도시된 캐로절 (490) 은 스테이션들 (441 및 442) 로부터 스테이션들 (443 및 444) 로 2 개의 기판들을 이송하기 위해 180 도 회전할 수 있다 ((페이지로부터 나오는) 기판들의 평면에 실질적으로 수직이고, 기판들 사이에서 실질적으로 등거리인 중심 축을 중심으로). 이 때, 핸들러 로봇 (446) 은 스테이션들 (441 및 442) 에서 2 개의 새로운 기판들을 로딩할 수 있고, 로딩 프로세스를 완료한다. 언로딩하기 위해, 4 개의 웨이퍼들의 복수의 세트들이 프로세싱된다면, 핸들러 로봇 (446) 에 의한 2 개의 기판들의 언로딩 각각은 이송 캐로절 (490) 을 180 도 회전하기 전에 2 개의 새로운 기판들을 로딩하는 것과 동반되는 것을 제외하고, 이들 단계들은 역전될 수 있다. 유사하게, 단 하나의 스테이션, 즉 441에 기판들을 배치하도록 구성된 1-암 핸들러 로봇은 모든 4 개의 스테이션들에 기판들을 로딩하기 위해 90 도의 캐로절 (490) 의 4 회전들을 동반하는 4 단계 로딩 프로세스에 사용될 것이다.
도 4b에 도시된 도시된 프로세싱 챔버 (445) 는 4 개의 프로세스 스테이션들, (441, 442, 443, 및 444) 을 제공한다. 스테이션 각각은 가열된 페데스탈 (도 4a에 도시된 프로세스 스테이션에 대해 408 로 도시됨) 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이한 목적 또는 복수의 목적들을 가질 수도 있다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 프로세스 모드와 CVD/PECVD 프로세스 모드 사이에서 스위칭할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (445) 는 ALD/CVD/PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버는 4 개의 프로세스 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6, 또는 7, 또는 8, 또는 9, 또는 10, 또는 11, 또는 12, 또는 13, 또는 14, 또는 15, 또는 16, 또는 그 이상의 프로세스 스테이션들을 가질 수도 있다 (또는 실시예들의 세트는 예컨대 반응 챔버 당 2 내지 6 개의 프로세스 스테이션들, 또는 반응 챔버 당 4 내지 8 개의 프로세스 스테이션들, 또는 반응 챔버 당 8 내지 16 개의 프로세스 스테이션들, 등을 갖는 전술한 값들의 임의의 쌍으로 규정된 범위 내에 반응 챔버 당 다수의 프로세스 스테이션들을 갖는 것으로 기술될 수도 있다).
상기 나타낸 바와 같이, 도 4b는 프로세싱 챔버 (445) 내에서 프로세스 스테이션들 (441, 442, 443, 및 444) 사이에서 기판들을 이송하기 위한 기판 이송 디바이스 (490) 의 실시예를 도시한다. 임의의 적합한 기판 이송 디바이스가 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 및 기판 핸들러 로봇들을 포함한다.
에칭 프로세싱 장치들의 기술
본 명세서에 개시된 위상 배열 마이크로파 안테나들 및 마이크로파 지향 및 포커싱 기법들은 에칭 프로세스 따라서 프로세싱 장치에 또한 채용될 수도 있다. 반도체 기판 에칭 동작들을 달성하기 위해 적합한 장치는 (이하에 기술된 바와 같은) 멀티-스테이션 기판 프로세싱 툴에 포함된 하나 이상의 프로세스 스테이션들/모듈들, 및 본 명세서에 기술된 기법들 및 동작들에 따른 장치의 프로세스 동작들을 제어하기 위한 머신-판독가능 인스트럭션들을 갖는 (또는 액세스하는) (이하에 기술된 바와 같은) 제어기를 포함할 수도 있다.
따라서, 이하에 기술된 다양한 CCP 반응기 및 ICP 반응기의 맥락에서 보다 구체적으로 기술된 바와 같이, 적절한 기판 프로세싱 장치는 일반적으로 프로세싱 챔버, 플라즈마 생성기, 프로세싱 챔버 내로 가스들을 흘리기 위해 구성된 하나 이상의 가스 플로우 유입부들, 진공 펌프, 진공 펌프로의 밸브-제어된 도관, 위상 배열 마이크로파 안테나들 (PAMA), 및 이들 컴포넌트들의 동작들을 제어하기 위한 제어기를 포함할 수도 있다. 일부 실시예들에서, 이러한 장치는 프로세싱 챔버 내에서 형성된 플라즈마들의 방출 강도들을 측정하기 위한 광학 검출기를 더 포함할 수도 있고, 그리고 전술한 장치에 의해 구현된 프로세싱 모듈은 이 장치를 사용하여 반도체 기판 상의 에칭된 피처의 에칭 프로파일을 측정하기 위한 계측 툴에 대한 액세스를 할 수도 있다. 이하의 기술들은 보다 상세히 적합한 에칭 챔버들을 예시한다.
에칭 동작들에서 사용하기 위한 CCP 반응기들
CCP 반응기들은 미국 특허 출원 번호 제 12/367,754 호 및 명칭이 "ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL"로서 2009년 2월 9일 출원된 미국 특허 제 8,552,334 호, 및 2014년 11월 12일 출원된 명칭이 "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS"인 미국 특허 출원 번호 제 14/539,121 호에 기술되고, 이들 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
예를 들어, 도 6a 내지 도 6c는 조정 가능한 갭 용량 결합된 한정된 RF 플라즈마 반응기 (600) 의 실시예를 예시한다. 도시된 바와 같이, 진공 프로세싱 챔버 (602) 는 하부 전극 (606) 을 하우징하는 내부 공간을 둘러싸는, 챔버 하우징 (604) 을 포함한다. 챔버 (602) 의 상부 부분에서, 상부 전극 (608) 은 하부 전극 (606) 으로부터 수직으로 이격된다. (플라즈마 생성을 위해 사용되도록 구성된) 상부 및 하부 전극들 (608, 606) 의 평면의 표면들은 전극들 사이의 수직 방향에 대해 실질적으로 평행하고 직교한다. 바람직하게 상부 및 하부 전극들 (608, 606) 은 수직 축에 대해 동축이고 원형이다. 상부 전극 (608) 의 하부 표면은 하부 전극 (606) 의 상부 표면과 대면한다. 이격된 대면 전극 표면들은 전극 표면들 사이에 조정 가능한 갭 (610) 을 구성한다. 플라즈마 생성 동안, 하부 전극 (606) 에 RF 전력 공급부 (매칭) (620) 에 의해 RF 전력이 공급된다. RF 전력은 RF 공급 도관 (622), RF 스트랩 (624) 및 RF 전력 부재 (626) 를 통해 하부 전극 (606) 에 공급된다. 접지 차폐부 (636) 는 하부 전극 (606) 에 보다 균일한 RF 장을 제공하도록 RF 전력 부재 (626) 를 둘러쌀 수도 있다. (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는) 미국 특허 공보 제 2008/0171444 호에 기술된 바와 같이, 웨이퍼는 웨이퍼 포트 (682) 를 통해 삽입되고 프로세싱을 위해 하부 전극 (606) 상의 갭 (610) 내에 지지되고, 프로세스 가스는 갭 (610) 에 공급되고 RF 전력에 의해 플라즈마 상태로 여기된다. 상부 전극 (608) 은 전력 공급될 수 있거나 접지될 수 있다.
도 6a 내지 도 6c에 도시된 실시예에서, 하부 전극 (606) 은 하부 전극 지지 플레이트 (616) 상에 지지된다. 하부 전극 (606) 과 하부 전극 지지 플레이트 (616) 사이에 개재된 절연체 링 (614) 은 지지 플레이트 (616) 로부터 하부 전극 (606) 을 절연한다. RF 바이어스 하우징 (630) 은 RF 바이어스 하우징 보울 (632) 상에 하부 전극 (606) 을 지지한다. 보울 (632) 은 RF 바이어스 하우징 (630) 의 암 (634) 에 의해 도관 지지 플레이트 (638) 에 챔버 벽 플레이트 (618) 내의 개구를 통해 연결된다. 바람직한 실시예에서, RF 바이어스 하우징 보울 (632) 과 RF 바이어스 하우징 암 (634) 은 일 컴포넌트로서 일체형으로 형성되지만, 암 (634) 과 보울 (632) 은 또한 함께 볼트 결합되거나 연결된 2 개의 별개의 컴포넌트들일 수 있다.
RF 바이어스 하우징 암 (634) 은 RF 전력을 통과시키기 위한 하나 이상의 중공형 통로들 및 가스 냉각제, 액체 냉각제, RF 에너지, 리프트 핀 제어를 위한 케이블들, 진공 챔버 (602) 의 외부로부터 진공 챔버 (602) 의 내부로 하부 전극 (606) 의 후면 상의 공간에서의 전기적 모니터링 및 작동 신호들과 같은 설비들을 포함한다. RF 공급 도관 (622) 은 RF 바이어스 하우징 암 (634) 으로부터 절연되고, RF 바이어스 하우징 암 (634) 은 RF 전력 공급부 (620) 로의 RF 전력에 대한 복귀 경로를 제공한다. 설비 도관 (640) 은 설비 컴포넌트들에 통로를 제공한다. 설비 컴포넌트들의 추가의 상세들은 미국 특허 제 5,948,704 호 및 미국 특허 공보 제 2008/0171444 호 (양자는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용됨) 에 기술되고 기술의 간략함을 위해 본 명세서에 도시되지 않는다. 갭 (610) 은 한정 링 어셈블리 (미도시) 에 의해 바람직하게 둘러싸이고, 그 상세들은 (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는) 미국 특허 공보 제 2007/0284045 호에서 알 수 있다.
도관 지지 플레이트 (638) 는 작동 (actuation) 메커니즘 (642) 에 부착된다. 작동 메커니즘의 상세들은 (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는) 미국 특허 공보 제 2008/0171444 호에 기술된다. 서보 기계 모터, 스텝퍼 모터 등과 같은, 작동 메커니즘 (642) 은 예를 들어, 볼 스크루를 회전시키기 위한 모터 및 볼 스크루와 같은 스크루 기어 (646) 에 의해, 수직의 선형 베어링 (644) 에 부착된다. 갭 (610) 의 사이즈를 조정하기 위한 동작 동안, 작동 메커니즘 (642) 은 수직의 선형 베어링 (644) 을 따라 이동한다. 도 6a는 작동 메커니즘 (642) 이 작은 갭 (610a) 을 발생시키는 선형 베어링 (644) 상의 고 위치에 있을 때의 배열을 예시한다. 도 6b는 구동 메커니즘 (642) 이 선형 베어링 (644) 상의 중간 위치에 있을 때의 배열을 예시한다. 도시된 바와 같이, 하부 전극 (606), RF 바이어스 하우징 (630), 도관 지지 플레이트 (638), RF 전력 공급부 (620) 는 모두 챔버 하우징 (604) 및 상부 전극 (608) 에 대해 하부로 이동하고, 중간 사이즈 갭 (610b) 을 발생시킨다.
도 6c는 작동 메커니즘 (642) 이 선형 베어링 상의 저 위치에 있을 때 큰 갭 (610c) 을 예시한다. 바람직하게, 상부 및 하부 전극들 (608, 606) 은 갭 조정 동안 동축으로 유지되고 갭에 걸친 상부 전극 및 하부 전극의 대면하는 표면들은 평행하게 유지된다.
이 실시예는 예를 들어, 300 ㎜ 웨이퍼들과 같은 큰 직경 기판 또는 평판 디스플레이들에 걸쳐 균일한 에칭을 유지하도록, 멀티-단계 에칭 프로세스들 동안 CCP 챔버 (602) 내의 하부 및 상부 전극들 (606, 608) 사이의 갭 (610) 이 조정되게 한다. 특히, 이 실시예는 하부 및 상부 전극들 (606, 608) 사이에 조정 가능한 갭을 제공하는데 필수적인 선형 모션을 용이하게 하기 위한 기계적 배열에 관한 것이다.
도 6a는 근위 단부에서 도관 지지 플레이트 (638) 에 시일링되고 원위 단부에서 챔버 벽 플레이트 (618) 의 계단형 플랜지 (628) 에 시일링되는 측면으로 편향된 벨로즈 (bellows) (650) 를 예시한다. 계단형 플랜지의 내측 직경은 RF 바이어스 하우징 암 (634) 이 통과하는 챔버 벽 플레이트 (618) 내에 개구 (612) 를 규정한다. 측면으로 편향된 벨로즈 (650) 는 RF 바이어스 하우징 (630), 도관 지지 플레이트 (638) 및 작동 메커니즘 (642) 의 수직 운동을 허용하면서 진공 시일링을 제공한다. RF 바이어스 하우징 (630), 도관 지지 플레이트 (638) 및 작동 메커니즘 (642) 은 캔틸레버 어셈블리로서 지칭될 수 있다. 바람직하게, RF 전력 공급부 (620) 는 캔틸레버 어셈블리와 함께 이동하고 도관 지지 플레이트 (638) 에 부착될 수 있다. 도 6b는 캔틸레버 어셈블리가 중간 위치에 있을 때 중립 위치에 있는 벨로즈 (650) 를 도시한다. 도 6c는 캔틸레버 어셈블리가 저 위치에 있을 때 측면으로 편향된 벨로즈 (650) 를 도시한다.
래버린스 (labyrinth) 시일링 (648) 은 벨로즈 (650) 와 플라즈마 프로세싱 챔버 하우징 (604) 의 내부 사이에 입자 배리어를 제공한다. 고정된 차폐부 (656) 는 이동식 차폐 플레이트 (658) 가 캔틸레버 어셈블리의 수직 운동을 수용하도록 수직으로 이동하는 래버린스 홈 (660) (슬롯) 을 제공하도록 챔버 벽 플레이트 (618) 에서 챔버 하우징 (604) 의 내부 내측 벽에 부동적으로 부착된다. 이동식 차폐 플레이트 (658) 의 외측 부분은 하부 전극 (606) 의 모든 수직 위치들에서 슬롯 내에 유지된다.
도시된 실시예에서, 래버린스 시일링 (648) 은 래버린스 홈 (660) 을 규정하는 챔버 벽 플레이트 (618) 내의 개구 (612) 의 주변에서 챔버 벽 플레이트 (618) 의 내측 표면에 부착된 고정된 차폐부 (656) 를 포함한다. 이동식 차폐 플레이트 (658) 는 바이어스 하우징 암 (634) 에 부착되고 RF 바이어스 하우징 암 (634) 으로부터 방사상으로 연장하여, 암 (634) 은 챔버 벽 플레이트 (618) 내의 개구 (612) 를 통과한다. 이동식 차폐 플레이트 (658) 는 제 1 갭만큼 고정된 차폐부 (656) 로부터 이격되고 캔틸레버 어셈블리로 하여금 수직으로 이동하게 하는 제 2 갭만큼 챔버 벽 플레이트 (618) 의 내부 표면으로부터 이격되는 동안 래버린스 홈 (660) 내로 연장한다. 래버린스 시일링 (648) 은 벨로즈 (650) 로부터 스폴링된 (spalled) 입자들의 이주가 진공 챔버 내부에 들어가는 것을 차단하고 프로세스 가스 플라즈마로부터의 라디칼들로 하여금 라디칼들이 나중에 스폴링되는 증착물들을 형성할 수 있는 벨로즈 (650) 로 이주되는 것을 차단한다.
도 6a는 캔틸레버 어셈블리가 고 위치 (작은 갭 (610a)) 에 있을 때 RF 바이어스 하우징 암 (634) 위의 래버린스 홈 (660) 내의 보다 높은 위치에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 도 6c는 캔틸레버 어셈블리가 저 위치 (큰 갭 (610c)) 에 있을 때 RF 바이어스 하우징 암 (634) 위의 래버린스 홈 (660) 내의 보다 낮은 위치에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 도 6b는 캔틸레버 어셈블리가 중간 위치 (중간 갭 (610b)) 에 있을 때 래버린스 홈 (660) 내의 중립 또는 중간 위치에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 래버린스 시일링 (648) 은 RF 바이어스 하우징 암 (634) 에 대해 대칭적인 것으로 도시되지만, 다른 실시예들에서, 래버린스 시일링 (648) 은 RF 바이어스 암 (634) 에 대해 비대칭적일 수도 있다.
에칭 동작들에서 사용하기 위한 ICP 반응기들
본 명세서에 개시된 위상 배열 마이크로파 안테나들 (PAMA) 및 마이크로파 포커싱 기법들은 또한 다시 상기 기술된 바와 같이, 기판 표면 근방에서 국부적인 플라즈마 밀도를 조정하고 그리고/또는 제어하도록 ICP 반응기 내에 채용될 수도 있다. ICP 반응기들의 보다 추가의 기술은 2013년 12월 10일 출원되고, 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 미국 특허 공개 공보 제 2014/0170853 호 및 2014년 11월 12일 출원되고, 명칭이 "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS"인 미국 특허 출원 번호 제 14/539,121 호에서 알 수도 있고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
예를 들어, 도 7은 본 명세서에서 특정한 실시예들을 구현하기에 적절한 유도 결합된 플라즈마 에칭 장치 (700) 의 단면도를 개략적으로 도시하고, 그 예는 캘리포니아, 프리몬트 소재의 Lam Research Corp.에 의해 생산된 Kiyo TM 반응기이다. 유도 결합된 플라즈마 에칭 장치 (700) 는 챔버 벽들 (701) 및 윈도우 (711) 에 의해 구조적으로 구성된 전체 에칭 챔버를 포함한다. 챔버 벽들 (701) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (711) 는 석영, 세라믹 또는 다른 유전체 재료로 제조될 수도 있다. 선택가능한 내부의 플라즈마 그리드 (750) 는 전체 에칭 챔버를 상부 서브-챔버 (702) 와 하부 서브-챔버 (703) 로 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (750) 는 제거될 수도 있고, 이로써 서브-챔버들 (702 및 703) 로 이루어진 챔버 공간을 활용한다. 척 (717) 은 하단 내측 표면 근방의 하부 서브-챔버 (703) 내에 포지셔닝된다. 척 (717) 은 에칭 프로세스가 그 위에서 수행되는 반도체 웨이퍼 (719) 를 수용하고 홀딩하도록 구성된다. 척 (717) 은 존재한다면 웨이퍼 (719) 를 지지하기 위한 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 은 척 (717) 을 둘러싸고, 척 (717) 위에 존재한다면, 웨이퍼 (719) 의 상단 표면과 대략적으로 평면인 상부 표면을 갖는다. 척 (717) 은 웨이퍼를 처킹하고 (chuck) 디처킹하기 (dechuck) 위한 정전 전극들을 또한 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 는 이 목적을 위해 제공될 수도 있다. 척 (717) 으로부터 웨이퍼 (719) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (717) 은 RF 전력 공급부 (723) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (723) 는 연결부 (727) 를 통해 매칭 회로 (721) 에 연결된다. 매칭 회로 (721) 는 연결부 (725) 를 통해 척 (717) 에 연결된다. 이 방식으로, RF 전력 공급부 (723) 는 척 (717) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (711) 위에 포지셔닝된 코일 (733) 을 포함한다. 코일 (733) 은 전기 도전성 재료로 제조되며 적어도 1 회의 완전한 턴 (turn) 을 포함한다. 도 7에 도시된 코일 (733) 의 예는 3 개의 턴을 포함한다. 코일 (733) 의 단면들은 심볼로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전 방식으로 연장되는 한편, "●"를 갖는 코일들은 페이지로부터 회전 방식으로 연장된다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (733) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (741) 를 포함한다. 일반적으로, RF 전력 공급부 (741) 는 연결부 (745) 를 통해 매칭 회로 (739) 에 연결된다. 매칭 회로 (739) 는 연결부 (743) 를 통해서 코일 (733) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (741) 는 코일 (733) 에 연결된다. 선택가능한 패러데이 차폐부 (749) 가 코일 (733) 과 윈도우 (711) 사이에 포지셔닝된다. 패러데이 차폐부 (749) 는 코일 (733) 에 대해서 이격된 관계로 유지된다. 패러데이 차폐부 (749) 는 윈도우 (711) 바로 위로 배치된다. 코일 (733), 패러데이 차폐부 (749) 및 윈도우 (711) 각각은 서로 실질적으로 평행하게 구성된다. 패러데이 차폐부는 플라즈마 챔버의 유전체 윈도우 상에 금속 또는 다른 종이 증착되는 것을 방지한다.
프로세스 가스들 (예를 들어, 헬륨, 네온, 에천트, 등) 은 상부 챔버 내에 포지셔닝된 하나 이상의 주 가스 플로우 유입부들 (760) 을 통해 및/또는 하나 이상의 측면 가스 플로우 유입부들 (770) 을 통해 프로세싱 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 비슷한 가스 플로우 유입부들은 도 6a 내지도 6c에 도시된 CCP 프로세싱 챔버로 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 건식 펌프 및/또는 터보분자 펌프 (740) 는 프로세스 챔버 (724) 로부터 프로세스 가스들을 인출하고 프로세스 챔버 (700) 내 압력을 유지하도록 사용될 수도 있다. 밸브-제어된 도관은 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 프로세싱 챔버에 진공 펌프를 유체적으로 연결하도록 사용될 수도 있다. 이는 동작가능한 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스를 채용함으로써 이루어질 수도 있다. 유사하게, 도 6a 내지 도 6c의 CCP 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결부가 또한 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입부들 (760 및/또는 770) 을 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스는 주 가스 플로우 유입부 (760) 만을 통해 또는 측면 가스 플로우 유입부 (770) 만을 통해 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입부들은 보다 복잡한 가스 플로우 유입부들, 예를 들어, 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (749) 및/또는 선택가능한 그리드 (750) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (749) 및 선택가능한 그리드 (750) 중 하나 또는 양자는 프로세스 가스들의 전달을 위한 샤워헤드로서 기능할 수도 있다.
RF 전류로 하여금 코일 (733) 을 통해 흐르게 하도록 RF 전력이 RF 전력 공급부 (741) 로부터 코일 (733) 로 공급된다. 코일 (733) 을 통해 흐르는 RF 전류는 코일 (733) 둘레에 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (702) 내에서 유도 전류를 생성한다. 다양한 생성된 이온들 및 라디칼들의 웨이퍼 (719) 와의 물리적 상호작용 및 화학적 상호작용은 웨이퍼의 피처들을 선택적으로 에칭한다.
상부 서브-챔버 (702) 및 하부 서브-챔버 (703) 양자가 있도록 플라즈마 그리드가 사용된다면, 유도 전류는 상부 서브-챔버 (702) 내에 전자-이온 플라즈마를 생성하도록 상부 서브-챔버 (702) 내에 존재하는 가스에 작용한다. 선택가능한 내부의 플라즈마 그리드 (750) 는 하부 서브-챔버 (703) 내의 고온의 전자들의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (703) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계 및 동작된다.
이온-이온 플라즈마가 양 이온들에 대한 음 이온들의 더 큰 비를 가질 것이지만, 상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자는 양 이온 및 음 이온을 포함할 수도 있다. 휘발성 에칭 부산물들은 하부-서브챔버 (703) 로부터 포트 (722) 를 통해 제거될 수도 있다.
본 명세서에 개시된 척 (717) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 에칭 프로세스 동작 및 특정한 레시피에 따를 것이다. 일부 실시예들에서, 챔버 (701) 는 약 1 mTorr 내지 약 95 mTorr 범위 내의 압력들로 또한 동작할 수도 있다. 특정한 실시예들에서, 압력은 상술된 바와 같이 보다 높을 수도 있다.
챔버 (701) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경적 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때, 챔버 (701) 에 커플링된다. 부가적으로, 챔버 (701) 는 로보틱스 (robotics) 로 하여금 통상적인 자동화를 사용하여 챔버 (701) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, - 이하에 기술된 바와 같이, 예를 들어 - 하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는, 시스템 제어기 (730) 는 상기 기술된 바와 같이, 국부적인 플라즈마 밀도 (및 반응 레이트들) 를 조정하고 그리고/또는 제어하기 위한 마이크로파 복사선의 하나 이상의 조종가능한 빔들을 제공하기 위해 프로세스 스테이션들과 연관된 하나 이상의 위상 배열 마이크로파 안테나들을 포함하여, PAMA들의 안테나 각각으로부터 방출된 마이크로파 복사선의 위상들 및/또는 진폭들 및/또는 방향들을 제어하는 것을 포함하여, 에칭 챔버의 동작들의 일부 또는 전부를 제어할 수도 있다. 시스템 제어기 (730) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다.
통합된 계측 툴을 갖는 클러스터 툴
도 8는 VTM (vacuum transfer module) (838) 과 인터페이싱하는 다양한 모듈들을 가진 반도체 프로세스 클러스터 툴 (800) 을 도시한다. 복수의 저장 설비들과 프로세싱 모듈들 사이에서 웨이퍼들을 "이송시키기" 위한 이송 모듈들의 구성은 "클러스터 툴 아키텍처" 시스템으로서 지칭될 수도 있다. 로드록 또는 이송 모듈로서 또한 알려진 에어록 (airlock) (830) 은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는, 4 개의 프로세싱 모듈들 (820a 내지 820d) 을 가진 VTM (838) 내에 도시된다.
예를 들어, 프로세싱 모듈들 (820a 내지 820d) 은 (ALE 프로세스를 통한 1차원 및 2차원의 패턴들의 에칭과 같은) 기판 에칭, (ALD 프로세스를 통한 컨포멀한 막들의 증착과 같은) 증착, 이온 주입, 웨이퍼 세정, 웨이퍼 평탄화, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 따라서, 예를 들어, 프로세싱 모듈은 (상술된 바와 같이) ICP 반응기, 또는 (또한 상술된 바와 같이) CCP 반응기일 수도 있다.
일부 실시예들에서, 기판 프로세싱 모듈들 중 하나 이상 (820a 내지 820d 중 임의의 하나) 은 클러스터 툴 상에서 다른 웨이퍼 프로세싱 모듈들의 동작(들)을 조정 및/또는 제어하기 위한 기준으로서 사용될 수도 있는 웨이퍼 계측 데이터를 획득하는데 전용될 수도 있다. 예를 들어, 웨이퍼 계측 툴 모듈은 에칭 동작 후에 하나 이상의 기판 피처들의 하나 이상의 특성들을 측정할 수도 있고, 이어서 발생한 데이터는 클러스터 툴 상에서 발생하는 추가의 에칭 동작들에서 - 예를 들어, ALE 프로세스를 활성화하도록 사용된 플라즈마 내의 헬륨 및 네온의 상대적인 비율들과 같은 - 프로세스 파라미터들을 조정하도록 사용될 수도 있다. 특정한 이러한 실시예들에서, 계측 모듈/툴에 의해 측정된 기판 피처는 반도체 기판의 피처의 에칭 프로파일일 수도 있다.
도 8에 도시된 것과 같은 클러스터 툴 상에서 수행된 일부 에칭 동작들에서, 측정들은 에칭 동작 동안 행해질 수도 있고, 그리고 측정은 동일한 에칭이 진행 중이고 그리고/또는 (예를 들어, 상이한 기판 상에서) 차후의 에칭 동작 시에 있는 동안 하나 이상의 프로세스 파라미터들을 어떻게 조정 및/또는 제어하는지를 결정하기 위해 분석될 수도 있다. 예를 들어, ICP 반응기 또는 CCP 반응기는 하나 이상의 가시광선, 적외선, 자외선 (UV), 및/또는 VUV (vacuum ultraviolet) 방출 대역들로부터, 예를 들어 ALE 표면 반응을 활성화하도록 사용된 플라즈마로부터 방출 강도를 측정하기 위한 광 검출기를 채용할 수도 있다. 일부 실시예들에서, 측정된 방출 강도는 분석될 수도 있고 본 명세서에 기술된 바와 같이 ALE 동작에서 사용된 헬륨-네온 플라즈마 내의 헬륨 및 네온의 상대적인 농도들을 조정하도록 사용될 수도 있다.
다시 도 8을 참조하면, 에어록 (830) 및 프로세스 모듈 (820) 은 "스테이션들"로서 지칭될 수도 있다. 스테이션 각각은 VTM (838) 에 스테이션을 인터페이싱하는 패싯 (836) 을 가진다. 패싯 각각 내부에서, 센서들 (1 내지 18) 은 각각의 스테이션들 사이에서 이동될 때 웨이퍼 (826) 의 통과를 검출하도록 사용된다. 로봇 (822) 은 스테이션들 사이에서 웨이퍼 (826) 를 이송한다. 일 실시예에서, 로봇 (822) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (822) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (826) 와 같은 웨이퍼들을 피킹하도록 (pick) 엔드 이펙터 (824) 를 가진다. ATM (atmospheric transfer module) (840) 내의 프런트-엔드 로봇 (832) 은 LPM (Load Port Module) (842) 내의 카세트 또는 FOUP (Front Opening Unified Pod) (834) 로부터 에어록 (830) 으로 웨이퍼들 (826) 을 이송하도록 사용된다. 프로세스 모듈 (820) 내부의 모듈 중심부 (828) 는 웨이퍼 (826) 를 배치하기 위한 일 위치이다. ATM (840) 내의 얼라이너 (844) 는 웨이퍼들을 정렬하도록 사용된다.
프로세싱 시퀀스의 일 예에서, 웨이퍼는 LPM (842) 내의 FOUP들 (834) 중 하나 내에 배치된다. 프런트-엔드 로봇 (832) 은 웨이퍼를 FOUP (834) 로부터 얼라이너 (844) 로 이송시키고, 얼라이너는 웨이퍼가 에칭되거나 프로세싱되기 전에 웨이퍼 (826) 로 하여금 적절하게 중심에 위치되게 한다. 정렬된 후에, 웨이퍼 (826) 는 프런트-엔드 로봇 (832) 에 의해 에어록 (830) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (826) 는 대미지를 받는 일없이 2 개의 압력 분위기들 사이를 이동할 수 있다. 에어록 모듈 (830) 로부터, 웨이퍼 (826) 는 로봇 (822) 에 의해 VTM (838) 을 통해 그리고 프로세스 모듈들 (820a 내지 820d) 중 하나 내로 이동된다. 이 웨이퍼 운동을 달성하기 위해, 로봇 (822) 은 로봇의 암들 각각 상의 엔드 이펙터들 (824) 을 사용한다. 일단 웨이퍼 (826) 가 프로세싱되면, 웨이퍼는 로봇 (822) 에 의해 프로세스 모듈들 (820a 내지 820d) 로부터 에어록 모듈 (830) 로 이동된다. 여기서부터, 웨이퍼 (826) 는 프런트-엔드 로봇 (832) 에 의해 FOUP들 (834) 중 하나로 또는 얼라이너 (844) 로 이동될 수도 있다.
(아래에 기술된 바와 같이) 시스템 제어기가 클러스터 툴의 동작을 제어하도록 (예를 들어, 클러스터 툴 상의 다양한 스테이션들 사이의 기판 이동을 제어하도록) 사용될 수도 있다는 것을 주의해야 한다. 시스템 제어기는 클러스터 아키텍처에 대해 국부적일 수도 있거나, 시스템 제어기는 제작 플로어 내, 또는 리모트 위치 내에서 클러스터 툴의 외부에 위치될 수도 있고 네트워크를 통해 클러스터 툴에 연결될 수도 있다.
시스템 제어기들
시스템 제어기는 상기 기술된 프로세싱 장치들 중 어느 하나에서 증착 동작 또는 에칭 동작 (또는 다른 프로세싱 동작들) 을 제어하도록 사용될 수도 있다. 특히, 시스템 제어기는 상기 기술된 바와 같은 국부적인 플라즈마 밀도 (및 반응 레이트들, 증착 또는 에칭) 를 조정하고 그리고/또는 제어하기 위한 마이크로파 복사선의 하나 이상의 조종가능한 빔들을 제공하도록 어레이들의 안테나 각각으로부터 방출된 마이크로파 복사선의 위상들 및/또는 진폭들 및/또는 방향들을 제어하는 것을 포함하여, 프로세스 스테이션들과 연관된 하나 이상의 위상 배열 마이크로파 안테나들의 동작을 제어할 수도 있다.
따라서, 예를 들어, 도 4b에 도시된 바와 같은, 증착 장치에 대해, 시스템 제어기 (450) 는 프로세스 툴 (440) 의 프로세스 조건들 및 하드웨어 상태들 및 프로세스 툴의 프로세스 스테이션들을 제어하도록 채용될 수도 있다. 시스템 제어기 (450) 는 하나 이상의 메모리 디바이스들 (456), 하나 이상의 대량 저장 디바이스들 (454), 및 하나 이상의 프로세서들 (452) 을 포함할 수도 있다. 프로세서 (452) 는 프로세서는 하나 이상의 CPU들, ASIC들, 범용 컴퓨터(들), 및/또는 특수 목적 컴퓨터(들), 하나 이상의 아날로그 및/또는 디지털 입력/출력 접속부(들), 하나 이상의 스텝퍼 모터 제어기 보드(들), 등을 포함할 수도 있다.
유사하게, 시스템 제어기는 반도체 기판 에칭 장치 (CCP 반응기 또는 ICP 반응기로 계속되든) 에 대하여 채용될 수도 있고; 그리고, 유사하게, 이러한 시스템 제어기는, 상기 기술된 바와 같이 국부적인 플라즈마 밀도 (및 반응 레이트들, 증착 또는 에칭) 를 조정하고 그리고/또는 제어하기 위한 마이크로파 복사선의 하나 이상의 조종가능한 빔들을 제공하도록 어레이들의 안테나 각각으로부터 방출된 마이크로파 복사선의 위상들 및/또는 진폭들 및/또는 방향들을 제어하는 것을 포함하여, 에칭 반응기의 하나 이상의 프로세스 스테이션들과 연관된 하나 이상의 위상 배열 마이크로파 안테나들의 동작을 제어할 수도 있다.
따라서, 도 8은 에칭 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들 및 에칭 프로세스 툴의 프로세스 스테이션들을 제어하도록 채용된 시스템 제어기 (850) 의 실시예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 프로세서는 하나 이상의 CPU들, ASIC들, 범용 컴퓨터(들), 및/또는 특수 목적 컴퓨터(들), 하나 이상의 아날로그 및/또는 디지털 입력/출력 접속부(들), 하나 이상의 스텝퍼 모터 제어기 보드(들), 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (450, 도 4b; 850, 도 8) 는 개별 프로세스 스테이션들의 동작들을 포함하여, 프로세스 툴 (450, 도 4b; 800 도 8) 의 동작들의 일부 또는 전부 제어한다. 머신-판독가능 시스템 제어 인스트럭션들 (458, 도 4b; 858, 도 8) 은 본 명세서에 기술된 막 증착 프로세스 및/또는 에칭 프로세스를 구현/수행하기 위해 제공될 수도 있다. 인스트럭션들은 시스템 제어기에 커플링될 수도 있고 그리고/또는 시스템 제어기에 의해 판독될 수도 있는 머신-판독가능, 비일시적 매체로 제공될 수도 있다. 인스트럭션들은 프로세서 (452, 도 4b; 852, 도 8) 상에서 실행될 수도 있다 - 일부 실시예들에서, 시스템 제어 인스트럭션들은 대량 저장 디바이스 (454, 854) 로부터 메모리 디바이스 (456, 856) 내로 로딩된다 -. 시스템 제어 인스트럭션들은 타이밍, 가스성 및 액체 반응물질들의 혼합물, 챔버 및/또는 스테이션 압력들, 챔버 및/또는 스테이션 온도들, 웨이퍼 온도들, 타깃 전력 레벨들, RF 전력 레벨들 (예를 들어, DC 전력 레벨들, RF 바이어스 전력 레벨들), RF 노출 시간들, 기판 페데스탈, 척 및/또는 서셉터 위치들, 및 프로세스 툴에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 상기 기술된 바와 같이, 프로세스 스테이션들과 연관된 하나 이상의 위상 배열 마이크로파 안테나들을 동작시키기 위한 인스트럭션들을 또한 포함할 수도 있다.
반도체 기판 프로세싱 동작들은, 이로 제한되는 것은 아니지만, 기판들 상의 막의 에칭과 관련된 프로세스들 (예컨대 표면 흡착된 에천트들의 플라즈마-활성화를 수반하는 ALE 동작들, 예를 들어, 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된, 2014년 11월 12일 출원된 명칭이 "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS"인 미국 특허 출원 번호 제 14/539,121 호 참조), 증착 프로세스들 (예컨대 표면 흡착된 막 전구체들의 플라즈마-활성화에 의한 ALD 증착), 뿐만 아니라 다른 타입들의 기판 프로세싱 동작들을 포함하는 다양한 타입들의 프로세스들을 채용할 수도 있다.
따라서, 예를 들어, 하나 이상의 위상 배열 마이크로파 안테나들을 갖는 플라즈마-기반 에칭 프로세스 또는 증착 프로세스들을 수행하기 위한 기판 프로세싱 장치에 대해, 시스템 제어기에 의해 실행된 머신-판독가능 인스트럭션들은 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기를 동작시키기 위한 인스트럭션들, 및 또한 챔버 내로 마이크로파 복사선의 빔을 방출하고 프로세싱 챔버 내의 플라즈마에 영향을 주도록 구성되는 하나 이상의 위상 배열 마이크로파 안테나들을 동작시키기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 제어기는 마이크로파 복사선의 방출된 빔을 조종하기 위해 하나 이상의 위상 배열 마이크로파 안테나들을 동작시킬 수도 있다. 제어기는 하나 이상의 위상 배열들의 2 이상의 안테나들로부터 방출된 마이크로파 복사선의 상대적인 위상들을 가변함으로써 이렇게 할 수도 있다. 제어기는 또한 하나 이상의 위상 배열들의 2 이상의 안테나들로부터 방출된 마이크로파 복사선의 상대적인 크기들을 가변할 수도 있다. 부가적으로, 일부 실시예들에서, 기판 프로세싱 장치는 플라즈마-기반 프로세싱 동작에서 사용된 플라즈마로부터 광학적 방전을 측정하기 위한 광학 검출기를 가질 수도 있고, 제어기는 플라즈마의 방출 대역의 방출 강도를 측정하도록 광학적 검출기를 동작시킬 수도 있고, 이러한 특정한 실시예들에서, 상기 측정치에 응답하여, 위상 배열(들)로부터 방출된 마이크로파 복사선의 상기 위상들 및/또는 크기들 및/또는 방향들을 가변 (및/또는 또한 다른 프로세스 조건들을 조정) 할 수도 있다.
시스템 제어 인스트럭션들 (458, 도 4b; 858, 도 8) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들은 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들은 소프트웨어로 구현되고, 다른 실시예들에서, 인스트럭션들은 하드웨어로 구현 - 예를 들어, ASIC (application specific integrated circuit) 의 로직으로서 하드코딩됨 -, 또는 다른 실시예들에서, 소프트웨어 및 하드웨어의 조합으로서 구현될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (도 4b의 458, 도 8의 858) 는 상기 기술된 다양한 파라미터들의 제어를 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 및/또는 에칭 프로세스 또는 프로세스들의 페이즈 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 막 증착 및/또는 에칭 프로세스 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은, 예를 들어, 대응하는 증착 및/또는 에칭 레시피 페이즈에 포함될 수도 있다. 일부 실시예들에서, 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록, 순차적으로 배열될 수도 있다.
시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) (또는 도 4b에 대하여, 시스템 제어기 (450) 와 연관된 대용량 저장 디바이스 (454) 및/또는 메모리 디바이스 (456)) 에 저장된 다른 컴퓨터-판독가능 인스트럭션들 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (예를 들어, 408, 도 4b 참조; 또한 예를 들어, 508, 도 5 참조) 상에 기판을 로딩하고 기판과 프로세스 툴의 다른 부분들 사이의 간격을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 포지셔닝 프로그램은 기판들 상에 막을 증착하고 그리고/또는 에칭하는데 필수적인 반응 챔버 내외로 기판들을 적절히 이동시키기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내의 압력을 안정화시키기 위해 증착 및/또는 에칭 전에 이들 볼륨들 내로의 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 가스를 흘리기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판들 상의 막 증착 및/또는 에칭 동작들 동안 프로세싱 챔버 내의 하나 이상의프로세스 스테이션들을 둘러싸는 볼륨(들) 내로 특정한 가스들을 도입하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 증착될 막의 조성 및/또는 수반된 에칭 프로세스의 속성에 따라, 동일한 지속기간들 동안, 동일한 레이트들로 또는 상이한 레이트들로 그리고/또는 상이한 지속기간들 동안 이들 가스들을 전달하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 가열된 주입 모듈 내 헬륨 또는 일부 다른 캐리어 가스의 존재시 액체 반응물질을 원자화/기화하기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들 상의 다양한 막 타입들의 증착 및/또는 기판들의 에칭 동안 동일하거나 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 부가하여, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 히터 제어 프로그램은 기판들 상의 다양한 막 타입들의 증착 및/또는 기판들의 에칭 동안 프로세스 스테이션들을 둘러싸는 볼륨 및/또는 반응 챔버 내에 동일하거나 상이한 온도들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들에서 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들 상의 막 증착 및/또는 에칭 동안 동일하거나 상이한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성들 및 플로우 레이트들, 온도들 (예를 들어, 기판 홀더 온도 및 샤워헤드 온도), 압력들, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 부가적인 파라미터들은 하나 이상의 위상 배열 마이크로파 안테나들로부터 방출된 마이크로파 복사선의 진폭들 및 위상들과 관련될 수도 있다. 게다가, 파라미터들은 하나 이상의 어레이들의 안테나 각각으로부터 방출된 마이크로파 복사선의 진폭 및/또는 위상 및/또는 방향을 개별적으로 제어하는 것과 관련될 수도 있다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스들을 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스들을 제어하기 위한 신호들은 프로세스 툴의 아날로그 출력 접속부 및/또는 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 MFC들, (압력계들 (manometers) 과 같은) 압력 센서들, 써모커플들 (thermocouple) 과 같은 온도 센서들, 등을 포함한다. 웨이퍼 표면 근방의 국부적인 플라즈마 밀도를 조정 및/또는 제어하기 위한 하나 이상의 위상 배열 마이크로파 안테나들을 갖는 에칭 장치들에서, 장치의 센서들은 플라즈마의 밀도 및/또는 전력/레벨들을 게이징 (gauge) 하기 위해 플라즈마로부터의 스펙트럼 방전 (spectral discharge) 을 모니터링하기 위한 광학 방출 센서들을 포함할 수도 있다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
상기 기술된 다양한 장치들 및 방법들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 공통 제조 설비 내에서 함께 및/또는 동시에 이러한 툴들이 이용되거나 프로세스들이 수행될 것이다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 (ICP 또는 CCP를 채용하는) 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
ALD 기법들 및 증착된 막들의 부가적인 상세한 기술
상기에 논의된 바와 같이, IC 디바이스들의 사이즈가 계속해서 축소되고, IC들이 3D 트랜지스터들 및 다른 3D 구조들을 채용하는 것으로 이동함에 따라, 컨포멀 막 재료 - 특히, 유전체들이지만, 또한 다양한 도펀트 함유 재료들 - 의 정밀한 양 (두께) 을 증착하는 능력이 점점 중요해진다. ALD (Atomic layer deposition) 는 목표된 막 두께를 달성하기 위해 통상적으로 복수의 증착 사이클들을 수반하는 컨포멀 막 증착을 달성하기 위한 일 기법이다.
활성화된 가스 상 반응들이 막들을 증착하기 위해 사용되는, CVD (chemical vapor deposition) 프로세스와는 반대로, ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하기 위해 표면-매개 증착 반응들을 사용한다. 예를 들어, 일 부류의 ALD 프로세스들에서, 제 1 막 전구체 (P1) 가 가스 상으로 프로세싱 챔버 내에 도입되고, 기판에 노출되고, 그리고, 기판의 표면 (통상적으로 표면 활성화 사이트들의 집단에서) 에 흡착하게 된다. P1의 일부 분자들은 P1의 화학 흡착된 종 및 물리 흡착된 분자들을 포함하여, 기판 표면 상부에 응결된 상을 형성할 수도 있다. 이어서 화학 흡착된 종만 남도록, 가스 상 및 물리 흡착된 P1을 제거하기 위해 기판 표면을 둘러싸는 볼륨이 배기된다. 이어서 제 2 막 전구체 (P2) 가 프로세싱 챔버 내로 도입되어, P2의 일부 분자들이 기판 표면에 흡착한다. 프로세싱 챔버 내에서 기판을 둘러싸는 볼륨은 다시 배기될 수도 있고, 이때, 결합되지 않은 P2가 제거된다. 후속하여, 기판에 에너지 (예를 들어, 열 에너지 또는 플라즈마 에너지) 가 제공되어 P1 및 P2의 흡착된 분자들 간의 표면 반응들을 활성화하고, 막 층을 형성한다. 마지막으로, 기판을 둘러싸는 볼륨은 존재한다면, 반응되지 않은 P1 및/또는 P2 및/또는 반응 부산물을 제거하기 위해 다시 배기되고, 단일의 사이클 ALD를 종료한다.
다양한 화학물질들을 갖는 컨포멀 막들을 증착하기 위한 ALD 기법들 - 그리고 기본적인 ALD 프로세스 시퀀스에 대한 반응 변동들 - 은 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP405) 인 2011년 4월 11일 출원된 미국 특허 출원 번호 제 13/084,399 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION"이고, 이제 미국 특허 제 8,637,411 (대리인 관리 번호 NOVLP427) 호인, 2011년 9월 23일 출원된 미국 특허 출원 번호 제 13/242,084 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (대리인 관리 번호 NOVLP428) 인 2011년 9월 1일 출원된 미국 특허 출원 번호 제 13/224,240 호, 및 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호에 기술되고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다. 이들 사전 출원들에 기술된 바와 같이, 기판 상에 재료의 단일 층을 증착하기 위한 기본적인 ALD 사이클은: (i) 막 전구체가 흡착 제한된 층을 형성하도록 프로세스 스테이션에서 막 전구체를 기판 상에 흡착시키는 단계, (ii) 존재한다면, 프로세스 스테이션 근방으로부터 흡착되지 않은 전구체 ("흡착되지 않은 전구체"는 탈착된 전구체를 포함하도록 규정됨) 를 제거하는 단계, (iii) 기판 상에 막 층을 형성하도록, 흡착된 전구체를 반응시키는 단계, 및 선택가능하게 (iv) 프로세스 스테이션 근방으로부터 탈착된 막 전구체 및/또는 반응 부산물을 제거하는 단계를 포함할 수도 있다. 단계 (ii) 및 단계 (iv) 에서의 제거는 기판을 둘러싸는 볼륨을 퍼지, 배기, 기준 압력 (base pressure) 으로의 펌프 다운 ("pump-to-base") 등을 통해 이루어질 수도 있다. 일부 실시예들에서, 퍼지 가스는 주 플라즈마 피드 가스와 동일할 수도 있다. 전술한 단계들 (i) 내지 (iv) 의 시퀀스는 막의 단일 층의 형성을 발생시키는 단일 ALD 사이클을 나타낸다. 그러나, ALD를 통해 형성된 막의 단일 층은 통상적으로 매우 얇기 때문에 - 종종 겨우 단일 분자 두께 - 복수의 ALD 사이클들은 적절한 두께의 막을 구축하도록 시퀀스로 반복된다. 따라서, 소위 N 층들의 막 (또는 등가적으로, 소위 막의 N 층들) 이 증착되는 것이 목표된다면, 그러면 복수의 ALD 사이클들 (단계들 (i) 내지 (iv)) 이 시퀀스로 N 번 반복될 수도 있다.
이러한 기본적인 ALD 동작들 (i) 내지 (iv) 의 시퀀스는 상기에 기술된 예에서와 같이 2 개의 화학 흡착된 반응 종들 P1 및 P2를 반드시 수반하거나, 제 2 반응 종들을 반드시 수반해야 하는 것은 아니지만, 수반된 목표된 증착 화학물질에 따라, 이들 가능성들/옵션들이 채용될 수도 있다는 것을 주의해야 한다.
그러나, ALD의 흡착 제한된 속성으로 인해, 단일의 사이클의 ALD는 단지 재료의 박막을 증착하고, 종종 재료의 단일 모노레이어 (monolayer) 만을 증착한다. 예를 들어, 막 전구체 도징 동작들의 노출 시간 및 막 전구체들의 (기판 표면으로의) 부착 계수들에 따라, ALD 사이클 각각은 단지 약 0.5 내지 3 Å 두께의 막 층을 증착할 수도 있다. 따라서, - 방금 기술된 단계들 (i) 내지 (iv) - 통상적인 ALD 사이클의 동작들의 시퀀스는 일반적으로 목표된 두께의 컨포멀 막을 형성하기 위해, 복수 회 반복된다. 따라서, 일부 실시예들에서, 단계들 (i) 내지 (iv) 는 적어도 1 회, 또는 적어도 2 회, 또는 적어도 3 회, 또는 적어도 5 회, 또는 적어도 7 회, 또는 적어도 10 회가 연달아 연속적으로 반복된다. ALD 막은 ALD 사이클 당 약 0.1 Å 내지 약 2.5 Å, 또는 ALD 사이클 당 약 0.2 Å 내지 약 2.0 Å, 또는 ALD 사이클 당 약 0.3 Å 내지 약 1.8 Å, 또는 ALD 사이클 당 약 0.5 Å 내지 약 1.5 Å, 또는 ALD 사이클 당 약 0.1 Å 내지 약 1.5 Å, 또는 ALD 사이클 당 약 0.2 Å 내지 약 1.0 Å, 또는 ALD 사이클 당 약 0.3 Å 내지 약 1.0 Å, 또는 ALD 사이클 당 약 0.5 Å 내지 약 1.0 Å의 레이트로 증착될 수도 있다.
일부 막 형성 화학 물질들에서, - "막 전구체"라고 지칭되는 것에 부가적하여 - 보조 반응물질 또는 공반응물질 (co-reactant) 이 또한 채용될 수도 있다. 이러한 특정한 실시예들에서, 보조 반응 물질 또는 공반응물질은 단계들 (i) 내지 (iv) 의 서브세트 동안 또는 단계들 (i) 내지 (iv) 각각 내내, 단계들이 반복되기 때문에 연속적으로 흐를 수도 있다. 일부 실시예들에서, 이러한 다른 반응성 화학물 종 (보조 반응 물질, 공반응물질, 등) 은 막 전구체 (상기 기술된 전구체들 P1 및 P2를 수반하는 예에서와 같이) 와 반응하기 전에 막 전구체와 함께 기판 표면 상에 흡착될 수도 있지만, 다른 실시예들에서, 다른 반응성 화학물 종은 그 자체가 미리 기판의 표면 상으로 앞서 흡착하지 않고 접촉하기 때문에 흡착된 막 전구체와 반응할 수도 있다. 또한, 일부 실시예들에서, 흡착된 막 전구체의 반응 단계 (iii) 는 플라즈마와 흡착된 막 전구체의 콘택트를 수반할 수도 있다. 플라즈마는 기판 표면 상에서 막-형성 반응을 구동하기 위한 에너지를 제공할 수도 있다. 이러한 특정한 실시예들에서, 플라즈마는 적합한 RF 전력의 인가로 반응 챔버 내 (일부 실시예들에서, 원격으로 생성될 수도 있지만) 에서 생성된 산화성 플라즈마일 수도 있다. 다른 실시예들에서, 산화성 플라즈마 대신, 불활성 플라즈마가 사용될 수도 있다. 산화 플라즈마는 O2, N2O, 또는 CO2와 같은 하나 이상의 산화제들로부터 형성될 수도 있고, Ar, N2, 또는 He와 같은 하나 이상의 희석제들을 선택가능하게 포함할 수도 있다. 일 실시예에서, 산화 플라즈마는 O2 및 Ar로부터 형성된다. 적합한 불활성 플라즈마는 He 또는 Ar과 같은 하나 이상의 불활성 가스들로부터 형성될 수도 있다. 또한 ALD 프로세스들에 대한 변동들이 인용된 (그리고 참조로서 인용된) 종래의 특허 출원들에서 상세히 기술된다.
일부 실시예들에서, 다층 증착된 막은 교번하는 조성으로, 예를 들어, 일 조성을 갖는 복수의 층들을 순차적으로 컨포멀하게 증착하고, 이어서 다른 조성을 갖는 복수의 층들을 순차적으로 컨포멀하게 증착하고, 이어서 이들 두 시퀀스들을 잠재적으로 반복 및 교번함으로써, 형성된 영역들/부분들을 포함할 수도 있다. 이러한 양태들의 증착된 ALD 막들은, 예를 들어, 모든 목적들을 위해 전체가 본 명세서에 참조로서 통합된, 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인, 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호에 기술된다. 교번하는 조성의 부분들 - 아래에 놓인 목표 IC 구조체 또는 기판 영역을 도핑하기 위해 사용된 막들을 포함하는 - 을 갖는 컨포멀 막들 및 이들 막들을 형성하는 방법들의 추가 예들은, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP405) 인 2011년 4월 11일 출원된 미국 특허 출원 번호 제 13/084,399 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION"이고, 이제 미국 특허 제 8,637,411 (대리인 관리 번호 NOVLP427) 호인, 2011년 9월 23일 출원된 미국 특허 출원 번호 제 13/242,084 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (대리인 관리 번호 NOVLP428) 인 2011년 9월 1일 출원된 미국 특허 출원 번호 제 13/224,240 호, 및 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호; 명칭이 "CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS"인 2014년 2월 28일 출원된 미국 특허 출원 번호 제 14/194,549 호에 기술되고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 통합된다.
상기 참조된 명세서들에 상세히 설명된 바와 같이, ALD 프로세스들은 종종 컨포멀한 실리콘 옥사이드 막들 (SiOx) 을 증착하도록 사용되지만, ALD 프로세스들은 또한 전술한 인용된 명세서들에 개시된 바와 같이 다른 화학물질들의 컨포멀한 유전체 막들을 증착하기 위해 사용될 수도 있다. ALD-형성된 유전체 막들은, 일부 실시예들에서, 실리콘 카바이드 (SiC) 재료, 실리콘 나이트라이드 (SiN) 재료, 실리콘 카보나이트라이드 (SiCN) 재료 또는 이들의 조합을 포함할 수도 있다. 실리콘-카본-옥사이드들 및 실리콘-카본-옥시나이트라이드들, 및 실리콘-카본-나이트라이드들이 또한 일부 실시예에서 ALD-형성된 막들로 형성될 수도 있다. 이들 타입들의 막들을 증착하기 위한 방법들, 기법들, 및 동작들은 모든 목적들을 위해 본 명세서에 참조로서 통합된, 명칭이 "REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS"이고, 대리인 관리 번호 NOVLP466/NVLS003722인 2012년 6월 12일 출원된 미국 특허 출원 번호 제 13/494,836 호; 명칭이 "METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES"이고, 대리인 관리 번호 LAMRP046/3149인 2013년 5월 31일 출원된 미국 특허 출원 번호 제 13/907,699 호; 명칭이 "GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS"인 미국 특허 출원 번호 제 14/062,648 호; 및 명칭이 "CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS"인 미국 특허 출원 번호 제 14/194,549 호에 상세히 기술되었다.
ALD를 통한 막 증착의 다른 예들은 상기에 참조로서 인용되고 열거된 특허 출원들 (미국 특허 출원 번호 13/084,399, 13/242,084, 13/224,240, 및 14/194,549) 에 기술된 바와 같이 도펀트-함유 막들을 증착하기 위한 화학물질들을 포함한다. 상기 출원들에 기술된 바와 같이, 다양한 도펀트-함유 막 전구체들이 붕소-도핑된 실리케이트 유리 (BSG), 인-도핑된 실리케이트 유리 (PSG), 붕소 인 도핑된 실리케이트 유리 (BPSG) 비소 (As) 도핑된 실리케이트 유리 (ASG) 등과 같은 도펀트-함유 막들을 형성하도록, 사용될 수도 있다. 도펀트-함유 막들은 B2O3, B2O, P2O5, P2O3, As2O3, As2O5, 등을 포함할 수도 있다. 따라서, 붕소 이외의 도펀트들을 갖는 도펀트-함유 막들이 실현될 수도 있다. 예들은 갈륨, 인, 또는 비소 도펀트들, 또는 다른 원자가 III 원소 또는 V 원소들과 같은 반도체 기판을 도핑하기에 적절한 다른 원소들을 포함한다.
ALD 프로세스 조건들에 따라, ALD 프로세스들은 다양한 온도들에서 수행될 수도 있다. 일부 실시예들에서, ALD 반응 챔버 내의 적합한 온도들은 약 25 ℃ 내지 450 ℃, 또는 약 50 ℃ 내지 300 ℃, 또는 약 20 ℃ 내지 400 ℃, 또는 약 200 ℃ 내지 400 ℃, 또는 약 100 ℃ 내지 350 ℃의 범위일 수도 있다.
유사하게, ALD 프로세스들은 다양한 ALD 반응 챔버 압력들에서 수행될 수도 있다. 일부 실시예들에서, 반응 챔버 내의 적합한 압력들은 약 10 mTorr 내지 10 Torr, 또는 약 20 mTorr 내지 8 Torr, 또는 약 50 mTorr 내지 5 Torr, 또는 약 100 mTorr 내지 2 Torr의 범위일 수도 있다.
다양한 RF 전력 레벨들이 동작 (iii) 에서 사용된다면 플라즈마를 생성하도록 채용될 수도 있다. 일부 실시예들에서, 적합한 RF 전력은 약 100 W 내지 10 ㎾, 또는 약 200 W 내지 6 ㎾, 또는 약 500 W, 및 3 ㎾, 또는 약 1 ㎾ 내지 2 ㎾의 범위일 수도 있다.
다양한 막 전구체 플로우 레이트들이 동작 (i) 에서 채용될 수도 있다. 일부 실시예들에서, 적합한 플로우 레이트들은 약 0.1 mL/min 내지 약 10 mL/min, 또는 약 0.5 mL/min 내지 약 5 mL/min, 또는 약 1 mL/min 내지 약 3 mL/min의 범위일 수도 있다.
다양한 가스 플로우 레이트들이 다양한 동작들에서 사용될 수도 있다. 일부 실시예들에서, 일반적인 가스 플로우 레이트들은 약 1 L/min 내지 약 20 L/min, 또는 약 2 L/min 내지 약 10 L/min의 범위일 수도 있다. 단계들 (ii) 및 (iv) 에서 선택가능한 불활성 퍼지 단계들에 대해, 채용된 버스트 (burst) 플로우 레이트는 약 20 L/min 내지 약 100 L/min, 또는 약 40 L/min 내지 약 60 L/min의 범위일 수도 있다.
다시 한번, 일부 실시예들에서, 펌프 다운 (pump-to-base) 단계는 하나 이상의 진공 펌프들에 반응 챔버를 직접적으로 노출시킴으로써 반응 챔버를 기준 압력으로 펌핑하는 것을 지칭한다. 일부 실시예들에서, 기준 압력은 통상적으로 겨우 몇 milliTorr (예를 들어, 약 1 내지 20 mTorr) 일 수 있다. 또한, 상기에 나타낸 바와 같이, 펌프 다운 단계는 불활성 퍼지에 의해 동반되거나 동반되지 않을 수도 있고, 따라서 하나 이상의 밸브들이 진공 펌프로의 컨덕턴스 경로로 개방될 때 캐리어 가스들이 흐르거나 흐르지 않을 수도 있다.
또한, 다시 한번, 다수의 ALD 사이클들이 컨포멀 층들의 스택들의 구축을 위해 반복될 수도 있다. 일부 실시예들에서, 각각의 층은 실질적으로 동일한 조성을 가지지만, 다른 실시예들에서, 순차적으로 ALD 증착된 층들은 상이한 조성들을 가질 수도 있지만, 특정한 이러한 실시예들에서, 조성은 층단위로 교번할 수도 있거나, 상기에 기술된 바와 같이, 상이한 조성들을 갖는 층들의 반복하는 시퀀스가 있을 수도 있다. 따라서, 실시예에 따라, 특정한 스택 엔지니어링 개념들은, 상기에 참조로서 인용되고 열거된 특허 출원서들 (미국 특허 출원 번호 13/084,399, 13/242,084, 및 13/224,240) 에 개시된 바와 같이, 이들 막들에서 붕소, 인, 또는 비소 농도를 조정하도록 사용될 수도 있다.
리소그래피 패터닝
상기 기술된 다양한 장치들 및 방법들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 공통 제조 설비 내에서 함께 및/또는 동시에 이러한 툴들이 이용되거나 프로세스들이 수행될 것이다.
막의 리소그래픽 패터닝은 통상적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 기판, 예를 들어, 상부에 실리콘 나이트라이드 막이 형성된 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 기판 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다. 일부 실시예들에서, 애시가능 하드마스크 층 (예컨대 비정질 탄소층) 및 또 다른 적합한 하드마스크 (예컨대 반사방지 층) 가 포토레지스트를 도포하기 전에 증착될 수도 있다.
다른 실시예들
앞서 개시된 기법들, 동작들, 프로세스들, 방법들, 시스템들, 장치들, 툴들, 막들, 화학물질들, 및 조성들은 명확성 및 이해를 촉진하기 위한 목적으로 구체적인 실시예들의 맥락에서 상세히 기술되었지만, 본 개시의 정신 및 범위 내에 있는, 전술한 실시예들을 구현하는 많은 대안적인 방식들이 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 명세서에 기술된 실시예들은 개시된 창의적인 개념들을 제한하기 보다는 예시하는 것으로 보여지고, 결국 본 개시의 주제로 지향된 임의의 청구항들의 범위를 과도하게 제한하는 용납할 수 없는 기준으로서 사용되지 않는다.

Claims (35)

  1. 인접한 안테나들 간 0.1 내지 150 ㎝의 평균 간격으로 실질적으로 평면 내에 배열된 5 내지 256 개의 마이크로파 안테나들을 포함하는, 위상 배열 (phased-array) 마이크로파 안테나들.
  2. 제 1 항에 있어서,
    상기 안테나들의 실질적으로 평면 배열은 최외곽에서 275 내지 325 ㎜의 직경을 갖는 안테나들의 몇몇 실질적으로 동심 원형 그룹들을 포함하는, 위상 배열 마이크로파 안테나들.
  3. 제 2 항에 있어서,
    상기 안테나들의 실질적으로 평면 배열은 3 내지 24 개의 안테나들의 실질적으로 동심 원형 그룹들을 포함하는, 위상 배열 마이크로파 안테나들.
  4. 서로에 대해 실질적으로 실린더형으로 배열된 8 내지 256 개의 마이크로파 안테나들을 포함하고, 상기 실린더형 배열의 높이는 5 내지 500 ㎜가 되고, 그리고 상기 실린더형 배열의 직경은 300 내지 600 ㎜가 되는, 위상 배열 마이크로파 안테나들.
  5. 제 4 항에 있어서,
    상기 실린더형 배열의 상기 높이는 100 내지 300 ㎜이고, 그리고 상기 실린더형 배열의 상기 직경은 350 내지 450 ㎜인, 위상 배열 마이크로파 안테나들.
  6. 제 5 항에 있어서,
    인접한 안테나들 간 평균 간격은 0.1 내지 150 ㎝인, 위상 배열 마이크로파 안테나들.
  7. 제 6 항에 있어서,
    상기 실린더형 배열은 실질적으로 원형으로 배열된 안테나들의 몇몇 그룹들의 스택을 포함하는, 위상 배열 마이크로파 안테나들.
  8. 제 7 항에 있어서,
    상기 실린더형 배열은 실질적으로 원형으로 배열된 안테나들의 3 내지 7 개의 그룹들의 스택을 포함하는, 위상 배열 마이크로파 안테나들.
  9. 프로세싱 챔버 내에서 반도체 기판 상의 반응 레이트를 수정하는 방법에 있어서,
    상기 방법은,
    프로세싱 챔버 내에서 플라즈마를 에너자이징하는 단계;
    위상 배열 마이크로파 안테나들로부터 마이크로파 복사선 빔을 방출하는 단계; 및
    상기 프로세싱 챔버 내부에서 반도체 기판의 표면 상의 반응 레이트의 변화를 유발하기 위해 상기 플라즈마 내로 상기 빔을 지향시키는 단계를 포함하는, 반응 레이트를 수정하는 방법.
  10. 제 9 항에 있어서,
    상기 플라즈마의 상기 밀도에 대한 효과를 수정하기 위해 상기 플라즈마 내로 지향된 상기 마이크로파 에너지의 빔을 조종하는 (steering) 단계를 더 포함하는, 반응 레이트를 수정하는 방법.
  11. 제 10 항에 있어서,
    상기 빔을 조종하는 단계는 2 개 이상의 상기 위상 배열 마이크로파 안테나들로부터 방출된 상기 마이크로파 복사선의 상대적인 위상들을 가변시키는 단계를 포함하는, 반응 레이트를 수정하는 방법.
  12. 제 11 항에 있어서,
    상기 빔을 조종하는 단계는 2 개 이상의 상기 위상 배열 마이크로파 안테나들로부터 방출된 상기 마이크로파 복사선의 상기 상대적인 위상들 및 크기들을 가변시키는 단계를 포함하는, 반응 레이트를 수정하는 방법.
  13. 제 9 항에 있어서,
    상기 마이크로파 안테나들 중 적어도 일부는 상기 프로세싱 챔버의 주변 둘레에 위치되는, 반응 레이트를 수정하는 방법.
  14. 제 9 항에 있어서,
    상기 마이크로파 안테나들 중 적어도 일부는 상기 프로세싱 챔버 위에 위치되는, 반응 레이트를 수정하는 방법.
  15. 제 9 항에 있어서,
    상기 플라즈마는 ICP (inductively-coupled plasma) 인, 반응 레이트를 수정하는 방법.
  16. 제 9 항에 있어서,
    상기 플라즈마는 CCP (capacitively-coupled plasma) 인, 반응 레이트를 수정하는 방법.
  17. 제 9 항에 있어서,
    상기 플레이트 전극은 복수의 어퍼처들을 갖고 상기 위상 배열 마이크로파 안테나들에 의해 방출된 마이크로파 에너지는 상기 복수의 어퍼처들 중 적어도 일부를 통해 지향되는, 반응 레이트를 수정하는 방법.
  18. 프로세싱 챔버;
    상기 프로세싱 챔버 내에 반도체 기판을 홀딩하도록 구성된 기판 홀더;
    상기 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기;
    마이크로파 복사선의 빔을 상기 챔버 내로 방출하도록 구성된 위상 배열 마이크로파 안테나들; 및
    상기 프로세싱 챔버 내의 상기 플라즈마에 영향을 주도록 상기 위상 배열 마이크로파 안테나를 동작시키기 위한 인스트럭션들을 갖는 제어기를 포함하는, 반도체 프로세싱 장치.
  19. 제 18 항에 있어서,
    상기 제어기는 상기 방출된 마이크로파 복사선을 조종하기 위해 상기 위상 배열 마이크로파 안테나들을 동작시키는, 반도체 프로세싱 장치.
  20. 제 18 항에 있어서,
    상기 제어기는 상기 위상 배열의 2 개 이상의 마이크로파 안테나들로부터 방출된 상기 마이크로파 복사선의 상대적인 위상들을 가변시키는, 반도체 프로세싱 장치.
  21. 제 20 항에 있어서,
    상기 제어기는 상기 위상 배열의 2 개 이상의 마이크로파 안테나들로부터 방출된 상기 마이크로파 복사선의 상대적인 위상들 및 크기들을 가변시키는, 반도체 프로세싱 장치.
  22. 제 18 항에 있어서,
    상기 안테나들 중 적어도 일부는 상기 프로세싱 챔버의 상기 주변 둘레에 위치되는, 반도체 프로세싱 장치.
  23. 제 18 항에 있어서,
    상기 안테나들 중 적어도 일부는 상기 프로세싱 챔버 위에 위치되는, 반도체 프로세싱 장치.
  24. 제 18 항에 있어서,
    상기 플라즈마 생성기는 ICP를 생성하도록 구성되는, 반도체 프로세싱 장치.
  25. 제 24 항에 있어서,
    상기 플라즈마 생성기는 상기 ICP 플라즈마를 생성하기 위한 하나 이상의 전력 공급부들에 연결된 2 개 이상의 코일들을 포함하는, 반도체 프로세싱 장치.
  26. 제 25 항에 있어서,
    상기 위상 배열 마이크로파 안테나들에 의해 방출된 상기 마이크로파 에너지는 2 개 이상의 코일들 사이로 지향되는, 반도체 프로세싱 장치.
  27. 제 18 항에 있어서,
    상기 플라즈마 생성기는 CCP 플라즈마를 생성하도록 구성되는, 반도체 프로세싱 장치.
  28. 제 27 항에 있어서,
    상기 플라즈마 생성기는 상기 CCP 플라즈마를 생성하기 위해 플레이트 전극과 상기 기판 홀더 간의 전압 차를 인가하기 위해 전력 공급부에 연결된 상기 플레이트 전극을 포함하는, 반도체 프로세싱 장치.
  29. 제 28 항에 있어서,
    상기 플레이트 전극은 복수의 어퍼처들을 갖고, 상기 위상 배열 마이크로파 안테나들에 의해 방출된 마이크로파 에너지는 상기 복수의 어퍼처들 중 적어도 일부를 통해 지향되는, 반도체 프로세싱 장치.
  30. 제 17 항에 있어서,
    상기 마이크로파 복사선의 빔은 상기 챔버 내 상기 플라즈마의 밀도에 영향을 주는, 반도체 프로세싱 장치.
  31. 제 17 항에 있어서,
    상기 프로세싱 챔버는 상기 위상 배열 마이크로파 안테나들에 의해 방출된 상기 마이크로파 에너지가 상기 챔버 내로 송신되는 유전체 윈도우를 포함하는, 반도체 프로세싱 장치.
  32. 제 31 항에 있어서,
    상기 유전체 윈도우는 상기 프로세싱 챔버의 상기 주변 벽들에 위치되는, 반도체 프로세싱 장치.
  33. 제 32 항에 있어서,
    상기 유전체 윈도우는 석영 및/또는 세라믹을 포함하는, 반도체 프로세싱 장치.
  34. 제 31 항에 있어서,
    상기 유전체 윈도우는 상기 프로세싱 챔버의 상단 벽에 위치되는, 반도체 프로세싱 장치.
  35. 제 34 항에 있어서,
    상기 유전체 윈도우는 석영 및/또는 세라믹을 포함하는, 반도체 프로세싱 장치.
KR1020160146442A 2015-11-09 2016-11-04 에칭 프로세스 및 증착 프로세스를 위한 컴퓨터 어드레싱가능한 플라즈마 밀도 수정 KR20170058272A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/936,437 2015-11-09
US14/936,437 US20170133202A1 (en) 2015-11-09 2015-11-09 Computer addressable plasma density modification for etch and deposition processes

Publications (1)

Publication Number Publication Date
KR20170058272A true KR20170058272A (ko) 2017-05-26

Family

ID=58668196

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160146442A KR20170058272A (ko) 2015-11-09 2016-11-04 에칭 프로세스 및 증착 프로세스를 위한 컴퓨터 어드레싱가능한 플라즈마 밀도 수정

Country Status (5)

Country Link
US (1) US20170133202A1 (ko)
JP (1) JP2017103454A (ko)
KR (1) KR20170058272A (ko)
CN (1) CN106972281A (ko)
TW (1) TW201728780A (ko)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10431451B2 (en) * 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10153133B2 (en) 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10651017B2 (en) * 2016-06-30 2020-05-12 Tokyo Electron Limited Method for operation instability detection in a surface wave plasma source
US10748745B2 (en) 2016-08-16 2020-08-18 Applied Materials, Inc. Modular microwave plasma source
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10748797B2 (en) * 2017-01-18 2020-08-18 Applied Materials, Inc. Plasma parameters and skew characterization by high speed imaging
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10790118B2 (en) * 2017-03-16 2020-09-29 Mks Instruments, Inc. Microwave applicator with solid-state generator power source
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10707058B2 (en) 2017-04-11 2020-07-07 Applied Materials, Inc. Symmetric and irregular shaped plasmas using modular microwave sources
US11037764B2 (en) 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP7290634B2 (ja) * 2017-10-31 2023-06-13 ラム リサーチ コーポレーション リアクタの処理バッチサイズを増加させるための方法および装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US11393661B2 (en) 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
US11081317B2 (en) 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
US10504699B2 (en) 2018-04-20 2019-12-10 Applied Materials, Inc. Phased array modular high-frequency source
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7180847B2 (ja) * 2018-12-18 2022-11-30 東京エレクトロン株式会社 カーボンハードマスク、成膜装置、および成膜方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022521941A (ja) * 2019-02-25 2022-04-13 コーニング インコーポレイテッド マルチシャワーヘッド式化学蒸着反応装置、方法および生成物
JP7203950B2 (ja) * 2019-03-19 2023-01-13 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置及びプログラム
US11388809B2 (en) * 2019-03-25 2022-07-12 Recarbon, Inc. Systems for controlling plasma reactors
JP7221115B2 (ja) * 2019-04-03 2023-02-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US10857573B1 (en) * 2019-06-18 2020-12-08 The Boeing Company Methods and apparatuses for clearing particles from a surface of an electronic device using skewed waveforms to eject debris by way of electromagnetic propulsion
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制系统及半导体设备
JP7233348B2 (ja) * 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7336959B2 (ja) * 2019-10-28 2023-09-01 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP2021077451A (ja) * 2019-11-05 2021-05-20 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2021107570A (ja) * 2019-12-27 2021-07-29 住友重機械工業株式会社 成膜装置
JP7394632B2 (ja) * 2020-01-16 2023-12-08 東京エレクトロン株式会社 アレーアンテナ及びプラズマ処理装置
DE102020113578A1 (de) * 2020-05-19 2021-11-25 Muegge Gmbh Mikrowellenbehandlungseinrichtung
JP2022039821A (ja) * 2020-08-28 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および誘電体窓
JP2022039820A (ja) * 2020-08-28 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2023539849A (ja) * 2020-09-01 2023-09-20 ラム リサーチ コーポレーション ウエハベベルエッジのプラズマ処理におけるアーキングの低減
CN114724914A (zh) * 2021-01-04 2022-07-08 江苏鲁汶仪器有限公司 一种等离子体密度控制系统及方法
WO2023003768A1 (en) * 2021-07-21 2023-01-26 Lam Research Corporation Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system
CN116190190B (zh) * 2023-04-25 2023-07-25 季华实验室 自动阻抗匹配方法、装置、系统、电子设备及存储介质

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0810634B2 (ja) * 1990-06-01 1996-01-31 インターナショナル・ビジネス・マシーンズ・コーポレイション マイクロ波給電式材料/プラズマ処理システム
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
IL110896A0 (en) * 1994-01-31 1994-11-28 Loral Qualcomm Satellite Serv Active transmit phases array antenna with amplitude taper
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
TW454429B (en) * 2000-05-31 2001-09-11 Nanya Technology Corp Plasma generator
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
KR100988085B1 (ko) * 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
CN1998272A (zh) * 2004-06-25 2007-07-11 东京毅力科创株式会社 等离子体处理装置
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
WO2009050893A1 (ja) * 2007-10-18 2009-04-23 Panasonic Corporation マイクロ波加熱装置
JP5231308B2 (ja) * 2009-03-31 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置
US8421684B2 (en) * 2009-10-01 2013-04-16 Qualcomm Incorporated Methods and apparatus for beam steering using steerable beam antennas with switched parasitic elements
JP5931063B2 (ja) * 2010-11-17 2016-06-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5893865B2 (ja) * 2011-03-31 2016-03-23 東京エレクトロン株式会社 プラズマ処理装置およびマイクロ波導入装置
FR2974701B1 (fr) * 2011-04-27 2014-03-21 Sairem Soc Pour L Applic Ind De La Rech En Electronique Et Micro Ondes Installation de production d'un plasma micro-onde
DE102012200878B4 (de) * 2012-01-23 2014-11-20 Forschungsverbund Berlin E.V. Verfahren und Vorrichtung zum Erzeugen von Plasmapulsen
US9301383B2 (en) * 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
WO2014050979A1 (ja) * 2012-09-26 2014-04-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9607809B2 (en) * 2013-03-12 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. High density plasma reactor with multiple top coils
US9867269B2 (en) * 2013-03-15 2018-01-09 Starfire Industries, Llc Scalable multi-role surface-wave plasma generator
US9530621B2 (en) * 2014-05-28 2016-12-27 Tokyo Electron Limited Integrated induction coil and microwave antenna as an all-planar source
US10269541B2 (en) * 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window

Also Published As

Publication number Publication date
TW201728780A (zh) 2017-08-16
CN106972281A (zh) 2017-07-21
US20170133202A1 (en) 2017-05-11
JP2017103454A (ja) 2017-06-08

Similar Documents

Publication Publication Date Title
KR20170058272A (ko) 에칭 프로세스 및 증착 프로세스를 위한 컴퓨터 어드레싱가능한 플라즈마 밀도 수정
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
TWI714619B (zh) 在多站半導體基板處理腔室中實施電漿活化膜沉積之方法
US9609730B2 (en) Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
JP6415035B2 (ja) ギャップフィルのための共形膜蒸着
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
TW201903833A (zh) 具有原子層蝕刻重置之選擇性沉積
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
US20230298904A1 (en) Electron excitation atomic layer etch
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning