JP6415035B2 - ギャップフィルのための共形膜蒸着 - Google Patents

ギャップフィルのための共形膜蒸着 Download PDF

Info

Publication number
JP6415035B2
JP6415035B2 JP2013230782A JP2013230782A JP6415035B2 JP 6415035 B2 JP6415035 B2 JP 6415035B2 JP 2013230782 A JP2013230782 A JP 2013230782A JP 2013230782 A JP2013230782 A JP 2013230782A JP 6415035 B2 JP6415035 B2 JP 6415035B2
Authority
JP
Japan
Prior art keywords
reactant
oxide layer
dielectric oxide
plasma
cfd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013230782A
Other languages
English (en)
Other versions
JP2014112668A (ja
JP2014112668A5 (ja
Inventor
シャンカー・スワミナタン
ジェイ.・バン スカラベンディジク・バート
ジェイ.・バン スカラベンディジク・バート
エイドリアン・ラボイエ
セシャ・バラダラジャン
ダエジン・パーク ジェイソン
ダエジン・パーク ジェイソン
ミハル・ダネク
ナオヒロ・ショウダ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2014112668A publication Critical patent/JP2014112668A/ja
Publication of JP2014112668A5 publication Critical patent/JP2014112668A5/ja
Application granted granted Critical
Publication of JP6415035B2 publication Critical patent/JP6415035B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

[関連出願の表示]
本出願は、その内容すべてがあらゆる目的のために本出願に組み込まれる発明の名称を「ギャップフィルのための共形膜蒸着(CONFORMAL FILM DEPOSITION FOR GAPFILL)」とする2012年11月8日に出願された米国仮特許出願No.61/724,186に基づく優先権を主張する。
本発明は、高アスペクト比ギャップ等の狭い形状の構造内における共形(コンフォーマルな)誘電体薄膜の形成に関する。さらに具体的には、本発明は、ギャップフィルのための高共形な誘電体薄膜の共形膜蒸着(CFD:conformal film deposition)に関する。
サブミクロン集積回路(IC)製造において、いくつかの用途で、誘電体膜の層が用いられる。このような用途としては、STI(シャロートレンチ・アイソレーション)、プレメタル誘電体(PMD)、金属間誘電体(IMD)及び層間誘電体(ILD)が挙げられる。これらの層すべてで、様々な大きさのフィーチャを埋め込むために、ウエハ全体で均一な膜厚を有する二酸化ケイ素膜が必要となる。
化学蒸着(CVD)は、共形二酸化ケイ素膜を蒸着するための選択法として従来用いられてきた。しかし、設計基準寸法がどんどん小さくなるにつれて、フィーチャのアスペクト比(深さ対幅)が増大し、従来のCVDでは、このような高アスペクト比フィーチャに適した共形膜を提供できなくなってきた。さらに、高アスペクト比フィーチャ用の一部のギャップフィル技術ではスピンオン誘電体及び/又は流動性酸化物を用いた二酸化ケイ素膜の蒸着を行なっているが、このような膜では適当な品質や密度が提供できない場合もあった。
共形に蒸着された酸化物膜は、CVDの代替として用いることができ、スピンオン誘電体及び流動性酸化物よりもずっと高密度で高アスペクト比ギャップ内に高品質の膜を蒸着させることが可能である。共形蒸着技術の例としては、原子層成長法(ALD:atomic layer deposition)が挙げられる。ALDは、共形酸化物膜の形成に有用であるが、従来のALD処理では、膜内に低密度領域が形成される可能性が高い。ALD処理の共形の特質として、サイクルが連続するにつれてギャップのアスペクト比が増大する。拡散限界により、前駆材料はこのような高アスペクト比ギャップの底部に到達できない。したがって、ギャップの上部は底部よりも速く酸化ケイ素で塞がれて、ギャップ内に前駆材料がそれ以上拡散するのを妨げる。結果として、低密度の領域が形成される。これらの領域が拡張して、高アスペクト比ギャップの真ん中にボイドやシームが形成される可能性がある。ボイドやシームは、高抵抗、汚染、充填材料の喪失及びその他、IC性能の劣化につながる可能性がある。ボイドやシームは最終的にデバイスの故障を引き起こす可能性もある。
基板における高アスペクト比ギャップ内に誘電酸化物を共形に蒸着する方法を提供する。この方法は、約5:1よりも大きな深さ対幅のアスペクト比を各々有する1つ以上のギャップを備える基板を反応チャンバ内に準備し、共形膜蒸着(CFD)によって、前記1つ以上のギャップ内に第1の誘電酸化物層を蒸着し、プラズマエッチングを用いて、前記第1の誘電酸化物層の一部のエッチングを行う際に、各ギャップの上面近傍における前記第1の誘電酸化物層の一部のエッチング速度を前記ギャップの底面近傍におけるエッチング速度よりも速くすることにより、前記第1の誘電酸化物層を各ギャップの前記上面から前記底面に向かってテーパした形状とし、CFDによって、前記1つ以上のギャップ内で、前記第1の誘電酸化物層の上に第2の誘電酸化物層を蒸着する。
一部の実施形態において、方法は、さらに、蒸着工程、エッチング工程及び蒸着工程を繰り返して、1つ以上のギャップを実質的に埋め込むものでもよい。一部の実施形態において、第2の誘電酸化物層を蒸着することによって、1つ以上のギャップを実質的に埋め込ものでもよい。一部の実施形態において、方法は、さらに、遠隔プラズマ内にフッ素含有種を流入させることによって、プラズマ活性エッチャントを生成し、プラズマ活性エッチャントを反応チャンバ内に流入させて、第1の誘電酸化物層と反応させることによって、エッチングを行うものでもよい。フッ素含有種は、三フッ化窒素(NF3)を含むものでもよい。一部の実施形態において、方法は、第1の誘電酸化物層の一部のエッチングを行う際に、反応チャンバ内に水素(H2)を流すものでもよい。フッ素含有種に対する水素の流量比は、約1:1〜5:1の間でもよい。一部の実施形態において、第1の誘電酸化物層及び第2の誘電酸化物層は、二酸化ケイ素(SiO2)を含むものでもよい。一部の実施形態において、CFDによって1つ以上のギャップの前記第1の誘電酸化物層を蒸着することは、さらに、第1の反応物質を基板表面上に吸着可能な条件下で反応チャンバ内に第1の反応物質を気相で導入し、第1の反応物質が基板表面上に吸着された状態で反応チャンバ内に第2の反応物質を気相で導入し、この場合、第1の反応物質を反応チャンバ外にスイープさせることなく第2の反応物質を導入し、基板表面をプラズマに暴露させることによって、基板表面上で第1の反応物質と第2の反応物質との間の反応を促進させ、第1の誘電酸化物層を形成するものでもよい。
基板において誘電材料の共形蒸着層をエッチングする方法を提供する。この方法は、誘電材料の共形層で被覆されたギャップを備える基板を反応チャンバ内に準備し、反応チャンバ内で基板を、フッ素含有種と水素とを含む処理ガスから生成されるプラズマに暴露させ、この際に、フッ素含有種に対する水素の流量比を約1:1〜5:1とする。
一部の実施形態において、反応チャンバ内で基板をプラズマに暴露させることによって、誘電材料の共形層を非共形にエッチングするものでもよい。
基板における高アスペクト比ギャップ内に誘電酸化物を共形に蒸着する装置を提供する。この装置は、反応チャンバと、反応チャンバに連結されるプラズマ源と、を備える。装置は、さらに、制御部であって、約5:1よりも大きな深さ対幅のアスペクト比を各々有する1つ以上のギャップを備える基板を反応チャンバ内に準備することと、共形膜蒸着(CFD)によって、1つ以上のギャップ内に第1の誘電酸化物層を蒸着することと、プラズマエッチングを用いて、第1の誘電酸化物層の一部のエッチングを行うことであって、その際に、各ギャップの上面近傍における第1の誘電酸化物層の一部のエッチング速度をギャップの底面近傍におけるエッチング速度よりも速くすることにより、第1の誘電酸化物層を各ギャップの上面から底面に向かってテーパした形状とすることと、CFDによって、1つ以上のギャップ内で、第1の誘電酸化物層の上に第2の誘電酸化物層を蒸着することと、を実行する命令を備える制御部、を備える。
一部の実施形態において、制御部は、蒸着工程、エッチング工程、及び蒸着工程を繰り返繰り返して、1つ以上のギャップを実質的に埋め込むことを実行する命令を備えるものでもよい。一部の実施形態において、第2の誘電酸化物層を蒸着する命令を備える制御部は、さらに、1つ以上のギャップを実質的に埋め込む命令を備えるものでもよい。一部の実施形態において、制御部は、さらに、前記第1の誘電酸化物層の一部のエッチングを行う際に、反応チャンバ内に水素(H2)を流すことを実行する命令を備えるものでもよい。
共形膜蒸着(CFD)におけるフェーズの例を時間経過と共に示す図。
従来の共形膜蒸着技術によってギャップ内に共形膜を蒸着する際にシーム/ボイドが形成される様子を示す概略断面図。 従来の共形膜蒸着技術によってギャップ内に共形膜を蒸着する際にシーム/ボイドが形成される様子を示す概略断面図。 従来の共形膜蒸着技術によってギャップ内に共形膜を蒸着する際にシーム/ボイドが形成される様子を示す概略断面図。
CFD酸化物を用いて高アスペクト比ギャップの埋め込みを行う方法の例を示すフロー図。
CFD酸化物を用いて高アスペクト比ギャップの埋め込みを行う処理の各段階を示す概略断面図。 CFD酸化物を用いて高アスペクト比ギャップの埋め込みを行う処理の各段階を示す概略断面図。 CFD酸化物を用いて高アスペクト比ギャップの埋め込みを行う処理の各段階を示す概略断面図。 CFD酸化物を用いて高アスペクト比ギャップの埋め込みを行う処理の各段階を示す概略断面図。
基板における高アスペクト比のギャップ内に誘電酸化物を共形に蒸着する方法の例を示すフロー図。
2/NF3の流量比の変化に応じて端部がテーパされたCFD酸化物の断面画像を示す図。 2/NF3の流量比の変化に応じて端部がテーパされたCFD酸化物の断面画像を示す図。 2/NF3の流量比の変化に応じて端部がテーパされたCFD酸化物の断面画像を示す図。 2/NF3の流量比の変化に応じて端部がテーパされたCFD酸化物の断面画像を示す図。
2回の蒸着工程の間にエッチングを実施しない場合のCFD酸化物ギャップフィルの断面画像を示す図。
2回の蒸着工程の間に非共形エッチング工程を実施する場合のCFD酸化物ギャップフィルの断面画像を示す図。
CFD処理ステーションの例を示す図。
HDP処理ステーションの例を示す図。
マルチステーション型処理ツールの概略図。
本発明の概念が十分に理解されるように、以下、数多くの具体的な詳細を説明するが、本発明の概念はこれらの具体的な詳細の一部または全部を省略した形態でも実施可能である。本明細書で説明する概念が不要に曖昧にならないように、周知の処理工程は詳細には説明しない。また、一部の概念を特定の実施形態と関連付けて説明するが、これらの実施形態に限定されるものではない。
本発明は、ギャップフィルのために共形膜を形成する方法を提供する。この方法は、ボイド及びシームを取り除く非共形エッチング技術と共形膜蒸着(堆積)技術とを組み合わせるものである。
処理
一部の実施形態において、共形(コンフォーマルな)膜蒸着(CFD:conformal film deposition)を用いて、酸化物膜を蒸着する。CFDを用いた膜形成の方法に関しては、2011年4月11日に出願された米国特許出願No.13/084,399に記載されており、その開示は、あらゆる目的で本明細書に参照して組み込まれる。ここでは、CFDについて簡単に説明する。
半導体デバイスの製造には、通常、集積製造処理において、非平面状の基板上に1つ以上の膜箔を蒸着する(堆積させる)工程が含まれる。集積処理の一部の態様において、共形薄膜の蒸着が有用である。原子層成長法(ALD:atomic layer deposition)を用いて、非平面状の基板上に共形膜を蒸着させることができる。熱的に活性化された気相反応を利用して膜蒸着を行うCVD処理と異なり、ALD処理は、表面介在蒸着反応を利用して層ごとに膜蒸着を行う。ALD処理の一例において、表面活性部位群を備える基板表面を第1の反応物質(A)の気相分布に暴露させる。反応物質Aの一部の分子が、反応物質Aの化学吸着種及び物理吸着分子を含む凝縮相を基板表面上に形成する。次に、反応装置を減圧にして、化学吸着種のみが残るように、気相と物理吸着反応物質Aとを取り除く。次に、反応装置に第2の膜形成反応物質(B)を導入すると、反応物質Bの一部の分子が基板表面に吸着する。基板に熱エネルギーを加えると、反応物質Aと反応物質Bの吸着分子間の表面反応が活性化されて膜層が形成される。最後に、反応装置を減圧にして、反応副生成物と未反応の反応物質Bとを取り除いて、ALDサイクルを終了する。追加のALDサイクルを行って、膜厚を増大させるようにしてもよい。プラズマ若しくはその他のエネルギー手段を加熱と組み合わせて用いて、又は、基板を加熱する代わりに用いて、反応物質AとBとの間の反応を促進させるようにしてもよい。
反応物質投与工程における暴露時間及び反応物質の付着係数とに応じて、各ALDサイクルで、たとえば、0.5オングストローム〜3オングストロームの厚みの膜層を蒸着することができる。したがって、数ナノメートル以上の厚みの膜を蒸着させる場合には、ALD処理に時間がかかる。さらに、反応物質によっては、共形膜を蒸着させるために長い暴露時間が必要であり、ウエハのスループット・タイムが低下する可能性がある。
したがって、本明細書に記載する様々な実施形態では、CFDにより、酸化物膜を蒸着させてギャップフィルを行う。一般に、CFDでは、酸化物ギャップフィルを形成する反応の前に、1つ以上の反応物質のパージを完全に行う必要がない。たとえば、プラズマ(又は他の活性化エネルギー)打ち込みの際に、気相に1つ以上の反応物質が存在していてもよい。したがって、CFD処理では、ALD処理で記載した1つ以上の処理工程を短縮できる又は省略できる。さらに、一部の実施形態において、プラズマ活性化蒸着反応では、熱的活性化反応と比べて蒸着温度が低く、集積処理の熱収支を抑制することが可能である。
図1は、不活性ガス流、反応物質A、反応物質B及びプラズマ打ち込み時等、種々の処理パラメータに関して、CFD処理100におけるフェーズの例を時間経過と共に示す。図1では、2つの蒸着サイクル110Aと110Bとを示すが、当業者には自明のように、所望の膜厚を蒸着させるために、CFD処理では、任意の適当な数の蒸着サイクルを実施することができる。CFD処理のパラメータ例としては、以下に限定されるものではないが、不活性種及び反応物質種の流量、プラズマ出力及び頻度、基板温度及び処理ステーションの圧力が挙げられる。
本明細書に記載する様々な実施形態において、CFD「サイクル」の概念が用いられている。一般に、サイクルは、表面蒸着反応を一度行うために必要な最小の処理工程群を意味する。1つのサイクルの結果、基板表面上に少なくとも部分的な膜層が生成される。一般的に、CFDサイクルには、各反応物質を基板表面に供給して吸着させ、その後、吸着させた反応物質を反応させて部分的な膜層を形成するために必要な工程のみが含まれるが、反応物質又は副生成物の一つをスイープする(取り除く)、及び/又は、蒸着された部分膜を処理する等の所定の補助的工程を含むものでもよい。一般に、サイクルは、固有の一連の工程の一例に過ぎない。たとえば、サイクルは、以下の工程を含むものでもよい。(i)反応物質Aの供給/吸着。(ii)反応物質Bの供給/吸着。(iii)Bの反応チャンバからの除去。(iv)プラズマ処理によりAとBとの表面反応を促進させて表面上に部分膜層を形成。
図1に示すように、不活性ガスは、処理100のすべてのフェーズで流される。反応物質A暴露(接触)フェーズ120Aでは、制御された流量で反応物質Aが処理ステーションに供給され、基板の露出面を飽和状態にする。反応物質Aは、窒素含有反応物質や酸素含有反応物質等、任意の適当な蒸着反応物質である。図1に示す実施形態において、蒸着サイクル110A及び110Bを通して、反応物質Aは連続的に流される。気相反応を防止するために膜前駆物質(反応物質)の暴露を別々に行う一般的なALD処理とは異なり、一部の実施形態のCFD処理では、反応物質AとBとを気相で混在させることができる。反応物質Aの供給を開始し、安定化させて、基板に暴露させて、供給を停止し、反応装置から最後に除去するALD処理と比べて、CFD処理では、処理ステーションに継続的に反応物質Aを供給することにより、反応物質Aの流量のターンオン時間及び安定化時間を削減する又は省くことができる。図1に示す実施形態では、反応物質A暴露フェーズ120Aにおける流量は一定であるが、本発明の範囲内で、反応物質Aの流量を可変流量等、任意の適当な流量とすることができる。一部の実施形態において、反応物質A暴露フェーズ120Aの持続時間は、反応物質Aに関する基板表面飽和時間を超えるものであってもよい。たとえば、図1の実施形態では、反応物質A暴露フェーズ120Aに、反応物質A後飽和暴露時間130が含まれる。必要に応じて、反応物質A暴露フェーズ120Aにおいて、不活性ガスの流量を制御するようにしてもよい。不活性ガスの例としては、以下に限定されるものではないが、窒素、アルゴン及びヘリウムが挙げられる。不活性ガスを供給することにより、処理ステーションの圧力制御及び/又は温度制御や液体反応物質の蒸発、反応物質のより迅速な供給が容易になり、及び/又は、不活性ガスを、処理ステーション及び/又は処理ステーション配管から処理ガスを取り除くスイープガスとして用いることもできる。
図1に示す実施形態の反応物質B暴露フェーズ140Aでは、制御された流量で反応物質Bが処理ステーションに供給され、基板の露出面を飽和状態にする。二酸化ケイ素膜の場合には、反応物質Bとして、ビス(t-ブチルアミノ)シラン(BTBAS)等のケイ素含有反応物質を用いるようにしてもよい。図1に示す実施形態では、反応物質B暴露フェーズ140Aにおける流量は一定であるが、本発明の範囲内で、反応物質Bの流量を可変流量等、任意の適当な流量とすることができる。さらに、当然のことながら、反応物質B暴露フェーズ140Aの持続時間は、任意の適当な持続時間でよい。一部の実施形態において、反応物質B暴露フェーズ140Aの持続時間は、反応物質Bに関する基板表面飽和時間を超えるものであってもよい。たとえば、図1の実施形態では、反応物質B暴露フェーズ140Aに、反応物質B後飽和暴露時間150が含まれる。
一部の実施形態において、表面吸着種Bは、基板表面上に不連続な島状に存在し、反応物質Bの表面飽和を難しくする。さまざまな表面条件により、基板表面上における反応物質Bの核形成及び飽和が遅くなる場合がある。たとえば、吸着した反応物質A及び/又はB上に放出された配位子によって、一部の表面活性部位が塞がれ、反応物質Bがそれ以上吸着できなくなる。したがって、一部の実施形態において、反応物質B暴露フェーズ140Aの間、処理ステーションへの反応物質Bの流量を調整することにより、及び/又は、離散的にパルス状に反応物質Bを供給することにより、反応物質Bの吸着層を連続的に形成するようにしてもよい。この場合には、一定流量の場合と比べて、表面吸着及び脱離処理に時間がかかるが、反応物質Bを節約することができる。さらに、又は、この代わりに、一部の実施形態において、連続した反応物質Bの暴露フェーズ間に1つ以上のスイープフェースを備えるようにしてもよい。
一部の実施形態において、プラズマ活性化の前に、スイープフェーズ160Aで、処理ステーションから気相反応物質Bを取り除くようにしてもよい。処理ステーションをスイープすることにより、反応物質Bがプラズマ活性に対して不安定になる、又は、不要な種が形成されるような気相反応を抑制することができる。さらに、処理ステーションをスイープすることにより、残留して膜を汚染させる可能性のある表面に吸着した配位子を除去することができる。スイープガスの例としては、以下に限定されるものではないが、アルゴン、ヘリウム及び窒素が挙げられる。図1に示す実施形態において、スイープフェース160A用のスイープガスは、不活性ガス連続流により供給される。一部の実施形態において、スイープフェーズ160Aは、処理ステーションを減圧する1つ以上の減圧サブフェーズを含むものでもよい。あるいは、当然のことながら、一部の実施形態において、スイープフェーズ160Aを省略してもよい。
スイープフェーズ160Aの持続時間は任意の適当な持続時間であればよい。一部の実施形態において、1つ以上のスイープガスの流量を増大させることにより、スイープフェーズ160Aの持続時間を短縮するようにしてもよい。たとえば、様々な反応物質の熱力学的特性及び/又は処理ステーション及び/又は処理ステーション配管の幾何学的特性に応じて、スイープガスの流量を調整して、スイープフェーズ160Aの持続時間を変更するようにしてもよい。たとえば、これに限定されるものではないが、スイープガスの流量を調節することによって、スイープフェーズの持続時間を最適化するようにしてもよい。これにより、蒸着サイクルタイムを削減し、基板スループットを向上させることができる。
図1に示す実施形態の活性化フェーズ180Aでは、エネルギーを供給して、表面吸着反応物質AとBとの間の表面反応を活性化させる。たとえば、プラズマにより、反応物質Aの気相分子を直接的または間接的に活性化させて、反応物質Aのラジカルを形成するようにしてもよい。これらのラジカルは、表面に吸着された反応物質Bと相互作用して、膜形成表面反応が生じる。別の例では、紫外線(UV)照射により、反応物質Aの気相分子を直接的または間接的に活性化させて、反応物質Aのラジカルを形成し、表面に吸着された反応物質Bと相互作用させるようにしてもよい。
さまざまな実施形態において、活性化フェーズ180Aは、直流(in situ)プラズマ、遠隔プラズマ、紫外線照射、可視光照射及びマイクロ波照射の1つ以上を行うものでもよい。活性化フェーズ180Aで蒸着サイクル110Aは終了し、図1の実施形態では、その後に蒸着サイクル110Bが続いて行われ、反応物質A暴露フェーズ120Bで始まり、これに、反応物質B暴露フェーズ140B、スイープフェーズ160B及びプラズマ活性化フェーズ180Bが続く。
一部の実施形態において、活性化フェーズ180Aで形成されるプラズマは、基板表面上で直接形成されるものでもよい。この場合には、プラズマ密度がおおきくなり、反応物質AとBとの間の表面反応速度が増大する。たとえば、2枚の容量結合されたプレートを用いて、高周波数(RF)場を低圧ガスに印加することにより、CFD処理で用いられるプラズマを発生させるようにしてもよい。任意の適当なガスを用いてプラズマを形成可能である。この例では、アルゴンやヘリウム等の不活性ガスを、窒素含有反応物質又は酸素含有反応物質等の反応物質Aと共に用いて、プラズマを形成できる。RF場によるプレート間でのガスのイオン化により、プラズマが点火されて、プラズマ放電領域において、自由電子が生成される。これらの電子は、RF場により加速されて、気相の反応物質分子と衝突する。このような電子と反応物質分子との衝突により、蒸着処理に関与するラジカル種が形成される。当然のことながら、RF場は、任意の適当な電極により結合されるものでもよい。電極の例としては、これに限定されるものではないが、処理ガス分配シャワーヘッド電極や基板支持ペデスタル電極が挙げられる。当然のことながら、ガスに対するRF場の容量結合以外の1つ以上の適当な方法で、CFD処理用のプラズマを形成するようにしてもよい。
一部の実施形態において、活性化フェーズ180Aで形成されるプラズマは、遠隔プラズマ源内で形成されるものでもよい。一部の実施形態において、遠隔プラズマ源から活性種が基板を囲うチャンバに入り、反応物質と相互作用するものでもよい。一部の実施形態において、これらの活性種には、イオン、電子、ラジカル及び高エネルギー分子が含まれる。一部の実施形態において、チャンバに入る活性種は、チャンバに入る前に再結合するためにイオン及び/又は電子を実質的に持たないラジカルを含む。一部の実施形態において、イオンフィルタを用いるようにしてもよい。遠隔プラズマ源に供給されて、活性種を生成可能なガスの例としては、アルゴン、ヘリウム、アンモニア、水素及び酸素が挙げられる。
一部の実施形態において、活性化フェーズ180Aは、紫外線源からの紫外線照射を含むものでもよい。広帯域紫外線源及び狭帯域紫外線源を含む任意の適当な紫外線源を用いることができる。たとえば、紫外線照射により、蒸着処理に関与するラジカル種を形成させてもよい。一部の実施形態において、紫外線源は、1つ以上の反応物質を励起させる又は反応を活性化するように選択される1つ以上の波長の光を放射するものでもよい。一部の実施形態において、反応物質が反応チャンバ内に存在する状態で、紫外線照射を行うものでもよい。たとえば、紫外線源をチャンバ内に又はチャンバの外側に取り付けるようにしてもよい。反応物質に到達するように紫外線が窓を透過するようにしてもよい。別の実施形態において、チャンバに反応物質が入る前に紫外線照射を行うものでもよい。たとえば、チャンバ内に入る前の反応物質に紫外線を照射して、ラジカル及び/又は他の活性種がチャンバに入るようにしてもよい。これらの実施形態において、活性化フェーズ180Aは、反応物質暴露フェーズと同時に実行されるものでもよいし、重なるように実行されるものでもよい。
一部の実施形態において、活性化フェーズ180Aは、可視光源からの可視光照射を含むものでもよい。たとえば、可視光照射により、蒸着処理に関与するラジカル種を形成させてもよい。一部の実施形態において、可視光源は、1つ以上の反応物質を励起させる又は反応を活性化するように選択される1つ以上の波長の光を放射するものでもよい。一部の実施形態において、反応物質が反応チャンバ内に存在する状態で、可視光照射を行うものでもよい。たとえば、光源をチャンバ内に又はチャンバの外側に取り付けるようにしてもよい。反応物質に到達するように可視光が窓を透過するようにしてもよい。別の実施形態において、チャンバに反応物質が入る前に、反応物質を励起させる可視光を照射するものでもよい。たとえば、チャンバ内に入る前の反応物質に可視光を照射して、ラジカル及び/又は他の活性種がチャンバに入るようにしてもよい。これらの実施形態において、活性化フェーズ180Aは、反応物質暴露フェーズと同時に実行されるものでもよいし、重なるように実行されるものでもよい。
一部の実施形態において、活性化フェーズ180Aは、マイクロ波照射を含むものでもよい。たとえば、マイクロ波照射により、蒸着処理に関与するラジカル種を形成させてもよい。一部の実施形態において、マイクロ波源は、1つ以上の反応物質を励起させる又は反応を活性化するように選択される1つ以上の波長の光を放射するものでもよい。一部の実施形態において、反応物質が反応チャンバ内に存在する状態で、マイクロ波照射を行うものでもよい。たとえば、マイクロ波源をチャンバ内に又はチャンバの外側に取り付けるようにしてもよい。反応物質に到達するようにマイクロ波が窓を透過するようにしてもよい。別の実施形態において、チャンバに反応物質が入る前に、反応物質を励起させるマイクロ波を照射するものでもよい。たとえば、チャンバ内に入る前の反応物質にマイクロ波を照射して、ラジカル及び/又は他の活性種がチャンバに入るようにしてもよい。これらの実施形態において、活性化フェーズ180Aは、反応物質暴露フェーズと同時に実行されるものでもよいし、重なるように実行されるものでもよい。
一部の実施形態において、活性化フェーズ180Aは、上述した活性化モードの1つ以上を含むものでもよい。活性化フェーズ180Aの持続時間は、任意の適当な持続時間でよい。一部の実施形態において、活性化フェーズ180Aの持続時間は、活性化されたラジカルが、すべての露出した基板表面及び吸着物質と相互作用して、基板表面上に連続膜を形成するのに必要な時間を超える持続時間でもよい。たとえば、図1に示す実施形態では、活性化フェーズ180Aに後飽和暴露時間190が含まれる。
一部の実施形態において、活性化エネルギー暴露時間を延ばすこと、及び/又は、複数の暴露フェーズを実行することにより、蒸着膜のバルク部分及び/又は表面近傍部分の後反応処理を行うようにしてもよい。一つの実施形態において、表面汚染を減らすことにより、反応物質Aを吸着させるための表面を準備するようにしてもよい。たとえば、ケイ素含有反応物質と窒素含有反応物質との反応により形成される窒化ケイ素膜は、次の反応物質の吸着に耐性のある表面を形成する可能性がある。窒化ケイ素表面をプラズマ又はその他活性化エネルギーで処理することによって、水素結合を形成して、次に行われる吸着及び反応を容易にするようにしてもよい。プラズマ処理以外に、電磁放射処理や熱処理(たとえば、焼きなまし又は高温パルス)等のような処理も可能である。これらの処理のいずれかを単独で行なってもよいし、プラズマ処理等、他の処理と組み合わせて行ってもよい。特定の実施形態において、処理には、膜に紫外線を照射する処理が含まれる。後述するように、特定の実施形態における方法では、in situ での(すなわち、膜形成の間の)膜への紫外線の照射又は膜の後蒸着が含まれる。このような処理を行うことにより、欠陥構造を抑制又は防止し、電気的性能を向上させることができる。
ある特定の実施形態において、紫外線処理をプラズマ処理と組み合わせてもよい。これらの2つの工程は、同時に行なってもよいし、連続して行ってもよい。連続して行う場合には、いずれの工程を先に行なってもよい。同時に行う場合には、2つの処理を異なるソース(たとえば、プラズマ処理用RF電源とUV処理用ランプ)を用いて行なってもよいし、紫外線を副産物として生成するヘリウムプラズマ等の単一のソースを用いて行ってもよい。
一部の実施形態において、プラズマ又はその他活性化エネルギーのパラメータを変更することによって、膜応力、誘電率、屈折率、エッチング速度を調整するようにしてもよい。
本明細書で記載する多くの例では2つの反応物質(A及びB)が用いられているが、当然のことながら、本発明の範囲内で任意の適当な数の反応物質を用いることができる。一部の実施形態において、単一の反応物質と不活性ガスとを用いて表面反応のためのプラズマエネルギーを供給するようにしてもよい。あるいは、一部の実施形態では、複数の反応物質を用いて膜を蒸着するようにしてもよい。たとえば、一部の実施形態では、1つのケイ素含有反応物質と1つ以上の窒素含有反応物質との反応により、1つ以上のケイ素含有反応物質と1つの窒素含有反応物質との反応により、又は、両方とも2つ以上のケイ素含有反応物質と窒素含有反応物質との反応により、窒化ケイ素膜を形成するものでもよい。
本明細書で開示する所定の実施形態は、CFD酸化物膜に関する。CFD酸化物膜を用いる処理の恩恵を受けるデバイス及びパッケージ応用としては、構造のギャップフィル、たとえばSTI(シャロートレンチ・アイソレーション)構造のトレンチフィルが挙げられる。以下に記載する様々な実施形態はギャップフィル応用に関するものであるが、当然のことながら、これは、限定的ではなく、単に応用例の例示に過ぎず、他の適当な膜材料を用いる他の適当な応用も本発明の範囲内であると考えられる。さらに、当然のことであるが、本明細書に記載する所定の実施形態には、ALD処理が含まれる。たとえば、本明細書で記載する実施形態では、詳細は後述するように、ALDによるギャップ内への蒸着後にエッチング工程を行っている。
図2A〜図2Cは、従来の共形膜蒸着技術によりトレンチ内に共形膜を蒸着する際にシーム/ボイドが形成される様子を示す概略断面図である。たとえば、図2Aは、基板202内に形成されたトレンチ等の高アスペクト比構造201の埋め込み前の状態を示す。トレンチの埋め込みを行うために、従来のCFD技術により、トレンチの壁の形状に合わせて、共形に蒸着される酸化物等の誘電体膜を蒸着する。図2は、複数回のサイクル後のトレンチの様子を示す。誘電体膜203がトレンチを埋めていき、各側面の形状に合わせた膜の前方端部が各々逆側の側面の方向に向かって成長するにつれて、トレンチの幅205は次第に狭くなっていく。トレンチの幅が狭くなるにつれて、反応物質がトレンチの底部に拡散するのにより多くの時間がかかるようになる。拡散限界によりトレンチの底部での反応速度が遅くなり、トレンチの上部近傍で誘電体膜203がより速く形成されるようになる。この結果、トレンチの底部に低密度領域が形成される。図2Cは、最後のCFDサイクル後のトレンチにボイド207が形成された様子を示す。ボイド207は、トレンチの底部に向かって広くなり、鍵穴状ボイドを形成している。鍵穴状ボイドは、その後の処理の際に再び開き導電膜が入り込んで、デバイスの短絡につながる可能性がある。
埋め込み材料内のボイド及びシームを抑制又は防止するために、複数回の連続する蒸着サイクル間に、様々な種類のエッチングが行われる。利用可能なエッチング化学種としては、二フッ化キセノン(XeF2)、フッ素分子(F2)及びフッ化窒素(NF3)等のフッ素含有化学物質が挙げられる。他のエッチング化学種として、テトラフルオロメタン(CF4)、テトラフルオロエチレン(C2F4)、ヘキサフルオロエタン(C2F6)、オクタフルオロプロパン(C3F8)及び六フッ化硫黄(SF6)を用いることもできる。一部の実施態様において、臭化水素(HBr)及び/又はメタン(CH4)等の他の種と組み合わせて、活性フッ素含有種を導入するようにしてもよい。ある実施形態において、エッチングは、HDPエッチング等のプラズマエッチングでもよい。他の形態のプラズマエッチングとしては、以下に限定されるものではないが、容量結合プラズマ(CCP:capacitively-coupled plasma)及び誘導結合プラズマ(ICP:inductively-coupled plasma)が挙げられる。プラズマは遠隔生成されるものでもよいし、チャンバ内で生成されるものでもよい。一部の実施形態において、遠隔プラズマ生成装置にNF3が供給される。フッ素原子等の活性種が遠隔プラズマ生成装置で生成され、化学エッチング用のチャンバに流入される。さらに別の化学種として、塩素系も用いられる。
テーパ形エッチングを行うことにより、ギャップフィルを選択的に除去することができ、高アスペクト比ギャップの内側からよりも開口部近傍から、より多くの蒸着物質を取り除くことができる。CVD蒸着タングステン(W)を高アスペクト比ギャップに用いる例では、高い運動速度(たとえば、高温)を維持し、高アスペクト比ギャップ内部への途中でエッチャントを消費する一方で、処理チャンバ内にごくわずかなNF3をエッチャントとして供給する(たとえば、キャビティの形状及び寸法に対して低い流量のエッチャントを用いる)ことによりテーパ形エッチングを行うことができる。したがって、ギャップ内部への途中でエッチャントが消費されるため、ギャップ内部のエッチャントの濃度は、開口部近辺の濃度よりも低くなる。ただし、高アスペクト比ギャップ内のCFD酸化物上で上述した方法によるテーパ形エッチングを行う場合には、共形エッチング形状が形成される。低温(たとえば、約50℃)状態でも高温(たとえば、約250℃)状態でも、エッチング形状は実質的に共形となる。
化学エッチングに加えて、スパッタエッチングで上隅の除去を行うようにしてもよい。高密度プラズマ(HDP)で用いられるようなスパッタエッチングでは、高アスペクト比ギャップの側壁に沿った短い距離で隅を除去することができる。ただし、このようなエッチングは、ギャップフィルの妨げとなる再蒸着突起の原因となる可能性がある。
さらに、反応性イオンエッチング(RIE)を用いて、高アスペクト比ギャップ内のCFD酸化物をテーパするようにしてもよいが、このようなエッチング処理は複雑になる可能性がある。一部の実施形態において、RIEエッチングは、湿式化学が必要となる可能性のある別の工程での後洗浄が必須のポリマーを利用する可能性がある。
図3は、CFD酸化物で高アスペクト比ギャップの埋め込みを行う方法の例を示すフロー図である。図4A〜図4Dは、CFD酸化物で高アスペクト比ギャップの埋め込みを行う処理の各段階を示す概略断面図である。図3の各ブロック310、320、330及び340は、それぞれ、図4A、図4B、図4C及び図4Dの概略図に対応する。
処理300が開始されると、まずブロック310で、高アスペクト比ギャップを備える基板を準備する。高アスペクト比ギャップを備える基板の例を図4Aに示す。基板は、IC製造に用いられるような半導体基板でもよい。種々の実施形態に応じてギャップ幅は変動するが、変動幅は約10Å〜約10ミクロンの範囲でもよく、さらに具体的には約100Å〜約1ミクロンの範囲でもよい。深さ対幅のアスペクト比の例としては、約2:1〜30:1、2:1〜10:1又は5:1〜10:1が挙げられる。一部の実施態様において、CFD酸化物を蒸着する前に、窒化ケイ素(SiN)の薄膜等の(図示しない)埋め戻し構造をギャップ内に蒸着するようにしてもよい。
処理300は、次に、ブロック320で、高アスペクト比ギャップ内にCFD酸化物を蒸着させる。この状態の例を図4Bに示す。図1を参照して上述したようなCFD技術を用いて、CFD酸化物を蒸着することができる。一部の実施形態において、CFD酸化物はCFD二酸化ケイ素である。CFD酸化物の厚みは実施形態に応じて変動するが、約10Å〜約100Åの範囲、たとえば、約100Å〜約500Åの範囲でもよい。
CFD二酸化ケイ素膜等のCFD酸化物を蒸着するために、ケイ素含有前駆物質に基板表面を暴露させるようにしてもよい。シラン、ハロシラン、アミノシラン及びこれらの混合物からなる群から選択されるもの等、任意の適当なケイ素含有前駆物質を用いることができる。一つの実施形態において、ケイ素含有前駆物質は、クロロシラン等のハロシランである。一部の実施形態において、ケイ素含有前駆物質は、トリス(ジメチルアミノ)シラン(TDMAS)又はビス(t-ブチルアミノ)シラン(BTBAS)等のアミノシランである。
他のガスを導入して、ケイ素含有前駆物質と反応させるようにしてもよい。CFD二酸化ケイ素膜を蒸着させるために、このようなガスは酸素源を含むものでもよい。酸素源の例としては、O2、O3、H2O2、NO2、N2O3、N2O5又はHNO3が挙げられる。酸素源は酸素プラズマでもよい。キャリアガスを用いてもよい。キャリアガスは、通常、不活性ガスである。
所望の厚みとなるように、任意の適当な数の蒸着サイクルを用いることができる。CFDパラメータを調節することにより、CFD二酸化ケイ素を蒸着させるのに適した処理条件とすることができる。CFDパラメータとしては、以下に限定されるものではないが、不活性種及び反応種の流量、プラズマ出力及び周波数、基板温度及び圧力が挙げられる。
処理300は、次に、ブロック330で、CFD酸化物上に非共形エッチングを行う。CFD酸化物上でフッ素系非共形エッチングを行って、ギャップの上部の周囲にテーパを形成した状態の例を図4Cに示す。テーパ形エッチングによって、ギャップ内部及びギャップの底部近傍のCFD酸化物材料よりも、ギャップの上部近傍のCFD酸化物材料が、より多く選択的に取り除かれる。
本明細書中における説明を目的として、「開口部近傍」又は「ギャップの上部近傍」は、電場領域から測定したフィーチャ深さの約0〜10%の間に対応するフィーチャ内部の(すなわち、フィーチャの側壁に沿った)大体の位置又は領域として定義される。所定の実施形態において、開口部近傍の領域又はギャップの上部近傍の領域は、開口部における領域又はギャップの上部における領域に対応する。さらに、「フィーチャ内部」又は「ギャップ内部及びギャップの底部近傍」は、フィーチャ上部上の電場領域からのフィーチャ深さの約20〜60%の間に対応するフィーチャ内部の大体の位置又は領域として定義される。一般的に、所定のパラメータ(たとえば、厚み)の値が「開口部近傍」や「フィーチャ内部」と規定される場合には、これらの値は、このような位置/領域内の1つの測定値又は複数の測定値の平均を意味する。
所定の実施形態において、フッ素系エッチャントはNF3である。プラズマ活性化によってNF3エッチャントをチャンバ内に導入して、活性種(たとえば、ラジカル、イオン、及び/又は、高エネルギー分子)を形成する。ただし、NF3エッチャントは、チャンバ内に実質的にイオンが存在しないようなラジカル系エッチングを行うものでもよい。
エッチャントの流量は、通常、チャンバの大きさ、エッチング速度、エッチング均一性及びその他のパラメータによって決まる。また、ギャップの底部近傍よりもギャップの開口部近傍からより多くのCFD酸化物材量が取り除かれるように、流量が選択される。一部の実施形態において、NF3等のフッ素含有反応物質の流量は、約2000sccm未満、約1000scm未満、さらには、約100sccm〜1000sccmの間である(流量は300mmウエハの場合の値であり、ウエハ面積に応じて直線的に増減させればよい)。
フッ素系非共形エッチングにおけるテーパの割合は、さまざまな流量の水素(H2)を流すことにより調節可能である。一部の実施形態において、H2の流量を調整することにより、NF3プラズマエッチングによるテーパの割合を調節できる。H2流を導入することにより、NF3プラズマエッチングから等方的にエッチングするFラジカルを捕捉することができる。すなわち、ギャップの開口部近傍で消費される量よりも多くの量のエッチャントがギャップ内への途中で消費される。酸素、ヘリウム及びアルゴン等の他のガスは、NF3からのラジカルとは反応しないため、エッチング形状の変化は、実質的にH2流の結果である。したがって、H2流を導入することによって、ギャップ開口部近傍により多くテーパした端部を形成することができ、より非共形のエッチングを行うことができる。H2を加えることにより、全体のNF3エッチング速度を低下させることができ、これは、非常に少量のエッチングを制御する際に有用である。一部の実施形態において、N F3に対するH2の比は、約5:1〜1:1の間、3:1〜1:1の間、さらには、3:1〜1.5:1の間である。図6B〜図6Dに、NF3に対するH2流量及びテーパの度合いを調整する効果を示す。NF3と共に用いるH2流はキャリアガスとして用いられるものではない。
表1に試薬と処理条件を例示する。表1に示す各処理条件は、さまざまな流量のH2及びNF3を用いることによって、N F3に対するH2の比を調整することを示している。表1に示すように、NF3エッチャントと共に流すH2を量を増加させると、CFD酸化物のエッチング速度が遅くなる。
処理300のブロック330におけるエッチング工程で、誘電材料の共形層をエッチングするようにしてもよい。エッチング工程で、フッ素含有種とH2とを含む処理ガスから生成されるプラズマに反応チャンバ内の基板を暴露させるようにしてもよい。この場合のフッ素含有種に対する水素の流量比は、約1:1〜約5:1の間である。フッ素含有種はNF3を含むものでもよい。反応チャンバ内の基板をプラズマに暴露させることにより、誘電材料の共形層が非共形的にエッチングされる。反応チャンバ内の温度は、約50℃〜約150℃の間、たとえば、約80℃〜約120℃の間でもよい。反応チャンバ内の基板の温度は、約150℃〜750℃の間、たとえば、約400℃〜約500℃の間でもよい。反応チャンバ内の圧力は、1mTorr〜約30mTorrの間、たとえば、約5mTorr〜約15mTorrの間でもよい。
処理300は、次に、ブロック340で、非共形にエッチングされたCFD酸化物上にCFD酸化物キャップを蒸着させて、高アスペクト比ギャップを埋め込む。非共形エッチングの後に他のCFD酸化物層を形成して高アスペクト比ギャップを閉じた状態の例を図4Dに示す。図4Cに示すように、非共形エッチングによるギャップ内部の第1のCFD酸化物の平均の厚みの減少よりも、開口部近傍の第1のCFD酸化物の平均の厚みの減少の方が大きい。非共形にエッチングされたCFD酸化物上に残りのCFD酸化物層を蒸着させることによりギャップを埋め込み閉じることができる。残りのCFD酸化物層を蒸着することによって、共形の酸化物キャップが形成され、シーム及びボイドのないギャップフィルが形成される。
一部の実施形態において、蒸着−エッチング−蒸着サイクルを一回以上繰り返してギャップの埋め込みを行うようにしてもよい。蒸着−エッチング−蒸着サイクルの数は、ギャップの寸法に応じて決めてもよい。さらに、たとえば、1回のサイクルだけでは所望の段差被覆率を得ることが難しい場合もあり得る。この場合には、もう一度非共形エッチングを行い、それに続いて、もう一度CFD酸化物膜の蒸着を行うようにしてもよい。次に行うCFD酸化物の蒸着によりギャップが埋め込まれる、又は部分的に埋め込まれる可能性もあるし、非共形エッチングによりギャップが再び開いてしまう可能性もある。所定の実施形態において、2回目以降に行う蒸着−エッチング−蒸着サイクルの処理パラメータを変更するようにしてもよい。たとえば、1回目の非共形エッチングの後にギャップが再び開いてしまった場合には、1回目の非共形エッチングの際の流量と比べて、エッチャントの流量を減らすようにしてもよい。一部の実施態様において、1回目の非共形エッチングの際のH2/NF3の流量比とは異なる流量比で2回目の非共形エッチングを行ってもよい。さらに、それに続く蒸着の場合、蒸着の厚みの制御はあまり重要ではないので、(たとえば、より高い温度で行うことによって)より高速で行うようにしてもよい。
図5は、基板内の高アスペクト比ギャップ内に誘電酸化物を共形に蒸着する方法の例を示すフロー図である。フロー図に図示した工程とは異なる工程を備える実施態様、工程の一部を省略した実施態様、工程を追加した実施態様など、他の態様でフロー図の工程を実施するようにしてもよい。一部の実施態様において、複数の工程を組み合わせるようにしてもよい。
処理500のブロック510で、1つ以上のギャップを備える基板を反応チャンバ内に準備するようにしてもよい。ここで、各ギャップは、約5:1よりも大きな深さ対幅のアスペクト比を有する。基板は、半導体基板、半製品の集積回路、プリント基板又はその他適当な被加工物でもよい。CFDによって誘電酸化物層を蒸着する前に、窒化ケイ素の薄膜等、他の埋め戻し構造をギャップ内に蒸着してもよい。一部の実施態様において、深さ対幅のアスペクト比は、約5:1〜約10:1の間でもよい。一部の実施態様において、1つ以上のギャップはSTI構造の一部でもよい。
処理500のブロック520で、CFDによって、1つ以上のギャップ内に第1の誘電酸化物層を蒸着するようにしてもよい。図1を参照して上述したCFD技術を用いて、第1の誘電酸化物層を蒸着するようにしてもよい。たとえば、1つ以上のギャップ内へに第1の誘電酸化物層を蒸着させる処理は、第1の反応物質を基板表面上に吸着可能な条件下で第1の反応物質を気相で反応チャンバ内に導入し、第1の反応物質が基板表面上に吸着された状態で第2の反応物質を気相で反応チャンバ内に導入し、この際に、反応チャンバ外に第1の反応物質をスイープさせることなく、第2の反応物質を導入し、基板表面をプラズマに暴露させることによって、基板表面上で第1の反応物質と第2の反応物質との反応を促進させ、第1の誘電酸化物層を形成するものでもよい。
一部の実施態様において、第1の誘電酸化物層は、二酸化ケイ素を含むものでもよい。そのような場合、気相の第1の反応物質は、任意の適当なケイ素含有前駆物質を含み、気相の第2の反応物質は、酸素源を含むものでもよい。ケイ素含有前駆物質及び/又は酸素源と共に、不活性ガス等のキャリアガスを用いてもよい。
一部の実施態様において、第1の誘電酸化物層の厚みは、約10Å〜約1000Åの間、たとえば、約100Å〜約500Åの間でもよい。CFDによる任意の適当な数の蒸着サイクルを行って、所望の厚みとなるようにしてもよい。CFDパラメータを調節することにより、CFD二酸化ケイ素を蒸着させるのに適した処理条件とすることができる。CFDパラメータとしては、以下に限定されるものではないが、不活性種及び反応種の流量、プラズマ出力及び周波数、基板温度及び圧力が挙げられる。
所定の実施形態において、開口部近傍での蒸着時のCFD層の平均の厚みは、フィーチャ内部の平均の厚みと比べて、少なくとも約10%大きい。さらに具体的な実施形態において、この差は、少なくとも25%、少なくとも約50%、さらには少なくとも100%でもよい。フィーチャ内部での材料分布も、段差被覆率によって特徴づけられるものでもよい。本明細書中における説明を目的として、「段差被覆率」は2つの厚みの比として、すなわち、開口部近傍の材料の厚みでフィーチャ内部の材料の厚みを割った値として、定義される。所定の例において、蒸着時のCFD層の段差被覆率は、約100%未満であり、さらに具体的には、約75%未満、さらに、約50%未満である。
処理500のブロック530で、プラズマエッチングにより、第1の誘電酸化物層の一部をエッチングする。第1の誘電酸化物層の一部をエッチングする際に、各ギャップの底面近傍よりも上面近傍におけるエッチング速度の方が速いため、第1の誘電酸化物層は、各ギャップの上面から底面に向かってテーパした形状となる。この種のエッチングを「非共形エッチング」とも称する。
非共形エッチングにおいて、ギャップの底面近傍と比べて、ギャップの上面近傍の第1の誘電酸化物層がより多く選択的に取り除かれる。これにより、ギャップの上面から底面に向かってテーパした形状が形成される。CFDによって蒸着された第1の誘電酸化物層に対してエッチング条件を適当に選択することにより、このような非共形エッチングを行うことができる。
一部の実施形態において、プラズマエッチングを選択することによりエッチング条件を適当に選択することができる。プラズマは、遠隔生成されるものでもよいし、反応チャンバ内で生成されるものでもよい。プラズマ源としては、ICP、HDP、CCP等が挙げられる。プラズマ源は、ラジカル、イオン、及び/又は高エネルギー分子を含むガス源の活性種を与えるものである。活性種は、第1の誘電酸化物層に向かい、第1の誘電酸化物層と反応する。
一部の実施形態において、適当なエッチング化学種を用いることによりエッチング条件を適当に選択することができる。エッチング化学種としては、XeF2、F2、NF3、CF4、C2F4、C2F6、C3F8及びSF6等のフッ素含有種を用いることができる。一部の実施態様において、フッ素含有種を遠隔プラズマ内に流入させてプラズマ活性エッチャントを生成させた後、プラズマ活性エッチャントを反応チャンバ内に流入させて第1の誘電酸化物層と反応させるようにしてもよい。一部の実施態様において、フッ素含有種はNF3を含むものでもよい。
一部の実施態様において、第1の誘電酸化物層の一部をエッチングする際に反応チャンバ内にH2を流すことにより、エッチング条件をさらに適当に選択することができる。いずれの理論にも限定されるものではないが、H2の存在により、プラズマ源によって生成されたラジカルが捕捉され、その結果、エッチャントを捕捉することができる。H2の存在により、ギャップの開口部近傍と比較して、より大量のエッチャントがギャップ内部への途中で消費される。この結果、H2を導入することにより、ギャップの上面から底面に向かってよりテーパした形状が形成される。
一部の実施態様において、H2とエッチャントの流量を調整することにより、エッチング条件をさらに適当に選択することができる。ギャップの底部近傍よりもギャップの開口部近傍でより多くの第1の誘電酸化物層が消費されるように流量を調整するようにしてもよい。一部の実施態様において、フッ素含有種又はエッチャントの流量は、約2000sccm未満、約1000scm未満、さらには、約100sccm〜1000sccmの間でもよい。ただし、チャンバの大きさ、エッチング速度、エッチング均一性及びその他のパラメータによって流量を決めるようにしてもよい。フッ素含有種又はエッチャントの流量以上になるように、水素の流量を調整してもよい。一部の実施態様において、フッ素含有種に対する水素の流量比は、約1:1〜約5:1の間である。
処理500のブロック540で、CFDによって、1つ以上のギャップ内で第1の誘電酸化物層上に第2の誘電酸化物層を蒸着する。第2の誘電酸化物層の材料と第1の誘電酸化物層の材料は同じでもよく、たとえば、二酸化ケイ素でもよい。一部の実施態様において、第2の誘電酸化物層の蒸着によって、1つ以上のギャップが実質的に埋め込まれる。一部の実施態様において、蒸着−エッチング−蒸着工程を繰り返して、1つ以上のギャップの実質的な埋め込みを行うようにしてもよい。1つ以上のギャップを実質的に埋め込むことにより、ボイド及びシームがほとんどない状態にすることができる。
図6A〜図6Dは、H2/NF3の流量比の変化に応じて端部がテーパされたCFD酸化物の断面画像を示す。図6Aは、高アスペクト比ギャップ内にCFD酸化物膜を蒸着させた後にエッチングを行っていない例を示す。図6Aの例では、CFD酸化物膜は、約300Åの厚みのCFD二酸化ケイ素膜である。図6Bは、高アスペクト比ギャップ内のCFD酸化物膜に対してH2を流さないでNF3プラズマエッチングを行った例を示す。本明細書中で上述したように、NF3プラズマエッチングは、高温状態と低温状態との間で調整しても、実質的に共形となる。NF3プラズマエッチングは、CFD酸化物膜を約150Å除去することを目標とする。さらに、NF3プラズマエッチングを用いることにより、CFD酸化物膜の約150Åを15秒未満でエッチング可能である。図6Cは、NF3に対するH2の流量比を1.5:1としてH2/NF3プラズマエッチングを行って高アスペクト比ギャップ内のCFD酸化物膜をエッチングした例を示す。図6Dは、NF3に対するH2の流量比を3:1としてH2/NF3プラズマエッチングを行って高アスペクト比ギャップ内のCFD酸化物膜をエッチングした例を示す。図6B〜図6Dのエッチング形状を比較することにより、NF3に対するH2の流量比を増大させることによって、ギャップ内部のCFD酸化物材料を等しく除去することなく、ギャップ開口部の上部近傍でよりテーパしたエッチング形状を形成することができる。
図7Aは、2回の蒸着工程の間にエッチングを実施しない場合のCFD酸化物ギャップフィルの断面画像を示す。図7Aにおいて、約300Åの厚みを有するCFD二酸化ケイ素の第1の層を複数の高アスペクト比ギャップ内に蒸着した後、約600Åの厚みを有するCFD二酸化ケイ素の第2の層を蒸着する。図7Aに示すギャップフィルでは、各ギャップフィーチャ内に複数の鍵穴が形成されている。
図7Bは、2回の蒸着工程の間に非共形エッチング工程を実施する場合のCFD酸化物ギャップフィルの断面画像を示す。図7Bにおいて、約300Åの厚みを有するCFD二酸化ケイ素の第1の層を複数の高アスペクト比ギャップ内に蒸着する。HDPエッチングによって、約150Åを目標として除去するように、CFD二酸化ケイ素の第1の層を非共形にエッチングする。ただし、in situ のCCP、in situのICP、遠隔プラズマ等、任意の他の適当なプラズマ源を用いて、非共形エッチングを行うようにしてもよい。たとえば、HDPエッチングでは、NF3をエッチャントとして用いて、H2と組み合わせるようにしてもよい。エッチング工程に続いて、600Åの厚みを有するCFD二酸化ケイ素の第2の層を蒸着する。図7Bに示すギャップフィルでは、各高アスペクト比ギャップ内の鍵穴及びボイドが減少又は除去されている。
蒸着−エッチング−蒸着シーケンスにより、高密度で高品質なCFD誘電体ギャップフィルを実現できる。一部の実施形態において、CFD酸化物ギャップフィルをSTI(シャロートレンチ・アイソレーション)ライナに適用可能である。一部の実施形態において、CFD酸化物ギャップフィルをプレメタル誘電体(PMD)、FinFETゲートキャップ等のマルチゲート電界効果トランジスタ(FET)及びメモリインタリーブ誘電体に適用可能である。CFD酸化物ギャップフィルは、数多くの誘電体ギャップフィル用途に適用可能であり、上述した用途は例示に過ぎず何ら限定するものではない。
装置
本発明の別の態様は、本明細書に記載する方法を実施するように構成される装置である。適切な装置は、処理工程を実現するハードウェアと、本発明に従って処理工程を制御するための命令を有するシステム制御部と、を備える。
当然のことながら、上述した実施形態の一つ以上で、任意の適当な処理ステーションを採用可能である。図8は、CFD処理ステーション800の概略を示す。説明を分かりやすくするために、CFD処理ステーション800は、低圧環境を維持する処理チャンバ本体802を有するスタンドアロン型処理ステーションとして示す。ただし、当然のことながら、複数のCFD処理ステーション800が共通の低圧処理ツール環境に含まれるようにしてもよい。図8に示す実施形態では1つの処理ステーションを備えるが、当然のことながら、一部の実施形態において、処理ツール内に複数の処理ステーションを備えるようにしてもよい。たとえば、図10は、マルチステーション型処理ツール1000を示す。さらに、当然のことであるが、一部の実施形態において、CFD処理ステーション800の1つ以上のハードウェアパラメータを、たとえば、詳細を後述するようなパラメータを、1つ以上のコンピュータ制御装置でプログラムで調整するようにしてもよい。
CFD処理ステーション800は、処理ガスを分配シャワーヘッド806に供給する反応物質供給システム801と流体連結する。反応物質供給システム801は、シャワーヘッド806に供給するために処理ガスの混合及び/又は調整を行う混合容器804を備える。1つ以上の混合容器入り口弁820により、混合容器804内への処理ガスの導入を制御するようにしてもよい。
気化及び処理ステーションへの供給前に、所定の反応物質を液状で貯蔵するようにしてもよい。たとえば、図8の装置は、混合容器804に供給される液体反応物質を気化する気化部803を備える。一部の実施形態において、気化部803は、加熱気化器でもよい。このような気化器で生成された反応物質の飽和蒸気が下流側の供給配管内で凝縮する可能性がある。凝縮された反応物質に親和性のないガスを暴露させることにより小さな粒子が形成される可能性がある。このような小さな粒子は、配管を塞ぐ、弁操作を妨げる、基板を汚染する等の可能性がある。このような問題に対処するアプローチとして、供給配管をスイープ、及び/又は、排気することによって、残留反応物質を除去する方法がある。しかし、供給配管をスイープすると、処理ステーションのサイクルタイムが増大し、処理ステーションのスループットを低下させる可能性がある。したがって、一部の実施形態において、気化部803の下流側の供給配管をヒートトレースする。いくつかの例では、混合容器804もヒートトレースする。これに限定されるものではないが、一例として、気化部803の下流側の配管が、約100℃から混合容器804で約150℃になるような昇温分布を有する。
一部の実施形態において、液体噴射装置で液体反応物質を気化させる。たとえば、液体噴射装置は、パルス状の液体反応物質を、混合容器の上流側で、キャリアガス流内に注入するものでもよい。一実施形態において、液体噴射装置は、高圧から低圧に液体をフラッシングさせることによって、反応物質を気化する。別の実施形態において、液体噴射装置は、液体を分散微液滴に微粒化し、分散微液滴は、その後、加熱供給配管内で気化される。当然のことながら、小さな液滴は大きな液滴よりも速く気化し、液体噴射から気化完了までの遅れを減少させることができる。気化が高速になれば、気化部803から下流側の配管の長さを短縮することができる。一実施形態において、液体噴射装置を混合容器804に直接取り付けるものでもよい。別の実施形態において、液体噴射装置をシャワーヘッド806に直接取り付けるものでもよい。
一部の実施形態において、気化部803の上流側に液体流量調整器を備え、気化させて処理ステーション800に供給する液体の質量流量を制御する。たとえば、液体流量調整器(LFC)は、LFCの下流側に配置される熱質量流量計を備える。MFMと電気的に通信するPID(比例積分微分)制御部により供給されるフィードバック制御信号に応じて、LFCのプランジャ弁を調整する。ただし、フィードバック制御を用いて液体流量を安定化させるためには1秒以上かかる可能性がある。これにより、液体反応物質の投与時間が長くなる可能性がある。したがって、一部の実施形態において、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられる。一部の実施形態において、LFCの検出管とPID制御部との動作を停止させることによって、LFCをフィードバック制御モードから直接制御モードに動的に切り替える。
シャワーヘッド806は、処理ガスを基板812に向けて供給する。図8に示す実施形態において、基板812はシャワーヘッド806の下に配置され、ペデスタル808上に載置されている。当然のことながら、シャワーヘッド806は、任意の適当な形状でよく、基板812に処理ガスを供給するための任意の適当な数及び配置のポートを備えるものでよい。
一部の実施形態において、シャワーヘッド806の下に微小体積807が配置される。処理ステーションの全体積中ではなく、微小体積中でCFD処理を実行することにより、反応物質の暴露時間とスイープ時間とを削減すること、CFDの処理条件(圧力、温度等)を変える回数を削減すること、処理ステーション・ロボティクスの処理ガスへの暴露を制限することができる。微小体積の大きさの例としては、これに限定されるものではないが、0.1リットル〜2リットルの間の体積が挙げられる。
一部の実施形態において、ペデスタル(基台)808を昇降させて、基板812を微小体積807に暴露させる、及び/又は、微小体積807の容積を変更する、ようにしてもよい。たとえば、基板移動フェーズにおいて、ペデスタル808を降下させて、基板812をペデスタル808上に積載する。CFD処理フェーズの間は、ペデスタル808を上昇させて、基板812を微小体積807内に配置させる。一部の実施形態において、微小体積807は、基板812とペデスタル808の一部とを完全に包囲して、CFD処理の間、高フローインピーダンス領域を形成する。
必要に応じて、CFD処理の途中でペデスタル808を降下、及び/又は、上昇させて、微小体積807内で処理圧力、反応物質濃度等を調節するようにしてもよい。CFD処理の間処理チャンバ本体802を基準圧に保持する一実施形態において、ペデスタル808を降下させることによって、微小体積807を減圧にすることができる。処理チャンバの体積に対する微小体積の比の例としては、これに限定されるものではないが、1:500〜1:10の間の体積比が挙げられる。当然のことながら、一部の実施形態において、ペデスタルの高さは、適当なコンピュータ制御装置によってプログラムで調整可能である。
別の実施形態において、ペデスタル808の高さを調整することにより、CFD処理に含まれるプラズマ活性化及び/又は処理サイクルの間のプラズマ密度を変化させることができる。CFD処理フェーズが終了すると、次の基板移動フェーズの間、ペデスタル808を降下させて、ペデスタル808から基板812を取り外すことができる。
上述した微小体積の変化は、高さ調節可能なペデスタルに関するものであるが、当然のことながら、一部の実施形態において、シャワーへど806の位置をペデスタル808に対して調製して、微小体積807の容量を変更するようにしてもよい。あらに、当然のことながら、ペデスタル808及び/又はシャワーヘッド806の鉛直方向の位置を任意の適当な機構で変化させるようにしてもよい。当業者には自明のことであるが、このような機構は、たとえば、油圧、空気圧、バネ機構、ソレノイド等を含むものでもよい。一部の実施形態において、ペデスタル808は、たとえば、基板812の表面に垂直な軸に沿って、基板812の方位を回転させる回転機構を備えるものでもよい。当然のことながら、一部の実施形態において、1つ以上のこのような調整を、1つ以上の適当なコンピュータ制御装置によってプログラムで実行するものでもよい。
図8に示す実施形態に戻って、シャワーヘッド806とペデスタル808とは、RF電源814とマッチング・ネットワーク816と電気的に通信を行なって、プラズマに電力を供給する。一部の実施形態において、処理ステーションの圧力、ガス濃度、RF電源、RF電源周波数及びプラズマ出力パルスタイミングの1つまたは複数を制御することによって、プラズマエネルギーが制御される。たとえば、RF電源814及びマッチング・ネットワーク816は、任意の適当な電力で作動されて、所望のラジカル種組成を有するプラズマを形成するものでもよい。適当な電力の例としては、これに限定されるものではないが、100W〜500Wの間の電力が挙げられる。同様に、RF電源814は、任意の適当な周波数のRF電力を供給するものでもよい。一部の実施形態において、RF電源814は、互いに独立に高周波RF電源と低周波RF電源とを制御するように構成されるものでもよい。低周波RF周波数の例としては、これに限定されるものではないが、50kHz〜500kHzの間の周波数が挙げられる。高周波RF周波数の例としては、これに限定されるものではないが、1.8MHz〜2.45GHzの間の周波数が挙げられる。当然のことながら、任意の適当なパラメータを離散的に又は連続的に調節して、表面反応にプラズマエネルギーを供給するようにしてもよい。これに限定されるものではないが、一例として、プラズマ出力を断続的にパルス化して、連続的なプラズマ出力と比べて、基板表面とのイオン衝撃を削減するようにしてもよい。
一部の実施形態において、1つ以上のプラズマモニタによって、in situでプラズマをモニターする。一実施形態において、1つ以上の電圧、電流センサ(たとえば、VIプローブ)によりプラズマ出力をモニターする。別の実施形態において、プラズマ密度及び/又は処理ガス濃度を1つ以上の光学発光分光法センサ(OES)によって測定する。一部の実施形態において、このようなin situのプラズマモニタからの測定値に基づいて、1つ以上のプラズマパラメータをプログラムで調整する。たとえば、OESセンサをフィードバックループで用いて、プラズマ出力のプログラム制御を行なうようにしてもよい。当然のことながら、一部の実施形態において、他のモニタを用いて、プラズマ及び他の処理特性をモニターするようにしてもよい。このようなモニタとしては、以下に限定されるものではないが、赤外(IR)モニタ、音響モニタ及び圧力変換器が挙げられる。
一部の実施形態において、入力/出力制御(IOC)シーケンス命令によってプラズマを制御する。たとえば、プラズマ処理フェーズ用にプラズマ条件を設定する命令が、CFDプロセスレシピの対応するプラズマ活性化レシピフェーズ内に含まれるものでもよい。一部の実施形態において、CFD処理フェーズ用のすべての命令がそのプロセスフェーズで同時に実行されるように、プロセスレシピフェーズを順次構成するようにしてもよい。当然のことながら、プラズマ生成の所定の態様は、プラズマ処理フェーズを長引かせる可能性のある特徴のはっきりとした過渡及び/又は安定化時間を備えるものでもよい。言い換えれば、このような時間遅延は予測可能なものでもよい。このような時間遅延には、所定の出力設定において、プラズマを打ち込む時間及びプラズマを安定化させる時間とが含まれる。
一部の実施形態において、ペデスタル808は、ヒータ810によって温度制御されるものでもよい。さらに、一部の実施形態にいて、CFD処理ステーション800の圧力制御をバタフライ弁818によって行うものでもよい。図8に示すように、バタフライ弁818は、(図示しない)下流側真空ポンプによって称えられる真空をスロットル調整する。ただし、一部の実施形態において、処理ステーション800の圧力制御は、CFD処理ステーション800に導入される1つ以上のガスの流量を変化させることによっても調整可能である。
CFD処理ステーション800のさまざまなパラメータを、(図示しない)制御部で制御するようにしてもよい。このようなパラメータとしては、以下に限定されるものではないが、ガス組成、流量、チャンバ温度、チャンバ圧力、基板温度、シャワーヘッド温度、プラズマ条件(RFバイアス出力レベル等)、基板/ペデスタルの位置、シャワーヘッドの位置及びタイミングが挙げられる。制御部の態様に関しては、図10のシステム制御部1050に関して詳細に後述する。
図9は、HDP処理ステーションの例を示す。図示するように、反応装置901は、反応装置901の他の構成部品を囲み、プラズマを閉じ込める機能を果たす処理チャンバ903を備える。一例において、処理チャンバの壁は、アルミニウム、酸化アルミニウム、及び/又は、他の適当な材料から形成される。図9に示す実施形態は2つのプラズマ源、上部RFコイル905と側部RFコイル907とを備える。上部RFコイル905は中間周波数すなわちMFRFコイルでもよく、側部RFコイル907は低周波数すなわちLFRFコイルでもよい。図9に示す実施形態において、MFRF周波数は430〜470kHzで、LFRF周波数は340〜370kHzでもよい。ただし、本発明は、二つのプラズマ源を有する反応チャンバやRFプラズマ源を有する反応チャンバにおける操作に限定されるものではない。1つ又は複数の他の任意のプラズマ源を用いることができる。
反応装置内で、ウエハペデスタル909が基板911を支持する。ペデスタルは、通常、蒸着反応の際に基板を適切な位置で支えるチャック(クランプとも称する)を備える。チャックは、静電チャック、機械式チャック、又は、産業及び/又は研究において利用可能な様々な他の種類のチャックでもよい。熱伝導流体を供給するライン913を含む熱伝導サブシステムにより、基板911の温度を制御可能である。ウエハチャック及び熱伝導流体システムにより、適当なウエハ温度を維持することが容易になる。
高周波RFすなわちHFRF源915を用いて、基板911に電気的にバイアスをかけ、基板上に荷電前駆種を引き寄せて蒸着反応を行なうようにしてもよい。たとえば、電極又は容量結合によって、HFRF源915からの電気エネルギーを基板911に結合する。基板にかけるバイアスは、RFバイアスである必要はない。他の周波数やDCバイアスも同様に用いることができる。
1つ以上の入口917を介して、処理ガスを導入する。ガスは予め混合されたものでもよいし、混合されていなくてもよい。オリフィスを含むガス供給入り口機構を介して、処理ガスを導入することが望ましい。一部の実施形態において、オリフィスの少なくとも一部は、鋭角に基板の露出表面に交差する注入軸に沿って処理ガスの方向を合わせる。さらに、第1のガスリング921からガス又はガス混合物を導入するようにしてもよい。これは、基板表面にガスを向けるものでもよいし、そうでなくてもよい。噴射装置を第1のガスリング921に接続して、ガス又はガス混合物の少なくとも一部をチャンバ903内に導入して、基板911に向けるようにしてもよい。ただし、処理ガスをウエハに向ける噴射装置、ガスリング又はその他の機構は本発明に必須のものではない。チャンバ903に入った処理ガスによる音波面自体が、ガスを、基板911の方向を含むあらゆる方向に迅速に分散させる。処理ガスは、出口911を通ってチャンバ903から外に出る。真空ポンプ(たとえば、ターボ分子ポンプ)が、通常、処理ガスを外にだし、反応装置901内を適当な低圧に維持する。
HDP反応装置901の様々なパラメータを(図示しない)制御部によって制御可能である。制御部の態様を、図10のシステム制御部1050に関して、以下に詳述する。
一部の実施形態において、同じチャンバ内で1つ以上の処理を実行するようにしてもよい。たとえば、非共形エッチングを、CFD処理と同じチャンバ内で行うようにしてもよい。このような実施形態では、装置は、CCPNF3チャンバ又は遠隔プラズマNF3チャンバを備えるものでもよい。ただし、上述したように、マルチステーション型処理ツールには1つ以上の処理ステーションを備えることができる。図10は、いずれか一方又は両方に遠隔プラズマ源を備えることが可能なインバウンド・ロードロック1002及びアウトバウンド・ロードロック1004と共に、マルチステーション型処理ツール1000を示す概略図である。ロボット1006は、大気圧で、ポッド1008を用いて積載されるカセットから大気圧ポート1010を介してインバウンド・ロードロック1002にウエハを移動させるように構成される。ウエハは、ロボット1006により、インバウンド・ロードロック1002内のペデスタル1012上に載置されて、大気圧ポート1010が閉じられ、ロードロックはポンプダウンされる。インバウンド・ロードロック1002が遠隔プラズマ源を備える場合には、ウエハは、処理チャンバ1014内に導入される前に、ロードロック内で遠隔プラズマ処理される。さらに、ウエハをインバウンド・ロードロック1002内で加熱して、たとえば、水分と吸着ガスとを除去するようにしてもよい。次に、処理チャンバ1014に通じるチャンバ輸送ポート1016を開いて、(図示しない)他のロボットにより、ウエハは、反応装置内に入れられて、処理用反応装置内の第1のステーションのペデスタル上に載置される。図10に示す実施形態はロードロックを備えるものであるが、当然のことながら、一部の実施形態では、処理ステーション内にウエハが直接入るようにしてもよい。
図10に示す実施形態では、処理チャンバ1014は、4つの処理ステーション1〜4を備える。各ステーションは、加熱ペデスタル(ステーション1に1018で示す)と、ガスライン入り口と、を備える。当然のことながら、一部の実施形態では、各処理ステーションは異なる用途を持つものでもよいし、複数の用途を持つものでもよい。たとえば、一部の実施形態において、1つの処理ステーションが、CFD処理モードとPECVD処理モードとで切り替え可能なものでもよい。これに加えて、又は、この代わりに、一部の実施形態において、処理チャンバ1014は、1対以上のCFD及びPECVD処理ステーション組み合わせを備えるものでもよい。図示する処理チャンバ1014は4つのステーションを備えるが、本発明の処理チャンバは、任意の適当な数のステーションを備えるものでよい。たとえば、一部の実施形態において、処理チャンバは5つ以上のステーションを備えるものでもよく、他の実施形態において、処理チャンバは3つ以下のステーションを備えるものでもよい。
図10は、さらに、処理チャンバ1014内でウエハを移動させるウエハ取扱システム1090を示す。一部の実施形態において、ウエハ取扱システム1090は、各処理ステーション間、及び/又は、処理ステーションとロードロックとの間でウエハを移動させるものでもよい。当然のことながら、任意の適当なウエハ取扱システムを用いることができる。これに限定されるものではないが、たとえば、ウエハカルーセルとウエハ取扱ロボットとを備える。図10は、さらに、処理ツール1000の処理条件とハードウェア状態とを制御するように構成されるシステム制御部1050を備える。システム制御部1050は、1つ以上のメモリデバイス1056と、1つ以上の大容量記憶装置1054と、1つ以上のプロセッサ1052とを備えるものでもよい。プロセッサ1052は、CPU又はコンピュータと、アナログ及び/又はデジタル入力/出力接続部と、ステッパモータ・コントローラボード等を備えるものでもよい。
一部の実施形態において、システム制御部1050は、処理ツール1000のすべての動作を制御する。システム制御部1050は、システム制御ソフトウェア1058を実行する。このシステム制御ソフトウェア1058は、大容量記憶装置1054に記憶され、メモリデバイス1056にロードされて、プロセッサ1052上で実行される。システム制御ソフトウェア1058は、タイミング、ガス混合物、チャンバ及び/又はステーション圧力、チャンバ及び/又はステーション温度、ウエハ温度、目標出力レベル、RF出力レベル、基板ペデスタル、チャック、及び/又はサセプタ位置、及び、処理ツール1000で実行される特定の処理の他のパラメータ等を制御する命令を備えるものでもよい。システム制御ソフトウェア1058は、任意の適当な方法で構成可能である。たとえば、さまざまな処理ツール構成部サブルーチン又は制御オブジェクトを書き込んで、さまざまな処理ツールの処理を実行するのに必要な処理ツール構成部品の動作を制御するようにしてもよい。システム制御ソフトウェア1058は、任意の適当なコンピュータ読み取り可能なプログラミング言語でコード化されていてもよい。
一部の実施形態において、システム制御ソフトウェア1058は、上述したさまざまなパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えるものでもよい。たとえば、CFD処理の各フェーズがシスエム制御部1050により実行される1つ以上の命令を備えるものでもよい。CFD処理フェーズ用の処理条件を設定する命令を対応するCFDレシピフェーズ内に備えるものでもよい。一部の実施形態において、CFD処理フェーズ用のすべての命令がそのプロセスフェーズで同時に実行されるように、CFDレシピフェーズを順次構成するようにしてもよい
一部の実施形態では、システム制御部1050に伴う大容量記憶装置1054及び/又はメモリデバイス1056に保存される他のコンピュータソフトウェア及び/又はプログラムを用いるようにしてもよい。このような目的のプログラム又はプログラム部分の例としては、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板をペデスタル1018上に載置し、基板と処理ツール1000の他の部分との間の間隔を制御するために用いられる処理ツールの構成部品用のプログラムコードを備えるものでもよい。
処理ガス制御プログラムは、ガス組成及び流量を制御するためのコードと、さらに、必要に応じて、処理ステーション内の圧力を安定化させるために蒸着前に1つ以上の処理ステーション内にガスを流入させるためのコードと、を備えるものでもよい。圧力制御プログラムは、たとえば、処理ステーションの排気システムのスロットルバルブや処理ステーション内への流量等を調節することにより処理ステーション内の圧力を制御するコードを備えるものでもよい。
ヒーター制御プログラムは、基板を加熱するために用いられる加熱部への電流を制御するコードを備えるものでもよい。あるいは、ヒーター制御プログラムは、基板への熱伝導ガス(たとえば、ヘリウム)の供給を制御するものでもよい。
プラズマ制御プログラムは、1つ以上の処理ステーションにおいて処理電極に印可されるRF出力レベルを設定するコードを備えるものでもよい。
一部の実施形態において、システム制御部1050に伴ってユーザインタフェースを備えるものでもよい。ユーザインタフェースは、表示スクリーンと、装置及び/又は処理条件のグラフィックソフトウェア・ディスプレイと、ポインティングデバイス、キーボード、タッチスクリーン、マイクロホン等のユーザ入力デバイス等を含むものでもよい。
上記の動作を制御するコンピュータプログラムコードは、たとえば、アセンブリ言語、C、C++、パスカル、フォートラン、その他、任意の従来のコンピュータ読み取り可能なプログラミング言語で書かれているものでもよい。コンパイル済みオブジェクトコード又はスクリプトをプロセッサで実行して、プログラム内で特定されるタスクを実行する。
一部の実施形態において、システム制御部1050によって調節されるパラメータは、処理条件に関するものでもよい。これに限定されるものではないが、例として、処理ガス組成及び流量、温度、圧力、プラズマ条件(たとえば、RFバイアス出力レベル)等が挙げられる。これらのパラメータは、ユーザインタフェースを用いて入力可能なレシピの形態でユーザに提供されるものでもよい。
種々の処理ツールセンサから、システム制御部1050のアナログ及び/又はデジタル入力接続部によって、処理をモニタリングする信号が供給されるものでもよい。処理を制御する信号を、処理ツール1000のアナログ及びデジタル出力接続部に出力するようにしてもよい。モニタリングされる処理ツールセンサの例としては、以下に限定されるものではないが、質量流量制御部、圧力センサ(たとえば、マノメータ)、熱電対等が挙げられる。これらのセンサからのデータと共に、適切にプログラムされたフィードバック及び制御アルゴリズムを用いて、処理条件を維持するようにしてもよい。
システム制御部1050は、上述した蒸着及びエッチング処理を実行するプログラム命令を提供するものでもよい。プログラム命令は、DC出力レベル、RFバイアス出力レベル、圧力、温度等様々な処理パラメータを制御するものでもよい。命令は、本明細書に記載するさまざまな実施形態に従って、膜積層体のin situな蒸着を行なうパラメータを制御するものでもよい。
システム制御部1050は、通常、1つ以上のメモリデバイスと、本発明に従う方法を装置に実行させる命令を実行するように構成される1つ以上のプロセッサと、を備える。本発明に従う処理操作を制御する命令を含むコンピュータが読み取り可能な媒体をシステム制御部に接続するようにしてもよい。
一部の実施形態において、基板における高アスペクト比ギャップ内に誘電酸化物を共形に蒸着する装置を提供する。装置は、図10のCFD処理チャンバ1014や図8の処理チャンバ本体802のような反応チャンバを備えるものでもよい。装置は、また、反応チャンバに結合されるプラズマを発生させるように構成されるプラズマ源を備えるものでもよい。装置は、さらに、図10のシステム制御部1050のような制御部を備えるものでもよい。制御部は、約5:1よりも大きな深さ対幅のアスペクト比を各々有する1つ以上のギャップを備える基板を反応チャンバ内に準備することと、CFDによって、1つ以上のギャップ内に第1の誘電酸化物層を蒸着することと、プラズマエッチングを用いて、第1の誘電酸化物層の一部のエッチングを行うことであって、その際に、各ギャップの上面近傍における第1の誘電酸化物層の一部のエッチング速度をギャップの底面近傍におけるエッチング速度よりも速くすることにより、第1の誘電酸化物層を各ギャップの上面から底面に向かってテーパした形状とすることと、CFDによって、1つ以上のギャップ内で、第1の誘電酸化物層の上に第2の誘電酸化物層を蒸着することと、を含む1つ以上の動作を実行する命令を備えるものでもよい。制御部は、さらに、図3、図4A〜4D及び図5を参照して上述した動作を実行する命令を備えるものでもよい。
たとえば、半導体デバイス、ディスプレイ、LED、太陽電池パネル等の製作や製造を行うためのリソグラフィー・パターニングツール又は処理と、上述した装置/処理と、を組み合わせて用いてもよい。必ずしもではないが、通常、このようなツール/処理は、共通の製作設備で一緒に用いられる又は実行される。膜のリソグラフィー・パターニングには、通常、以下の動作の一部又はすべてが含まれ、各動作は、多数の利用可能なツールを用いて実現可能である。(1)スピンオンツール又はスプレーオンツールを用いて、被加工物、すなわち、基板上にフォトレジストを塗布、(2)加熱板又は炉又は紫外線硬化ツールを用いてフォトレジストを硬化、(3)ウエハステッパ等のツールを用いて、フォトレジストに可視光、紫外線又はX線を照射、(4)ウェットベンチ等のツールを用いて、レジストを現像して、選択的にレジストを除去し、レジストパターンを形成、(5)ドライエッチングツール又はプラズマ支援エッチングツールを用いて、下層膜又は被加工物にレジストパターンを転写、及び、(6)RF又はマイクロ波プラズマレジスト・ストリッパ等のツールを用いてレジストを除去。
本明細書で記載した構成及び/又はアプローチは、例示に過ぎず、特定の実施形態や例は何ら限定的なものではなく、さまざまな変形が可能である。本明細書で記載する具体的なルーチンや方法はさまざまな処理戦略のうちの1つ又は複数を表わすものに過ぎない。記載した種々の工程は、記載した順序で実行されるものでも、他の順序で実行されるものでも、並行してじっこうされるものでも、あるいは、場合によっては、省略されるものでもよい。同様に、上述した処理の順序も変更可能である。
他の実施形態
以上、発明を明確にして、理解を助ける目的で詳細に説明してきたが、当然のことながら、添付の特許請求の範囲内で、所定の変更や変形が可能である。前述した処理、システム及び装置は様々に変形、変更した形態で実施可能である。したがって、上述した実施形態は例示に過ぎず、何ら発明を限定するものではない。

Claims (14)

  1. 基板における高アスペクト比ギャップ内に誘電酸化物を共形に蒸着する方法であって、
    (a)5:1よりも大きな深さ対幅のアスペクト比を各々有する1つ以上のギャップを備える基板を反応チャンバ内に準備し、
    (b)共形膜蒸着(CFD)によって、第1の誘電酸化物層を前記1つ以上のギャップ内に蒸着し、
    (c)プラズマエッチングを用いて、前記第1の誘電酸化物層の一部をエッチングし、前記第1の誘電酸化物層の一部のエッチングは、各ギャップの上面近傍における前記第1の誘電酸化物層の一部のエッチング速度を前記ギャップの底面近傍におけるエッチング速度よりも速くすることにより実行され、これにより前記第1の誘電酸化物層は各ギャップの前記上面から前記底面に向かいテーパする形状を有し、前記第1の誘電酸化物層の一部をエッチングすることは、フッ素含有種と水素とを、前記水素と前記フッ素含有種の第1の流量比または第2の流量比にて前記反応チャンバ内に流入させることを含み、
    (d)CFDによって、前記1つ以上のギャップ内で、前記第1の誘電酸化物層の上に第2の誘電酸化物層を蒸着し、
    (e)前記(b)〜(d)を繰り返して、前記1つ以上のギャップを実質的に埋め込み、前記(c)の繰り返しは前記水素と前記フッ素含有種の前記第1の流量比とは異なる第2の流量比をもたらすこと、
    を備える、方法。
  2. 請求項1に記載の方法であって、前記水素の流入は、前記反応チャンバ内に流入する前記フッ素含有種の少なくともいくらかを消費することを含む、方法。
  3. 請求項1に記載の方法であって、前記第1の誘電酸化物層を蒸着することは、
    前記基板表面上に第1の反応物質を吸着可能な条件下で、前記反応チャンバ内に前記第1の反応物質を気相状態で導入し、
    前記第1の反応物質が前記基板表面上に吸着された状態で、前記反応チャンバ内に第2の反応物質を気相状態で導入し、前記第2の反応物質は、前記第1の反応物質を前記反応チャンバ外にスイープさせることなく導入され、
    前記基板表面をプラズマに暴露させることによって、前記基板表面上で前記第1の反応物質と前記第2の反応物質との間の反応を促進させ、前記第1の誘電酸化物層を形成することを含む、方法。
  4. 請求項1に記載の方法であって、さらに、
    遠隔プラズマ内の前記フッ素含有種のプラズマ活性エッチャントを生成し、
    前記第1の誘電酸化物層と反応させて前記エッチングを実行するために前記フッ素含有種の前記プラズマ活性エッチャントを前記反応チャンバ内に流入させること、
    を備える、方法。
  5. 請求項1に記載の方法であって、
    前記フッ素含有種は、三フッ化窒素(NF)を含む、方法。
  6. 請求項1に記載の方法であって、前記(c)を繰り返す際に前記フッ素含有種の流量は減少される、方法。
  7. 請求項6に記載の方法であって、
    前記フッ素含有種に対する水素の前記第1の流量比および前記第2の流量比は、それぞれ、1:1〜5:1の間である、方法。
  8. 請求項1に記載の方法であって、
    前記第1の誘電酸化物層及び前記第2の誘電酸化物層は各々、二酸化ケイ素(SiO)を含む、方法。
  9. 請求項1に記載の方法であって、
    前記第1の誘電酸化物層の厚みは、100Å〜500Åである、方法。
  10. 基板における高アスペクト比ギャップ内に誘電酸化物を共形に蒸着する装置であって、
    反応チャンバと、
    前記反応チャンバに接続されているプラズマ源と、
    制御部であって、
    (a)5:1よりも大きな深さ対幅のアスペクト比を各々有する1つ以上のギャップを備える基板を前記反応チャンバ内に準備し、
    (b)共形膜蒸着(CFD)によって、第1の誘電酸化物層を前記1つ以上のギャップ内に蒸着し、
    (c)プラズマエッチングを用いて、前記第1の誘電酸化物層の一部をエッチングし、前記第1の誘電酸化物層の一部のエッチングは、各ギャップの上面近傍における前記第1の誘電酸化物層の一部のエッチング速度を前記ギャップの底面近傍におけるエッチング速度よりも速くすることにより実行され、これにより前記第1の誘電酸化物層は各ギャップの前記上面から前記底面に向かいテーパする形状を有し、前記第1の誘電酸化物層の一部をエッチングすることは、フッ素含有種と水素とを、前記水素と前記フッ素含有種の第1の流量比または第2の流量比にて前記反応チャンバ内に流入させることを含み、
    (d)CFDによって、前記1つ以上のギャップ内で、前記第1の誘電酸化物層の上に第2の誘電酸化物層を蒸着し、
    (e)前記(b)〜(d)を繰り返して、前記1つ以上のギャップを実質的に埋め込み、前記(c)の繰り返しは前記水素と前記フッ素含有種の前記第1の流量比とは異なる第2の流量比をもたらすこと、を実行する命令を備える制御部、
    を備える装置。
  11. 請求項10に記載の装置であって、前記水素の流入は、前記反応チャンバ内に流入する前記フッ素含有種の少なくともいくらかを消費することを含む、装置。
  12. 請求項10に記載の装置であって、
    前記第1の誘電酸化物層を蒸着する命令を備える前記制御部は、
    前記基板表面上に第1の反応物質を吸着可能な条件下で、前記反応チャンバ内に前記第1の反応物質を気相状態で導入し、
    前記第1の反応物質が前記基板表面上に吸着された状態で、前記反応チャンバ内に第2の反応物質を気相状態で導入し、前記第2の反応物質は、前記第1の反応物質を前記反応チャンバ外にスイープさせることなく導入され、
    前記基板表面をプラズマに暴露させることによって、前記基板表面上で前記第1の反応物質と前記第2の反応物質との間の反応を促進させ、前記第1の誘電酸化物層を形成する、ことを実行する命令を含む装置。
  13. 請求項10に記載の装置であって、
    前記制御部は、さらに、
    遠隔プラズマ内の前記フッ素含有種のプラズマ活性エッチャントを生成し、
    前記第1の誘電酸化物層と反応させて前記エッチングを実行するために前記フッ素含有種の前記プラズマ活性エッチャントを前記反応チャンバ内に流入させる、ことを実行する命令を備える、装置。
  14. 請求項13に記載の装置であって、前記(c)を繰り返す際に前記フッ素含有種の流量は減少される、装置。
JP2013230782A 2012-11-08 2013-11-07 ギャップフィルのための共形膜蒸着 Active JP6415035B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261724186P 2012-11-08 2012-11-08
US61/724,186 2012-11-08

Publications (3)

Publication Number Publication Date
JP2014112668A JP2014112668A (ja) 2014-06-19
JP2014112668A5 JP2014112668A5 (ja) 2017-01-26
JP6415035B2 true JP6415035B2 (ja) 2018-10-31

Family

ID=50682116

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013230782A Active JP6415035B2 (ja) 2012-11-08 2013-11-07 ギャップフィルのための共形膜蒸着

Country Status (5)

Country Link
US (1) US9355886B2 (ja)
JP (1) JP6415035B2 (ja)
KR (4) KR102218085B1 (ja)
SG (1) SG2013083241A (ja)
TW (1) TWI587391B (ja)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5766647B2 (ja) * 2012-03-28 2015-08-19 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6388553B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6388552B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN113659004B (zh) * 2015-11-26 2023-12-19 联华电子股份有限公司 半导体元件及其制作方法
WO2017161236A1 (en) 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10041873B2 (en) * 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
TWI733850B (zh) 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9960033B1 (en) * 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
US10410861B2 (en) * 2017-03-07 2019-09-10 Tokyo Electron Limited Method of filling retrograde recessed features
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
CN110546753B (zh) * 2017-04-24 2023-08-11 应用材料公司 高深宽比结构中的间隙填充的方法
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
WO2019094481A1 (en) 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111566780B (zh) * 2018-01-15 2023-12-01 应用材料公司 添加氩至远程等离子体氧化
JP7299898B2 (ja) * 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11120997B2 (en) * 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning
US11031215B2 (en) * 2018-09-28 2021-06-08 Lam Research Corporation Vacuum pump protection against deposition byproduct buildup
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210014577A (ko) * 2019-07-29 2021-02-09 에이에스엠 아이피 홀딩 비.브이. 불소 제거를 이용해서 구조물을 형성하는 방법
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
JP2021080536A (ja) * 2019-11-21 2021-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2023504257A (ja) * 2019-12-02 2023-02-02 ラム リサーチ コーポレーション In-situでのpecvdによるキャップ層
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP2022054653A (ja) 2020-09-28 2022-04-07 東京エレクトロン株式会社 凹部埋め込み方法及び基板処理装置
US11655537B2 (en) 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
CN114300416A (zh) * 2020-12-02 2022-04-08 台湾积体电路制造股份有限公司 半导体器件及其制造方法
JP2022111765A (ja) 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン窒化膜の形成方法及び成膜装置
US20220238331A1 (en) * 2021-01-25 2022-07-28 Applied Materials, Inc. Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
JP2022133762A (ja) 2021-03-02 2022-09-14 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム
JP2022166614A (ja) 2021-04-21 2022-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2023028517A (ja) 2021-08-19 2023-03-03 東京エレクトロン株式会社 基板処理方法
WO2023178203A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Seam-free and crack-free deposition
CN115440707A (zh) * 2022-09-20 2022-12-06 中国科学院光电技术研究所 对准标记结构及其形成方法

Family Cites Families (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
KR100420753B1 (ko) * 1999-03-17 2004-03-02 세미컨덕터300 게엠베하 운트 코 카게 반도체 웨이퍼 상의 갭 충진 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN1777697B (zh) 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
TW201415551A (zh) 2006-03-31 2014-04-16 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2008035678A1 (fr) 2006-09-19 2008-03-27 Tokyo Electron Limited Processus de nettoyage de plasma et procédé cvd plasma
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
CN101657564A (zh) 2007-02-12 2010-02-24 莲花应用技术有限责任公司 用原子层沉积制备复合材料
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR20090067576A (ko) * 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착

Also Published As

Publication number Publication date
JP2014112668A (ja) 2014-06-19
SG2013083241A (en) 2014-06-27
KR102218085B1 (ko) 2021-02-19
KR20210021503A (ko) 2021-02-26
US9355886B2 (en) 2016-05-31
KR20140060253A (ko) 2014-05-19
TWI587391B (zh) 2017-06-11
KR102377013B1 (ko) 2022-03-21
TW201430951A (zh) 2014-08-01
KR20220038640A (ko) 2022-03-29
US20140134827A1 (en) 2014-05-15
KR20230144995A (ko) 2023-10-17

Similar Documents

Publication Publication Date Title
JP6415035B2 (ja) ギャップフィルのための共形膜蒸着
US10903071B2 (en) Selective deposition of silicon oxide
KR102608585B1 (ko) Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
US10407773B2 (en) Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
JP6038975B2 (ja) 半導体基板を処理する方法
TWI595112B (zh) 次飽和之原子層沉積及保形膜沉積
KR20140016201A (ko) 고 압력, 고 전력 플라즈마 활성화된 컨포멀 막 증착
KR20200123482A (ko) 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
JP2023530299A (ja) 金属含有フォトレジスト堆積のための表面改質
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
KR20210076997A (ko) 3d nand 집적에 대해 개선된 에칭 선택도를 가지는 나이트라이드 막들
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161104

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171020

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171031

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180905

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180918

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181002

R150 Certificate of patent or registration of utility model

Ref document number: 6415035

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250