CN113659004B - 半导体元件及其制作方法 - Google Patents

半导体元件及其制作方法 Download PDF

Info

Publication number
CN113659004B
CN113659004B CN202110811776.1A CN202110811776A CN113659004B CN 113659004 B CN113659004 B CN 113659004B CN 202110811776 A CN202110811776 A CN 202110811776A CN 113659004 B CN113659004 B CN 113659004B
Authority
CN
China
Prior art keywords
shallow trench
metal layer
dielectric
trench isolation
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110811776.1A
Other languages
English (en)
Other versions
CN113659004A (zh
Inventor
曾奕铭
梁文安
黄振铭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to CN202110811776.1A priority Critical patent/CN113659004B/zh
Publication of CN113659004A publication Critical patent/CN113659004A/zh
Application granted granted Critical
Publication of CN113659004B publication Critical patent/CN113659004B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Abstract

本发明公开一种半导体元件及其制作方法,其中该半导体元件包括一基底、一鳍状结构位于该基底上,该鳍状结构包含一第一部分以及一第二部分、一第一浅沟隔离位于该第一部分及该第二部分之间,并且具有一上凹表面、两第一介质层,分别沿着该第一部分及该第二部分的侧壁覆盖至邻接该上凹表面,以及一第一栅极结构位于该第一部分以及该第二部分之间。该第一栅极结构包括一第一高介电常数介电层,位于该两第一介质层上并且直接接触该上凹表面、一第一功函数金属层,位于该第一高介电常数介电层上,以及一第一低阻抗金属层,位于该第一功函数金属层上。

Description

半导体元件及其制作方法
本申请是中国发明专利申请(申请号:201510843189.5,申请日:2015年11月26日,发明名称:半导体元件及其制作方法)的分案申请。
技术领域
本发明涉及一种制作半导体元件的方法,尤其是涉及一种于鳍状结构与鳍状结构之间制作浅沟隔离的方法。
背景技术
近年来,随着场效晶体管(field effect transistors,FETs)元件尺寸持续地缩小,现有平面式(planar)场效晶体管元件的发展已面临制作工艺上的极限。为了克服制作工艺限制,以非平面(non-planar)的场效晶体管元件,例如鳍状场效晶体管(fin fieldeffect transistor,Fin FET)元件来取代平面晶体管元件已成为目前的主流发展趋势。由于鳍状场效晶体管元件的立体结构可增加栅极与鳍状结构的接触面积,因此,可进一步增加栅极对于载流子通道区域的控制,从而降低小尺寸元件面临的漏极引发能带降低(draininduced barrier lowering,DIBL)效应,并可以抑制短通道效应(short channel effect,SCE)。再者,由于鳍状场效晶体管元件在同样的栅极长度下会具有更宽的通道宽度,因而可获得加倍的漏极驱动电流。甚而,晶体管元件的临界电压(threshold voltage)也可通过调整栅极的功函数而加以调控。
在现行的鳍状场效晶体管元件制作工艺中,鳍状结构经由分割后通常会填入绝缘物形成浅沟隔离。然而被分隔后的鳍状结构与鳍状结构之间的浅沟隔离通常会因制作工艺的因素形成扩口并影响后续栅极结构的设置。因此如何改良现有鳍状场效晶体管制作工艺与架构即为现今一重要课题。
发明内容
根据本发明一实施例提供的一种半导体元件,包括一基底、一鳍状结构位于该基底上,该鳍状结构包含一第一部分以及一第二部分、一第一浅沟隔离位于该第一部分及该第二部分之间,并且具有一上凹表面、两第一介质层,分别沿着该第一部分及该第二部分的侧壁覆盖至邻接该上凹表面,以及一第一栅极结构位于该第一部分以及该第二部分之间。其中,该第一栅极结构包括一第一高介电常数介电层,位于该两第一介质层上并且直接接触该上凹表面、一第一功函数金属层,位于该第一高介电常数介电层上,以及一第一低阻抗金属层,位于该第一功函数金属层上。
根据本发明另一实施例提供的一种半导体元件的制作方法,步骤包括在一基底上形成一鳍状结构、于该鳍状结构中形成一开口,将该鳍状结构分隔为一第一部分以及一第二部分、进行一原子沉积制作工艺,于该鳍状结构上形成一第一绝缘材料并填入该开口中、移除部分该第一绝缘材料至显露出该第一部分以及该第二部分的侧壁,获得位于该开口内的一第一浅沟隔离、形成一介质层于该第一部分以及该第二部分的该侧壁上,以及形成一第一栅极结构于该开口的正上方并完全覆盖该开口。其中该第一栅极结构包括一高介电常数介电层,位于该介质层及该第一浅沟隔离上、一功函数金属层,位于该高介电常数介电层上,以及一低阻抗金属层,位于该功函数金属层上。
附图说明
图1至图10为本发明优选实施例制作一半导体元件的方法示意图;
图11为本发明另一实施例的半导体元件结构示意图。
主要元件符号说明
12 基底 14 第一区域
16 第二区域 18 衬垫氧化层
20 衬垫氮化层 22 硬掩模
24 鳍状结构 26 沟槽
28 绝缘层 30 浅沟隔离
32 开口 34 第一部分
36 第二部分 38 绝缘层
40 浅沟隔离 42 凹陷部
44 栅极绝缘层 46 栅极结构
48 栅极结构 50 多晶硅材料
52 间隙壁 54 源极/漏极区域
56 外延层 58 接触洞蚀刻停止层
60 层间介电层 62 金属栅极
64 金属栅极 66 介质层
68 高介电常数介电层 70 功函数金属层
72 低阻抗金属层 74 接触洞蚀刻停止层
76 层间介电层 80 上凹表面
82 谷点 84 顶点
86 突起部 h 高度
具体实施方式
请参照图1至图10,图1至图10为本发明优选实施例制作一半导体元件的方法示意图。如图1所示,首先提供一基底12,例如一硅基底或硅覆绝缘(silicon on insulator,SOI)基板,并于基底12上定义一第一区域14与一第二区域16。在本实施例中,第二区域16较佳于后续制作工艺中用来形成鳍状结构之间的浅沟隔离,第一区域14则为第二区域16旁的区域,或更具体而言第二区域16旁用来形成鳍状结构晶体管的主动(有源)区域。
然后依序形成一衬垫氧化层18、一衬垫氮化层20以及一由氧化物所构成的硬掩模22于基底12上,并进行一光刻暨蚀刻制作工艺,去除部分硬掩模22、部分衬垫氮化层20与部分衬垫氧化层18,以于基底12中上形成鳍状结构24以及一沟槽26环绕鳍状结构24。
接着如图2所示,进行一可流动式化学气相沉积(flowable chemical vapordeposition,FCVD)制作工艺形成一绝缘层28于硬掩模22上并填满沟槽26。其中绝缘层28可包含氧化物,例如二氧化硅,但不局限于此。
如图3所示,随后进行一平坦化制作工艺,例如利用化学机械研磨(chemicalmechanical polishing,CMP)去除部分绝缘层28、硬掩模22与衬垫氮化层20,使剩余的绝缘层28上表面与衬垫氧化层18上表面切齐并同时形成一浅沟隔离30于鳍状结构24周围。
如图4所示,接着进行一光刻暨蚀刻制作工艺,例如先形成一图案化掩模(图未示)于部分鳍状结构24与浅沟隔离30上并暴露第二区域16,然后利用蚀刻去除未被图案化掩模所遮蔽的部分衬垫氧化层18与部分鳍状结构24,以于鳍状结构24中形成一开口32并同时将鳍状结构24分隔为一第一部分34与第二部分36。
然后如图5所示,进行一原子沉积(atomic layer deposition,ALD)制作工艺以形成一绝缘层38于第一部分34与第二部分36上并填满开口32。在本实施例中,所形成的绝缘层38较佳包含氧化物,例如二氧化硅,但不局限于此。
如图6所示,接着进行一蚀刻制作工艺去除部分绝缘层38与部分浅沟隔离30,使浅沟隔离30上表面略低于鳍状结构24上表面并同时形成另一浅沟隔离40于第二区域16,特别是鳍状结构24的第一部分34与第二部分36之间。值得注意的是,由于第一部分34与第二部分36之间的浅沟隔离40是以ALD方式所形成,而浅沟隔离30则是以可流动式化学气相沉积(FCVD)制作工艺来形成的,两者蚀刻选择比不同,因此以蚀刻去除部分绝缘层38形成浅沟隔离40与降低浅沟隔离30高度时设于第一区域14的浅沟隔离30较佳维持一平坦表面而第二区域16的浅沟隔离40则具有一凹陷部42。
然后如图7所示,先形成一栅极绝缘层44于鳍状结构24的第一部分34与第二部分36表面以及第二区域16的鳍状结构24侧壁,再形成栅极结构46于第一区域14的鳍状结构24上以及形成栅极结构48于第二区域16的浅沟隔离40上。
栅极结构46、48的制作方式可依据制作工艺需求以先栅极(gate first)制作工艺、后栅极(gate last)制作工艺的先高介电常数介电层(high-k first)制作工艺以及后栅极制作工艺的后高介电常数介电层(high-k last)制作工艺等方式制作完成。以本实施例的后高介电常数介电层制作工艺为例,可先于鳍状结构24与浅沟隔离40上形成较佳包含多晶硅材料50所构成的栅极结构46、48,然后于栅极结构46、48侧壁形成间隙壁52,其中间隙壁52可选自由二氧化硅、氮化硅、氮氧化硅以及氮碳化硅所构成的群组,但不局限于此。
接着于间隙壁52两侧的鳍状结构24以及/或基底12中形成一源极/漏极区域54及/或外延层56,并选择性于源极/漏极区域54及/或外延层56的表面形成一金属硅化物(图未示)。
如图8所示,然后形成一接触洞蚀刻停止层58于栅极结构46、48与基底12上,其中接触洞蚀刻停止层58可选择任何具有应力的材料,例如可选自由氮化硅以及氮碳化硅所构成的群组,但并不局限于此。
接着形成一层间介电层60于接触洞蚀刻停止层58与鳍状结构24上,并进行一平坦化制作工艺,例如利用CMP去除部分层间介电层60与部分接触洞蚀刻停止层58以暴露出由多晶硅材料50所构成的栅极电极,使栅极电极上表面与层间介电层60上表面齐平。其中层间介电层60可由任何包含氧化物的绝缘材料所构成,例如四乙氧基硅烷(Tetraethylorthosilicate,TEOS),但不局限于此。
如图9所示,随后进行一金属栅极置换制作工艺将栅极结构46、48转换为金属栅极62、64。举例来说,可先进行一选择性的干蚀刻或湿蚀刻制作工艺,例如利用氨水(ammoniumhydroxide,NH4OH)或氢氧化四甲铵(Tetramethylammonium Hydroxide,TMAH)等蚀刻溶液来去除栅极结构46、48中的多晶硅材料50与部分栅极绝缘层44,以于层间介电层60中形成多个凹槽(图未示)。之后依序形成一介质层66、一高介电常数介电层68以及至少包含U型功函数金属层70与低阻抗金属层72的导电层于各凹槽内,其中介质层66较佳设于第一部分34与第二部分36的侧壁。然后再搭配进行一平坦化制作工艺使U型高介电常数介电层68、U型功函数金属层70与低阻抗金属层72的表面与层间介电层60表面齐平。
在本实施例中,高介电常数介电层68包含介电常数大于4的介电材料,例如是选自氧化铪(hafnium oxide,HfO2)、硅酸铪氧化合物(hafnium silicon oxide,HfSiO4)、硅酸铪氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化铝(aluminum oxide,Al2O3)、氧化镧(lanthanum oxide,La2O3)、氧化钽(tantalum oxide,Ta2O5)、氧化钇(yttrium oxide,Y2O3)、氧化锆(zirconium oxide,ZrO2)、钛酸锶(strontium titanate oxide,SrTiO3)、硅酸锆氧化合物(zirconium silicon oxide,ZrSiO4)、锆酸铪(hafnium zirconium oxide,HfZrO4)、锶铋钽氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、锆钛酸铅(leadzirconate titanate,PbZrxTi1-xO3,PZT)、钛酸钡锶(barium strontium titanate,BaxSr1- xTiO3,BST)、或其组合所组成的群组。
功函数金属层70较佳用以调整形成金属栅极的功函数,使其适用于N型晶体管(NMOS)或P型晶体管(PMOS)。若晶体管为N型晶体管,功函数金属层70可选用功函数为3.9电子伏特(eV)~4.3eV的金属材料,如铝化钛(TiAl)、铝化锆(ZrAl)、铝化钨(WAl)、铝化钽(TaAl)、铝化铪(HfAl)或TiAlC(碳化钛铝)等,但不以此为限;若晶体管为P型晶体管,功函数金属层70可选用功函数为4.8eV~5.2eV的金属材料,如氮化钛(TiN)、氮化钽(TaN)或碳化钽(TaC)等,但不以此为限。功函数金属层70与低阻抗金属层72之间可包含另一阻障层(图未示),其中阻障层的材料可包含钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽(TaN)等材料。低阻抗金属层72则可选自铜(Cu)、铝(Al)、钨(W)、钛铝合金(TiAl)、钴钨磷化物(cobalttungsten phosphide,CoWP)等低电阻材料或其组合。由于依据金属栅极置换制作工艺将虚置栅极转换为金属栅极是此领域者所熟知技术,在此不另加赘述。
之后如图10所示,可先选择性去除层间介电层60与接触洞蚀刻停止层58,然后再沉积另一接触洞蚀刻停止层74与层间介电层76于金属栅极62、64上。接着进行一接触插塞制作工艺,例如先形成多个接触洞(图未示)于层间介电层76与接触洞蚀刻停止层74中,然后在接触洞中填入所需的金属材料,包括依序形成一选自由钛(Ti)、氮化钛(TiN)、钽(Ta)及氮化钽(TaN)等群组所构成的阻障层以及一选自由钨(W)、铜(Cu)、铝(Al)、钛铝合金(TiAl)及钴钨磷化物(cobalt tungsten phosphide,CoWP)等低电阻材料所构成的金属层。随后搭配进行一平坦化制作工艺,例如以CMP去除部分阻障层与部分金属层以形成接触插塞78电连接金属栅极62、64与基底12内的源极/漏极区域54与外延层56。
请继续参照图10,其另揭露本发明优选实施例的一半导体元件的结构示意图。如图10所示,本发明的半导体元件主要包含一鳍状结构24设于基底12上且鳍状结构24包含一第一部分34与第二部分36、一浅沟隔离30环绕第一部分34与第二部分36、一浅沟隔离40设于第一部分34与第二部分36之间,一栅极绝缘层44设于第一部分34与第二部分36上以及金属栅极62、64分别设于第一区域14与第二区域16。
在本实施例中,由于栅极绝缘层44与介质层66分别于不同阶段形成,因此栅极绝缘层44的厚度较佳大于介质层66的厚度。另外设于第一区域14的金属栅极62上表面较佳切齐第二区域16的金属栅极64上表面,且各金属栅极62、64更细部包含一高介电常数介电层68设于介质层66上、一功函数金属层70与一低阻抗金属层72。以第二区域16的金属栅极64来看,其高介电常数介电层68较佳直接接触介质层66及浅沟隔离40。
从细部来看,设于第一部分34与第二部分36之间的浅沟隔离40较佳包含一凹陷部42,其中凹陷部42包含一上凹表面80,上凹表面80包含一谷点(valley point)82与二顶点84,且二顶点84分别接触第一部分34与第二部分36的侧壁。
若以整个半导体元件来看,相较于浅沟隔离40是利用原子沉积制作工艺所形成因此具有前述的凹陷部42,环绕第一部分34与第二部分36的浅沟隔离30是以FCVD制作工艺所形成因此较佳具有平坦表面。若与浅沟隔离40所设置的位置来比较,浅沟隔离30的上表面较佳与浅沟隔离40上凹表面80的二顶点84切齐,或浅沟隔离40上凹表面80的谷点82较佳略低于浅沟隔离30上表面。依据本发明的优选实施例,由谷点82至与浅沟隔离30上表面切齐的水平面的高度h较佳小于10奈米。
请继续参照图11,图11为本发明另一实施例的半导体元件结构示意图。相较于图10中上凹表面80的二顶点84直接接触第一部分34与第二部分36的侧壁,本实施例的上凹表面80与第一部分34及第二部分36侧壁之间各具有一突起部86,且突起部86的上表面较佳为一平整表面且与浅沟隔离30上表面切齐。
一般而言,现有将鳍状结构分割为两部分后会利用FCVD制作工艺同时于被分隔的鳍状结构之间以及鳍状结构周围形成浅沟隔离。然而通过FCVD制作工艺所形成的浅沟隔离通常含有氧原子,而此种浅沟隔离利用后续FCVD制作工艺所伴随的退火制作工艺处理后通常会与基底中的硅反应并再次撑大浅沟隔离的临界尺寸(critical dimension,CD)形成扩口,影响后续栅极结构的设置。为了改善此问题,本发明较佳先形成一浅沟隔离于鳍状结构周围,将鳍状结构分割为第一部分与第二部分,然后在第一部分与第二部分之间利用ALD制作工艺方式填入绝缘材料形成浅沟隔离。由于以ALD方式所形成的浅沟隔离不会产生上述扩口情形,本发明可由此缩小单元间的临界尺寸并同时改善漏电流的情形。
以上所述仅为本发明的优选实施例,凡依本发明权利要求所做的均等变化与修饰,都应属本发明的涵盖范围。

Claims (10)

1.一种半导体元件,包括:
基底;
鳍状结构,位于该基底上,该鳍状结构包含第一部分以及第二部分;
第一浅沟隔离,位于该第一部分及该第二部分之间,其中该第一浅沟隔离包括利用原子沉积制作工艺方式所填入的绝缘材料,并且该绝缘材料具有上凹表面;
两第一介质层,分别沿着该第一部分及该第二部分的侧壁覆盖并且邻接该上凹表面;以及
第一栅极结构,位于该第一浅沟隔离上,其中该第一栅极结构包括:
第一高介电常数介电层,直接接触该两第一介质层以及该第一浅沟隔离的该上凹表面;
第一功函数金属层,位于该第一高介电常数介电层上;以及
第一低阻抗金属层,位于该第一功函数金属层上。
2.如权利要求1所述的半导体元件,其中:
该第一高介电常数介电层的U型延伸部分位于该两第一介质层之间;
该第一功函数金属层的U型延伸部分填入该第一高介电常数介电层的该U型延伸部分中;以及
该第一低阻抗金属层的延伸部分填入该第一功函数金属层的该U型延伸部分中。
3.如权利要求1所述的半导体元件,另包括:
两第二浅沟隔离,分别位于该第一部分以及该第二部分相对于该第一浅沟隔离的一侧,其中该第一浅沟隔离的该上凹表面低于该两第一浅沟隔离的表面。
4.如权利要求1所述的半导体元件,另包括:
两第二栅极结构,分别位于该第一栅极结构两侧的该第一部分以及该第二部分上,其中该两第二栅极结构分别包括:
第二高介电常数介电层;
第二功函数金属层,位于该第二高介电常数介电层上;以及
第二低阻抗金属层,位于该第二功函数金属层上;以及
两第二介质层,分别位于该两第二栅极结构的该第二高介电常数介电层与该第一部分和该第二部分之间,其中该两第一介质层与该两第二介质层包括相同材料。
5.如权利要求1所述的半导体元件,其中该第一浅沟隔离包括两突起部位于该上凹表面两侧,该两第一介质层分别与该两突起部的表面直接接触。
6.一种半导体元件的制作方法,包括:
在基底上形成鳍状结构;
在该鳍状结构中形成开口以将该鳍状结构分隔为第一部分以及第二部分;
进行原子沉积制作工艺,以于该鳍状结构上形成一第一绝缘材料并填入该开口中;
移除部分该第一绝缘材料至显露出该第一部分以及该第二部分的侧壁,获得位于该开口内的第一浅沟隔离;
形成介质层于该第一部分以及该第二部分的该侧壁上;以及
形成第一栅极结构于该开口的正上方并完全覆盖该开口,其中该第一栅极结构包括:
高介电常数介电层,直接接触该介质层及该第一浅沟隔离;
功函数金属层,位于该高介电常数介电层上;以及
低阻抗金属层,位于该功函数金属层上。
7.如权利要求6所述的半导体元件的制作方法,形成该开口之前,另包括:
进行可流动式化学气相沉积制作工艺,以于该基底上形成第二绝缘材料;以及
进行平坦化制作工艺移除部分该第二绝缘材料,形成环绕该鳍状结构的第二浅沟隔离。
8.如权利要求7所述的半导体元件的制作方法,其中该第一浅沟隔离包括上凹表面,该上凹表面低于该第二浅沟隔离的表面。
9.如权利要求8所述的半导体元件的制作方法,其中该第一浅沟隔离包括两突起部位于该上凹表面两侧,该介质层与该两突起部的表面直接接触。
10.如权利要求6所述的半导体元件的制作方法,其中:
该高介电常数介电层包括U型延伸部分,位于第一部分以及该第二部分的该侧壁之间;
该功函数金属层包括U型延伸部分,填入该高介电常数介电层的该U型延伸部分中;以及
该低阻抗金属层包括延伸部分,填入该功函数金属层的该U型延伸部分中。
CN202110811776.1A 2015-11-26 2015-11-26 半导体元件及其制作方法 Active CN113659004B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110811776.1A CN113659004B (zh) 2015-11-26 2015-11-26 半导体元件及其制作方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201510843189.5A CN106803484B (zh) 2015-11-26 2015-11-26 半导体元件及其制作方法
CN202110811776.1A CN113659004B (zh) 2015-11-26 2015-11-26 半导体元件及其制作方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201510843189.5A Division CN106803484B (zh) 2015-11-26 2015-11-26 半导体元件及其制作方法

Publications (2)

Publication Number Publication Date
CN113659004A CN113659004A (zh) 2021-11-16
CN113659004B true CN113659004B (zh) 2023-12-19

Family

ID=58777743

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510843189.5A Active CN106803484B (zh) 2015-11-26 2015-11-26 半导体元件及其制作方法
CN202110811776.1A Active CN113659004B (zh) 2015-11-26 2015-11-26 半导体元件及其制作方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510843189.5A Active CN106803484B (zh) 2015-11-26 2015-11-26 半导体元件及其制作方法

Country Status (2)

Country Link
US (1) US9824931B2 (zh)
CN (2) CN106803484B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102481427B1 (ko) * 2016-01-13 2022-12-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN107452680B (zh) * 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN107452679B (zh) * 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN107564953B (zh) * 2016-07-01 2021-07-30 中芯国际集成电路制造(上海)有限公司 变容晶体管及其制造方法
KR102524806B1 (ko) * 2016-08-11 2023-04-25 삼성전자주식회사 콘택 구조체를 포함하는 반도체 소자
US9761491B1 (en) * 2016-12-06 2017-09-12 Globalfoundries Inc. Self-aligned deep contact for vertical FET
CN109273440B (zh) 2017-07-18 2021-06-22 联华电子股份有限公司 具伸张应力鳍状结构的制作方法与互补式鳍状晶体管结构
US10658490B2 (en) * 2017-07-28 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of isolation feature of semiconductor device structure
CN109390338B (zh) * 2017-08-08 2021-06-22 联华电子股份有限公司 互补式金属氧化物半导体元件及其制作方法
CN109728080B (zh) * 2017-10-27 2023-04-07 联华电子股份有限公司 隧道场效应晶体管及其制作方法
US10497778B2 (en) 2017-11-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10475693B1 (en) * 2018-06-07 2019-11-12 Globalfoundries Inc. Method for forming single diffusion breaks between finFET devices and the resulting devices
CN110707045B (zh) * 2018-10-09 2023-05-12 联华电子股份有限公司 一种制作半导体元件的方法
US11538937B2 (en) * 2019-01-04 2022-12-27 Intel Corporation Fin trim plug structures having an oxidation catalyst layer surrounded by a recessed dielectric material
US11004751B2 (en) * 2019-02-25 2021-05-11 International Business Machines Corporation Vertical transistor having reduced edge fin variation
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
TWI748346B (zh) * 2020-02-15 2021-12-01 華邦電子股份有限公司 多閘極之半導體結構及其製造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104157602A (zh) * 2014-08-27 2014-11-19 上海华力微电子有限公司 浅沟槽隔离结构的制备方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
KR20090067653A (ko) * 2007-12-21 2009-06-25 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US9673328B2 (en) * 2010-05-28 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for providing line end extensions for fin-type active regions
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN104377124A (zh) * 2013-08-16 2015-02-25 中国科学院微电子研究所 半导体器件制造方法
US9252271B2 (en) * 2013-11-27 2016-02-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US9515172B2 (en) * 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9209179B2 (en) * 2014-04-15 2015-12-08 Samsung Electronics Co., Ltd. FinFET-based semiconductor device with dummy gates
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
KR20160030794A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 반도체 소자의 제조 방법
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9449971B2 (en) * 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9362181B1 (en) * 2014-12-05 2016-06-07 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
US9368496B1 (en) * 2015-01-30 2016-06-14 Globalfoundries Inc. Method for uniform recess depth and fill in single diffusion break for fin-type process and resulting devices
CN106711213B (zh) * 2015-07-20 2021-02-26 联华电子股份有限公司 半导体元件及其制作方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104157602A (zh) * 2014-08-27 2014-11-19 上海华力微电子有限公司 浅沟槽隔离结构的制备方法

Also Published As

Publication number Publication date
US20170154823A1 (en) 2017-06-01
CN113659004A (zh) 2021-11-16
CN106803484B (zh) 2021-08-10
US9824931B2 (en) 2017-11-21
CN106803484A (zh) 2017-06-06

Similar Documents

Publication Publication Date Title
CN113659004B (zh) 半导体元件及其制作方法
US10062604B2 (en) Semiconductor device and method for fabricating the same
US9685337B2 (en) Method for fabricating semiconductor device
CN109873035B (zh) 半导体元件及其制作方法
US8765546B1 (en) Method for fabricating fin-shaped field-effect transistor
US8981487B2 (en) Fin-shaped field-effect transistor (FinFET)
CN106684041B (zh) 半导体元件及其制作方法
US20160104673A1 (en) Fin-shaped field-effect transistor with a germanium epitaxial cap and a method for fabricating the same
US10283507B2 (en) Semiconductor device and method for fabricating the same
CN107808849B (zh) 半导体元件及其制作方法
US10008578B1 (en) Semiconductor device and method for fabricating the same
TWI729181B (zh) 半導體元件及其製作方法
US11600531B2 (en) Semiconductor device and method for fabricating the same
TWI761529B (zh) 半導體元件及其製作方法
CN115377190A (zh) 半导体元件及其制作方法
TW201725628A (zh) 半導體元件及其製作方法
TWI612666B (zh) 一種製作鰭狀場效電晶體的方法
US11011430B2 (en) Semiconductor device and method for fabricating the same
CN112736079A (zh) 具有连接pmos区域栅极结构的接触插塞的半导体元件
CN110828377B (zh) 一种具有不对称功函数金属层的半导体元件
US20230378166A1 (en) Semiconductor device and method for fabricating the same
US20230197710A1 (en) Semiconductor device and method for fabricating the same
US20230197523A1 (en) Semiconductor device and method for fabricating the same
CN109545747B (zh) 半导体元件及其制作方法
EP4283675A1 (en) Semiconductor device and method of fabricating the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant