KR20210021503A - 갭충진을 위한 컨포멀 막 증착 - Google Patents

갭충진을 위한 컨포멀 막 증착 Download PDF

Info

Publication number
KR20210021503A
KR20210021503A KR1020210020087A KR20210020087A KR20210021503A KR 20210021503 A KR20210021503 A KR 20210021503A KR 1020210020087 A KR1020210020087 A KR 1020210020087A KR 20210020087 A KR20210020087 A KR 20210020087A KR 20210021503 A KR20210021503 A KR 20210021503A
Authority
KR
South Korea
Prior art keywords
dielectric oxide
oxide layer
etching
plasma
substrate
Prior art date
Application number
KR1020210020087A
Other languages
English (en)
Other versions
KR102377013B1 (ko
Inventor
샹카르 스와미나탄
바트 제이. 반 슈라벤디크
애드리언 라보이
세샤 바라다라잔
제이슨 대진 박
미칼 다넥
나오히로 쇼다
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20210021503A publication Critical patent/KR20210021503A/ko
Priority to KR1020220032801A priority Critical patent/KR20220038640A/ko
Application granted granted Critical
Publication of KR102377013B1 publication Critical patent/KR102377013B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 내의 높은 애스팩트 비 갭들에 유전체 산화물을 컨포멀하게 증착시키기 위한 방법 및 장치가 기재된다. 하나 이상의 갭들을 갖는 기판이 반응 챔버로 제공되며, 여기서, 각각의 갭은 약 5:1보다 큰 폭 대 깊이의 애스팩트 비를 갖는다. 제 1 유전체 산화물 층은 CFD에 의해 하나 이상의 갭들에 증착된다. 제 1 유전체 산화물 층의 일부는 플라즈마 에칭을 사용하여 에칭되며, 여기서, 제 1 유전체 산화물 층의 일부를 에칭하는 것은, 제 1 유전체 산화물 층이 각각의 갭의 상단 표면으로부터 저부 표면까지의 테이퍼링된 프로파일을 갖도록, 각각의 갭의 저부 표면 근처보다 상단 표면 근처에서 더 신속한 레이트로 발생한다. 제 2 유전체 산화물 층은 CFD를 통해 제 1 유전체 산화물 층 위의 하나 이상의 갭들에 증착된다.

Description

갭충진을 위한 컨포멀 막 증착{CONFORMAL FILM DEPOSITION FOR GAPFILL}
관련 출원들에 대한 상호-참조
본 출원은 발명의 명칭이 "CONFORMAL FILM DEPOSITION FOR GAPFILL" 으로 2012년 11월 8일자로 출원된 미국 가특허출원 제 61/724,186호의 이익을 주장하며, 그 가특허출원은 여기에 그 전체가 모든 목적들을 위해 참조로서 포함된다.
본 발명은 일반적으로, 높은 애스팩트 비 갭들과 같은 한정된 지오메트리의 구조들의 컨포멀 (conformal) 유전체 막의 형성에 관한 것이다. 더 상세하게, 본 발명은 갭 충진을 위한 매우 컨포멀한 유전체 박막에 관한 것이다.
유전체 막의 층들은, 미크론 미만의 (sub-micron) 집적 회로 (IC) 제조에 있어서 수 개의 애플리케이션들에서 사용된다. 몇몇 애플리케이션들은 얕은 트렌치 격리 (STI), 금속전 유전체 (pre-metal dielectric) (PMD), 금속간 (inter-metal) 유전체 (IMD) 및 층간 유전체 (ILD) 를 포함한다. 이들 층들 모두는, 다양한 사이즈들의 피쳐들을 충진하고 웨이퍼에 걸쳐 균일한 막 두께들을 갖는 실리콘 이산화물을 요구한다.
종래에, 화학 기상 증착 (CVD) 은 컨포멀한 실리콘 이산화물 막들을 증착시키기 위한 선택 방법이었다. 그러나, 설계 법칙들이 계속 줄어듦에 따라 피쳐들의 애스팩트 비들 (폭 대 깊이) 이 증가하고, 종래의 CVD 기술들은 이들 높은 애스팩트 비 피쳐들에서 적절히 컨포멀한 막들을 더 이상 제공할 수 없다. 부가적으로, 높은 애스팩트 비 피쳐들에 대한 몇몇 갭 충진 기술들은, 실리콘 이산화물 막들을 증착시키기 위해 스핀-온 (spin-on) 유전체 및/또는 흐름가능한 산화물 (flowable oxide) 을 사용할 수도 있지만, 그러한 막들은 적절한 품질 및 밀도를 갖지 않을 수도 있다.
컨포멀하게 증착된 산화물 막들은 CVD에 대한 대체물로서 기능할 수도 있고, 스핀-온 유전체 및 흐름가능한 산화물보다 훨씬 더 높은 밀도를 갖는 높은 애스팩트 비 갭들에 높은 품질의 막들을 증착시킬 수도 있다. 컨포멀 증착 기술들의 예들은 원자층 증착 (ALD) 을 포함한다. ALD가 컨포멀한 산화물 막들을 형성하는데 유용할 수 있지만, 종래의 ALD 프로세스들은 막들에서 형성되는 낮은 밀도의 영역들을 초래하는 경향이 있다. ALD 프로세스들의 컨포멀한 속성은, 갭들의 애스팩트 비들이 연속적인 사이클들에 따라 증가한다는 것을 의미한다. 확산 제한들은, 전구체 재료들이 이들 높은 애스팩트 비 갭들의 저부에 도달하는 것을 방지한다. 따라서, 갭의 상단은 저부보다 더 신속하게 실리콘 산화물로 충진될 수도 있으며, 갭으로의 전구체 재료들의 추가적인 확산을 방지한다. 그 결과로서, 낮은 밀도의 영역들이 형성된다. 이들 영역들은, 보이드들 및 시임 (seam) 들이 높은 애스팩트 비 갭들의 중간에 형성될 수도 있도록 확대될 수 있다. 보이드들 및 시임들은 높은 저항, 오염, 충진된 재료의 손실을 유도할 수도 있고, 그렇지 않으면 IC들의 성능을 열화시킨다. 최종적으로, 보이드들 및 시임들은 디바이스 고장 (failure) 을 야기할 수도 있다.
기판에서 높은 애스팩트 비 갭들에 유전체 산화물을 컨포멀하게 증착시키는 방법이 제공된다. 방법은, 반응 챔버에 하나 이상의 갭들을 갖는 기판을 제공하는 단계로서, 여기서, 각각의 갭은 약 5:1보다 큰 폭 대 깊이의 애스팩트 비를 갖는, 하나 이상의 갭들을 갖는 기판을 제공하는 단계; 컨포멀 막 증착 (CFD) 을 통해 하나 이상의 갭들에 제 1 유전체 산화물 층을 증착시키는 단계; 플라즈마 에칭을 사용하여 제 1 유전체 산화물 층의 일부를 에칭하는 단계로서, 여기서, 제 1 유전체 산화물 층의 일부를 에칭하는 단계는, 제 1 유전체 산화물 층이 각각의 갭의 상단 표면으로부터 저부 표면까지의 테이퍼링된 (tapered) 프로파일을 갖도록, 각각의 갭의 저부 표면 근처보다 상단 표면 근처에서 더 신속한 레이트로 발생하는, 제 1 유전체 산화물 층의 일부를 에칭하는 단계; 및 CFD를 통해 제 1 유전체 산화물 층 위의 하나 이상의 갭들에 제 2 유전체 산화물 층을 증착시키는 단계를 포함한다.
몇몇 실시형태들에서, 방법은, 하나 이상의 갭들을 실질적으로 충진시키기 위해, 증착, 에칭, 및 증착 단계들을 반복하는 단계를 더 포함할 수 있다. 몇몇 실시형태들에서, 제 2 유전체 산화물 층을 증착시키는 것은 하나 이상의 갭들을 실질적으로 충진시킬 수 있다. 몇몇 실시형태들에서, 방법은, 플라즈마-활성화된 에천트를 생성하기 위해 원격 플라즈마로 플루오르-함유 종들을 흐르게 하는 단계, 및 에칭을 수행하기 위해 제 1 유전체 산화물 층과 반응하도록 반응 챔버로 플라즈마-활성화된 에천트를 흐르게 하는 단계를 더 포함할 수 있다. 플루오르-함유 종들은 질소 트리플루오라이드 (NF3) 를 포함할 수 있다. 몇몇 실시형태들에서, 방법은 반응 챔버로 수소 (H2) 를 흐르게 하면서, 제 1 유전체 산화물 층의 일부를 에칭하는 단계를 포함할 수 있다. 플루오르-함유 종들 대 질소의 유동율 (flow rate) 비율은 약 1:1 내지 약 5:1 사이에 있을 수 있다. 몇몇 실시형태들에서, 제 1 유전체 산화물 층 및 제 2 유전체 산화물 층 각각은 실리콘 이산화물 (SiO2) 을 포함한다. 몇몇 실시형태들에서, CFD를 통해 하나 이상의 갭들에 제 1 유전체 산화물 층을 증착시키는 단계는: 제 1 반응물이 기판 표면 상으로 흡착되게 하는 조건들 하에서 반응 챔버로 증기 페이즈 (phase) 의 제 1 반응물을 도입하는 단계; 제 1 반응물이 기판 표면 상에 흡착되는 동안 반응 챔버로 증기 페이즈의 제 2 반응물을 도입하는 단계로서, 제 2 반응물은 반응 챔버로부터 제 1 반응물을 스윕 (sweep) 하지 않으면서 도입되는, 제 2 반응물을 도입하는 단계; 및 제 1 유전체 산화물 층을 형성하기 위해 기판 표면 상에 제 1 및 제 2 반응물들 사이의 반응을 일으키기 위해 플라즈마에 기판 표면을 노출시키는 단계를 포함할 수 있다.
기판에서 유전체 재료의 컨포멀하게 증착된 층을 에칭하는 방법이 제공된다. 방법은, 반응 챔버로 기판을 제공하는 단계로서, 여기서, 기판은 유전체 재료의 컨포멀 층을 따라 라이닝된 (lined) 갭을 포함하는, 반응 챔버로 기판을 제공하는 단계, 및 플루오르-함유 종들 및 수소를 포함하는 프로세스 가스로부터 생성된 플라즈마에 반응 챔버 내의 기판을 노출시키는 단계로서, 여기서, 플루오르-함유 종들 대 수소의 유동율 비율은 약 1:1 내지 약 5:1 사이에 있는, 반응 챔버 내의 기판을 노출시키는 단계를 포함한다.
몇몇 실시형태들에서, 플라즈마에 반응 챔버 내의 기판을 노출시키는 단계는, 유전체 재료의 컨포멀 층을 비-컨포멀하게 에칭하는 단계를 포함한다.
기판에서 높은 애스팩트 비 갭들에 유전체 산화물을 컨포멀하게 증착시키기 위한 장치가 제공된다. 장치는 반응 챔버 및 반응 챔버에 커플링된 플라즈마 소스를 포함한다. 장치는 또한 다음의 동작들: 반응 챔버로 기판을 제공하는 동작으로서, 여기서, 기판은 하나 이상의 갭들을 포함하고, 각각의 갭은 약 5:1보다 큰 깊이 대 폭의 애스팩트 비를 갖는, 반응 챔버로 기판을 제공하는 동작: 컨포멀 막 증착 (CFD) 을 통해 하나 이상의 갭들에 제 1 유전체 산화물 층을 증착시키는 동작: 플라즈마 에칭을 사용하여 제 1 유전체 산화물 층의 일부를 에칭하는 동작으로서, 여기서, 제 1 유전체 산화물 층의 일부를 에칭하는 동작은, 제 1 유전체 산화물 층이 각각의 갭의 상단 표면으로부터 저부 표면까지의 테이퍼링된 프로파일을 갖도록, 각각의 갭의 저부 표면 근처보다 상단 표면 근처에서 더 신속한 레이트로 발생하는, 제 1 유전체 산화물 층의 일부를 에칭하는 동작: 및 CFD를 통해 제 1 유전체 산화물 층 위의 하나 이상의 갭들에 제 2 유전체 산화물 층을 증착시키는 동작을 수행하기 위한 명령들을 포함하는 제어기를 포함한다.
몇몇 실시형태들에서, 제어기는, 하나 이상의 갭들을 실질적으로 충진시키기 위해, 증착, 에칭, 및 증착 단계들을 반복하기 위한 명령들을 더 포함할 수 있다. 몇몇 실시형태들에서, 제어기는, 하나 이상의 갭들을 실질적으로 충진시키기 위한 명령들을 포함하는 제 2 유전체 산화물 층을 증착시키기 위한 명령들을 포함한다. 몇몇 실시형태들에서, 제어기는, 반응 챔버로 수소 (H2) 를 흐르게 하면서, 제 1 유전체 산화물 층의 일부를 에칭하기 위한 명령들을 더 포함할 수 있다.
도 1은 컨포멀 막 증착 (CFD) 프로세스에서 예시적인 페이즈들의 시간적인 진행을 도시한다.
도 2a-2c는 종래의 컨포멀 막 증착 기술에 의한 컨포멀 막의 갭으로의 증착 동안 시임/보이드 형성을 도시한 개략적인 단면도들이다.
도 3은 CFD 산화물로 높은 애스팩트 비 갭들을 충진시키는 방법을 도시한 예시적인 흐름도를 도시한다.
도 4a-4d는 CFD 산화물로 높은 애스팩트 비 갭들을 충진시키는 프로세스의 상이한 스테이지들을 도시한 개략적인 단면도들이다.
도 5는 기판 내의 높은 애스팩트 비 갭들에 유전체 산화물을 컨포멀하게 증착시키는 방법을 도시한 예시적인 흐름도를 도시한다.
도 6a-6d는 H2/NF3의 유동율 비율들을 변경시킴에 따른 테이퍼링된 에지들을 갖는 CFD 산화물의 단면 이미지들이다.
도 7a는 2개의 증착 단계들 사이의 에칭없는 CFD 산화물 갭 충진의 단면 이미지이다.
도 7b는 2개의 증착 단계들 사이의 비-컨포멀 에칭 단계를 이용한 CFD 산화물 갭 충진의 단면 이미지이다.
도 8은 예시적인 CFD 프로세싱 스테이션을 도시한다.
도 9는 예시적인 HDP 프로세싱 스테이션을 도시한다.
도 10은 멀티-스테이션 프로세싱 툴의 개략도를 도시한다.
다음의 설명에서, 다수의 특정한 세부사항들은 제공된 개념들의 완전한 이해를 제공하기 위해 기재된다. 제공된 개념들은 이들 특정한 세부사항들 중 몇몇 또는 전부 없이도 실시될 수도 있다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 설명된 개념들을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않는다. 몇몇 개념들이 특정한 실시형태들과 함께 설명될 것이지만, 이들 실시형태들이 제한하도록 의도되지 않음을 이해할 것이다.
본 발명은 갭 충진을 위해 컨포멀 막들을 형성하는 방법들을 제공한다. 방법들은, 보이드들 및 시임들을 제거하기 위해 비-컨포멀 에칭 기술과 컨포멀 막 증착 기술들을 결합시킨다.
프로세스
특정한 실시형태들에서, 컨포멀 막 증착 (CFD) 은 산화물 막들을 증착시키는데 사용된다. CFD를 사용하여 막들을 형성하기 위한 방법들은, 2011년 4월 11일자로 출원된 미국 특허출원 제 13/084,399호에 설명되며, 그 특허출원은 모든 목적들을 위해 여기에 참조로서 포함된다. 맥락을 위해, CFD의 짧은 설명이 제공된다.
반도체 디바이스들의 제조는 통상적으로, 집적 제조 프로세스에서 비-평면 기판 상에 하나 이상의 박막들을 증착시키는 것을 수반한다. 집적 프로세스의 몇몇 양태들에서, 컨포멀 박막들을 증착시키는 것이 유용할 수도 있다. 컨포멀 막은, 원자층 증착 (ALD) 을 사용하여 비-평면 기판 상에 증착될 수 있다. 열적으로 활성화된 가스 페이즈 반응들이 막들을 증착시키는데 사용되는 CVD 프로세스와는 대조적으로, ALD 프로세스들은 층 단위 기반으로 (layer-by-layer basis) 막들을 증착시키기 위해 표면-매개된 (surface-mediated) 증착 반응들을 사용한다. 하나의 예시적인 ALD 프로세스에서, 표면 활성 사이트들을 포함하는 기판 표면은 제 1 반응물 (A) 의 가스 페이즈 분배에 노출된다. 반응물 A의 몇몇 분자들은 기판 표면 상단에 응결된 페이즈를 형성할 수도 있으며, 그 페이즈는 반응물 A의 화학흡착된 종들 및 물리흡착된 분자들을 포함한다. 그 후, 반응기는, 화학흡착된 종들만이 남아있도록 가스 페이즈 및 물리흡착된 반응물 A를 제거하기 위해 비워진다. 그 후, 제 2 막 반응물 (B) 은, 반응물 B의 몇몇 분자들이 기판 표면에 흡착되도록 반응기로 도입된다. 기판에 제공된 열 에너지는, 반응물들 A 및 B의 흡착된 분자들 사이의 표면 반응들을 활성화시켜서, 막 층을 형성한다. 최종적으로, 반응기는 반응 부산물들 및 미반응된 반응물 B를 제거하도록 비워지며, ALD 사이클을 종료한다. 부가적인 ALD 사이클들이 막 두께를 구축하기 위해 포함될 수도 있다. 반응물 A 및 B 사이의 반응을 일으키기 위해, 플라즈마 또는 다른 에너지 수단이 가열과 함께, 또는 기판을 가열시키기 위한 대체물들로서 사용될 수도 있다.
반응물 투약 (dosing) 단계들의 노출 시간 및 반응물들의 고착 계수 (sticking coefficient) 들에 의존하여, 각각의 ALD 사이클은 일 예에서, 1/2과 3 옹스트롱 사이의 두께의 막 층을 증착시킬 수도 있다. 따라서, ALD 프로세스들은 몇 나노미터 두께보다 더 많이 막들을 증착시킬 경우 시간 소모적일 수도 있다. 추가적으로, 몇몇 반응물들은 컨포멀 막을 증착시키는데 긴 노출 시간들을 가질 수도 있으며, 이는 웨이퍼 스루풋 시간을 또한 감소시킬 수도 있다.
따라서, 여기에 설명된 다양한 실시형태들은 갭 충진을 위해 산화물 막들을 증착시키기 위해 CFD를 포함한다. 일반적으로, CFD는 산화물 갭 충진을 형성하기 위한 반응 이전에 하나 이상의 반응물들의 완전한 퍼지 (purge) 들에 의존하지 않는다. 예를 들어, 플라즈마 (또는 다른 활성화 에너지) 가 발생될 경우 증기 페이즈로 존재하는 하나 이상의 반응물들이 존재할 수도 있다. 따라서, ALD 프로세스에서 설명된 프로세스 단계들 중 하나 이상이 예시적인 CFD 프로세스에서 단축되거나 제거될 수도 있다. 추가적으로, 몇몇 실시형태들에서, 증착 반응들의 플라즈마 활성화는 열적으로-활성화된 반응들보다 더 낮은 증착 온도들을 초래할 수도 있으며, 집적 프로세스의 열적 버짓 (budget) 을 잠재적으로 감소시킨다.
도 1은, 다양한 프로세스 파라미터들, 예를 들어, 비활성 가스 흐름, 반응물 A, 반응물 B 및 플라즈마가 발생되는 시간에 대한 CFD 프로세스 (100) 에서의 예시적인 페이즈들의 시간적인 진행을 도시한다. 도 1에서, 2개의 증착 사이클들 (110A 및 110B) 가 도시되어 있다. 당업자는, 임의의 적절한 수의 증착 사이클들이 원하는 막 두께를 증착시키기 위해 CFD 프로세스에 포함될 수도 있다. 예시적인 CFD 프로세스 파라미터들은, 비활성 및 반응성 종들에 대한 유동율들, 플라즈마 전력 및 주파수, 기판 온도, 및 프로세스 스테이션 압력을 포함하지만 이에 제한되지 않는다.
CFD "사이클" 의 개념은 여기에서의 다양한 실시형태들의 설명과 관련있다. 일반적으로, 사이클은 일 시간에 표면 증착 반응을 수행하는데 요구되는 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상에서의 적어도 부분적인 막 층의 생성이다. 통상적으로, CFD 사이클은, 기판 표면에 각각의 반응물을 전달하고 흡착시키며, 그 후, 흡착된 반응물들을 반응시켜 막의 부분적인 층을 형성하는데 필요한 그 단계들만을 포함할 것이다. 물론, 사이클은, 반응물들 또는 부산물들 중 하나를 스윕하고 및/또는 증착된 바와 같은 부분적인 막을 처리하는 것과 같은 특정한 부수적인 단계들을 포함할 수도 있다. 일반적으로, 사이클은 동작의 고유한 시퀀스의 일 예시만을 포함한다. 일 예로서, 사이클은 다음의 동작들: (i) 반응물 A의 전달/흡착, (ii) 반응물 B의 전달/흡착, (iii) 반응 챔버로부터 B를 스윕, 및 (iv) 표면 상에 부분적인 막 층을 형성하기 위해 A 및 B의 표면 반응을 일으키도록 플라즈마를 적용하는 것을 포함할 수도 있다.
도 1을 참조하면, 비활성 가스가 프로세스 (100) 의 모든 페이즈들 동안 흐른다. 반응물 A 노출 페이즈 (120A) 에서, 반응물 A는 기판의 노출된 표면들을 포화시키기 위해 프로세스 스테이션에 제어된 유동율로 공급된다. 반응물 A는 임의의 적절한 증착 반응물, 예를 들어, 질소-함유 또는 산소-함유 반응물일 수도 있다. 도 1에 도시된 실시형태에서, 반응물 A는 증착 사이클들 (110A 및 110B) 전반에 걸쳐 연속적으로 흐른다. 막 전구체 (반응물) 노출들이 가스 페이즈 반응물을 방지하기 위해 분리되는 통상적인 ALD 프로세스와는 달리, 반응물들 A 및 B는 CFD 프로세스의 몇몇 실시형태들의 가스 페이즈에서 섞이도록 허용될 수도 있다. 프로세스 스테이션에 반응물 A를 연속적으로 공급하는 것은, 반응물 A가 먼저 턴 온되고, 그 후 안정화되고 기판에 노출되고, 그 후, 턴 오프되며, 최종적으로 반응기로부터 제거되는 ALD 프로세스와 비교하여 반응물 A 유동율 턴-온 (turn-on) 및 안정화 시간을 감소 또는 제거할 수도 있다. 도 1에 도시된 실시형태가 일정한 유동율을 갖는 것으로 반응물 A 노출 페이즈 (120A) 를 도시하지만, 가변 흐름을 포함하는 반응물 A의 임의의 적절한 흐름이 본 발명의 범위 내에서 이용될 수도 있음을 인식할 것이다. 몇몇 실시형태들에서, 반응물 A 노출 페이즈 (120A) 는 반응물 A에 대한 기판 표면 포화 시간을 초과하는 지속기간을 가질 수도 있다. 예를 들어, 도 1의 실시형태는 반응물 A 노출 페이즈 (120A) 에서 반응물 A 사후-포화 (post-saturation) 노출 시간 (130) 을 포함한다. 선택적으로, 반응물 A 노출 페이즈 (120A) 는 비활성 가스의 제어된 유동율을 포함할 수도 있다. 예시적인 비활성 가스들은 질소, 아르곤, 및 헬륨을 포함하지만 이에 제한되지는 않는다. 비활성 가스는, 프로세스 스테이션의 압력 및/또는 온도 제어, 액체 반응물의 증발, 반응물의 더 신속한 전달을 보조하도록 제공될 수도 있고, 및/또는 프로세스 스테이션 및/또는 프로세스 스테이션 배관으로부터 프로세스 가스들을 제거하기 위한 스윕 가스로서 제공될 수도 있다.
도 1에 도시된 실시형태의 반응물 B 노출 페이즈 (140A) 에서, 반응물 B는 노출된 기판 표면을 포화시키기 위해 프로세스 스테이션에 제어된 유동율로 공급된다. 실리콘 이산화물 막의 일 예에서, 반응물 B는 비스(테트-부틸아미노)실란 (BTBAS) 와 같은 실리콘-함유 반응물일 수도 있다. 도 1의 실시형태가 일정한 유동율을 갖는 것으로서 반응물 B 노출 페이즈 (140A) 를 도시하지만, 가변 흐름을 포함하는 반응물 B의 임의의 적절한 흐름이 본 발명의 범위 내에서 이용될 수도 있음을 인식할 것이다. 추가적으로, 반응물 B 노출 페이즈 (140A) 가 임의의 적절한 지속기간을 가질 수도 있음을 인식할 것이다. 몇몇 실시형태들에서, 반응물 B 노출 페이즈 (140A) 는 반응물 B에 대한 기판 표면 포화 시간을 초과하는 지속기간을 가질 수도 있다. 예를 들어, 도 1에 도시된 실시형태는 반응물 B 노출 페이즈 (140A) 에 포함된 반응물 B 사후-포화 노출 시간 (150) 을 도시한다.
몇몇 실시형태들에서, 표면 흡착된 B 종들은 기판 표면 상에 불연속적인 아일랜드 (island) 들로서 존재할 수도 있어서, 반응물 B의 표면 포화를 달성하기 어렵게 한다. 다양한 표면 조건들은 기판 표면 상에서 반응물 B의 핵형성 및 포화를 지연시킬 수도 있다. 예를 들어, 반응물들 A 및/또는 B의 흡착 시에 릴리즈되는 리간드들은 몇몇 표면 활성 사이트들을 차단할 수도 있으며, 반응물 B의 추가적인 흡착을 방해한다. 따라서, 몇몇 실시형태들에서, 반응물 B의 연속적인 흡착층 (adlayer) 들은, 반응물 B 노출 페이즈 (140A) 동안 프로세스 스테이션으로의 반응물 B의 흐름을 조절하고 및/또는 반응물 B를 별개로 펄싱함으로써 제공될 수도 있다. 이것은, 표면 흡착 및 탈착 프로세스들에 대한 여분의 시간을 제공하면서, 일정한 흐름 시나리오와 비교하여 반응물 B를 보존할 수도 있다. 부가적으로 또는 대안적으로, 몇몇 실시형태들에서, 하나 이상의 스윕 페이즈들은 반응물 B의 연속적인 노출들 사이에 포함될 수도 있다.
플라즈마의 활성화 이전에, 몇몇 실시형태들에서, 가스 페이즈 반응물 B는 스윕 페이즈 (160A) 에서 프로세스 스테이션으로부터 제거될 수도 있다. 프로세스 스테이션을 스윕하는 것은, 반응물 B가 플라즈마 활성화에 대해 안정되지 않거나 원치않는 종들이 형성될 수도 있는 가스 페이즈 반응들을 회피할 수도 있다. 추가적으로, 프로세스 스테이션을 스윕하는 것은, 남아있거나 막을 오염시킬 수도 있는 표면 흡착된 리간드들을 제거할 수도 있다. 예시적인 스윕 가스들은 아르곤, 헬륨, 및 질소를 포함할 수도 있지만 이에 제한되지는 않는다. 도 1에 도시된 실시형태에서, 스윕 페이즈 (160A) 에 대한 스윕 가스는 연속적인 비활성 가스 스트림에 의해 공급된다. 몇몇 실시형태들에서, 스윕 페이즈 (160A) 는 프로세스 스테이션을 비우기 위한 하나 이상의 비움 서브페이즈들을 포함할 수도 있다. 대안적으로, 스윕 페이즈 (160A) 가 몇몇 실시형태들에서 생략될 수도 있음을 인식할 것이다.
스윕 페이즈 (160A) 는 임의의 적절한 지속기간을 가질 수도 있다. 몇몇 실시형태들에서, 하나 이상의 스윕 가스들의 유동율을 증가시키는 것은 스윕 페이즈 (160A) 의 지속기간을 감소시킬 수도 있다. 예를 들어, 스윕 가스 유동율은, 스윕 페이즈 (160A) 의 지속기간을 변경시키기 위한 프로세스 스테이션 및/또는 프로세스 스테이션 배관의 다양한 반응물 열역학적 특징들 및/또는 지오메트릭 특징들에 따라 조정될 수도 있다. 하나의 비-제한적인 예에서, 스윕 페이즈의 지속기간은 스윕 가스 유동율의 조정에 의해 최적화될 수도 있다. 이것은, 기판 스루풋을 개선시킬 수도 있는 증착 사이클 시간을 감소시킬 수도 있다.
도 1에 도시된 실시형태의 활성화 페이즈 (180A) 에서, 표면 흡착된 반응물들 A 및 B 사이에서 표면 반응들을 활성화시키기 위해 에너지가 제공된다. 예를 들어, 플라즈마는 반응물 A 라디컬들을 형성하기 위해 반응물 A의 가스 페이즈 분자들을 직접적으로 또는 간접적으로 활성화시킬 수도 있다. 그 후, 이들 라디컬들은 표면 흡착된 반응물 B와 상호작용을 할 수도 있으며, 막-형성 표면 반응들을 초래한다. 다른 예에서, 자외선 (UV) 방사가 반응물 A 라디컬들을 형성하기 위해 반응물 A의 가스 페이즈 분자들을 직접적으로 또는 간접적으로 활성화시킬 수도 있으며, 반응물 A는 표면 흡착된 반응물 B와 상호작용할 수도 있다.
다양한 실시형태들에 따르면, 활성화 페이즈 (180A) 는 직접적인 (인시츄) 플라즈마, 원격 플라즈마, UV 방사 노출, 가시광 방사 노출 및 마이크로파 방사 노출 중 하나 이상을 포함할 수 있다. 활성화 페이즈 (180A) 는 증착 사이클 (110A) 을 종결하며, 도 1의 실시형태에서, 증착 사이클 (110A) 에 증착 사이클 (110B) 이 후속하여, 반응물 A 노출 페이즈 (120B) 로 시작하고, B 노출 페이즈 (140B), 스윕 페이즈 (160B) 및 플라즈마 활성화 페이즈 (180B) 로 계속된다.
몇몇 실시형태들에서, 활성화 페이즈 (180A) 에서 형성된 플라즈마는 기판 표면 위에 직접 형성될 수도 있다. 이것은, 더 큰 플라즈마 밀도를 제공할 수도 있으며, 반응물들 A 및 B 사이의 표면 반응 레이트를 향상시킬 수도 있다. 예를 들어, CFD 프로세스들에 대한 플라즈마들은, 2개의 용량성 커플링된 플레이트들을 사용하여 무선 주파수 (RF) 필드를 낮은-압력 가스에 적용함으로써 생성될 수도 있다. 임의의 적절한 가스가 플라즈마를 형성하기 위해 사용될 수도 있다. 이러한 예에서, 아르곤 또는 헬륨과 같은 비활성 가스는 플라즈마를 형성하기 위해 반응물 A, 예를 들어, 질소-함유 또는 산소-함유 반응물과 함께 사용될 수 있다. RF 필드에 의한 플레이트들 사이의 가스의 이온화는 플라즈마를 점화시키며, 플라즈마 방전 영역에서 자유 전자들을 생성한다. 이들 전자들은 RF 필드에 의해 가속되며, 가스 페이즈 반응물 분자들과 충돌할 수도 있다. 반응물 분자들과 이들 전자들의 충돌은, 증착 프로세스에 참가하는 라디컬 종들을 형성할 수도 있다. RF 필드가 임의의 적절한 전극들을 통해 커플링될 수도 있음을 인식할 것이다. 전극들의 비-제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. CFD 프로세스들에 대한 플라즈마들이 가스로의 RF 필드의 용량성 커플링 이외의 하나 이상의 적절한 방법들에 의해 형성될 수도 있음을 인식할 것이다.
몇몇 실시형태들에서, 활성화 페이즈 (180A) 에서 형성된 플라즈마는 원격 플라즈마 소스에 의해 형성될 수도 있다. 몇몇 실시형태들에서, 원격 플라즈마 소스로부터의 활성화된 종들은 기판을 하우징하는 챔버에 진입하고 반응물들과 상호작용할 수 있다. 몇몇 실시형태들에서, 이들 활성화된 종들은 이온들, 전자들, 라디컬들 및 높은 에너지 분자들을 포함한다. 몇몇 실시형태들에서, 챔버에 진입하는 활성화된 종들은, 챔버에 진입하기 전에 재결합으로 인해 실질적으로 이온 및/또는 전자들이 없는 라디컬들을 포함한다. 몇몇 실시형태들에서, 이온 필터가 사용될 수 있다. 활성화 종들을 제공하는 원격 플라즈마 소스로 피드될 수도 있는 가스들의 예들은 아르곤, 헬륨, 암모니아, 수소 및 산소를 포함한다.
몇몇 실시형태들에서, 활성화 페이즈 (180A) 는 UV 광 소스로부터의 방사에 대한 노출을 수반할 수 있다. 광대역 및 협소 대역 UV 광 소스들을 포함하는 임의의 적절한 UV 광 소스가 사용될 수 있다. 예를 들어, 증착 프로세스에 참가하는 라디컬 종들은 UV 방사에 대한 노출에 의해 형성될 수도 있다. 몇몇 실시형태들에서, UV 광 소스는 하나 이상의 반응물들을 여기시키거나 반응물을 활성화시키도록 선택된 하나 이상의 파장들의 광을 방출할 수도 있다. 몇몇 실시형태들에서, 반응물이 반응 챔버에 있을 경우, UV 노출이 발생할 수도 있다. 예를 들어, UV 광 소스는 챔버 내에 또는 외부에 탑재될 수도 있다. UV 방사는 반응물(들)에 도달하기 위해 윈도우를 통과할 수도 있다. 몇몇 다른 실시형태들에서, UV 노출은 반응물이 챔버에 진입하기 전에 발생할 수 있다. 예를 들어, 반응물은 챔버로 삽입되기 전에 UV 방사에 노출될 수 있으며, 라디컬들 및/또는 다른 활성화된 종들이 챔버에 진입한다. 이들 실시형태들에서, 활성화 페이즈 (180A) 는 반응물 노출 페이즈와 동시일 수 있거나 중첩할 수 있다.
몇몇 실시형태들에서, 활성화 페이즈 (180A) 는 가시광 소스로부터의 방사에 대한 노출을 수반할 수 있다. 예를 들어, 증착 프로세스에 참가하는 라디컬 종들이 형성될 수도 있다. 몇몇 실시형태들에서, 가시광 소스는 하나 이상의 반응물들을 여기시키거나 반응을 활성화시키도록 선택된 하나 이상의 파장들의 광을 방출할 수도 있다. 몇몇 실시형태들에서, 반응물이 반응 챔버에 있을 경우, 가시광 노출이 발생할 수도 있다. 예를 들어, 광 소스는 챔버 내부 또는 외부에 탑재될 수도 있다. 가시광은 반응물(들)에 도달하기 위해 윈도우를 통과할 수도 있다. 몇몇 다른 실시형태들에서, 반응물을 여기시키는 가시광에 대한 노출은 반응물이 챔버에 진입하기 전에 발생할 수 있다. 예를 들어, 반응물은 챔버로 삽입되기 전에 방사에 노출될 수 있으며, 라디컬들 및/또는 다른 활성화된 종들이 챔버에 진입한다. 이들 실시형태들에서, 활성화 페이즈 (180A) 는 반응물 노출 페이즈와 동시일 수 있거나 중첩할 수 있다.
몇몇 실시형태들에서, 활성화 페이즈 (180A) 는 마이크로파 방사에 대한 노출을 수반할 수 있다. 예를 들어, 증착 프로세스에 참가하는 라디컬 종들이 형성될 수도 있다. 몇몇 실시형태들에서, 마이크로파 소스는 하나 이상의 반응물들을 여기시키거나 반응을 활성화시키도록 선택된 하나 이상의 주파수들로 광을 방출할 수도 있다. 몇몇 실시형태들에서, 반응물이 반응 챔버에 있을 경우, 마이크로파 노출이 발생할 수도 있다. 예를 들어, 마이크로파 소스는 챔버 내부 또는 외부에 탑재될 수도 있다. 마이크로파들은 반응물(들)에 도달하기 위해 윈도우를 통과할 수도 있다. 몇몇 다른 실시형태들에서, 반응물을 여기시키는 마이크로파들에 대한 노출은 반응물이 챔버에 진입하기 전에 발생할 수 있다. 예를 들어, 반응물은 챔버로 삽입되기 전에 방사에 노출될 수 있으며, 라디컬들 및/또는 다른 활성화된 종들이 챔버에 진입한다. 이들 실시형태들에서, 활성화 페이즈 (180A) 는 반응물 노출 페이즈와 동시일 수 있거나 중첩할 수 있다.
몇몇 실시형태들에서, 활성화 페이즈 (180A) 는 상술된 활성화 모드들 중 하나 이상을 수반할 수도 있다. 활성화 페이즈 (180A) 는 임의의 적절한 지속기간을 가질 수도 있다. 몇몇 실시형태들에서, 활성화 페이즈 (180A) 는, 기판 표면 상단에 연속적인 막을 형성하는, 모든 노출된 기판 표면들 및 흡착물들과 상호작용하기 위한 활성화된 라디컬들에 대한 시간을 초과하는 지속기간을 가질 수도 있다. 예를 들어, 도 1에 도시된 실시형태는 활성화 페이즈 (180A) 에 사후-포화 노출 시간 (190) 을 포함한다.
몇몇 실시형태들에서, 활성화 에너지 노출 시간을 연장시키는 것 및/또는 복수의 노출 페이즈들을 제공하는 것은, 증착된 막의 벌크 및/또는 표면-근방 부분들의 사후-반응 처리를 제공할 수도 있다. 일 실시형태에서, 표면 오염을 감소시키는 것은, 반응물 A의 흡착을 위해 표면을 준비할 수도 있다. 예를 들어, 실리콘-함유 반응물 및 질소-함유 반응물의 반응으로부터 형성된 실리콘 질화물 막은, 후속 반응물들의 흡착에 저항할 수도 있는 표면을 가질 수도 있다. 플라즈마 또는 다른 활성화 에너지로 실리콘 질화물 표면을 처리하는 것은, 후속 흡착 및 반응 이벤트들을 용이하게 하기 위한 수소 결합들을 생성할 수도 있다. 플라즈마 처리들에 부가하여, 그러한 처리들은 전자기 방사 처리들, 열적 처리들 (예를 들어, 어닐링 또는 높은 온도 펄스들) 등을 포함한다. 이들 처리들 중 임의의 처리는 단독으로 또는 플라즈마 처리를 포함하는 다른 처리와 결합하여 수행될 수도 있다. 특정한 실시형태에서, 처리는 UV 방사에 막을 노출시키는 것을 수반한다. 후술되는 바와 같이, 특정한 실시형태에서, 방법은, 인시츄로 (즉, 막의 형성 동안) 막으로의 UV 방사의 적용 또는 막의 사후 증착을 수반한다. 그러한 처리는, 결함있는 구조를 감소 또는 제거하고 개선된 전기 성능을 제공하도록 기능한다.
임의의 특정한 실시형태들에서, UV 처리는 플라즈마 처리와 커플링될 수 있다. 이들 2개의 동작들은 동시에 또는 순차적으로 수행될 수 있다. 순차적인 옵션에서, 어느 하나의 동작이 먼저 발생할 수 있다. 동시 옵션에서, 2개의 처리들이 별개의 소스들 (예를 들어, 플라즈마에 대한 RF 전력 소스 및 UV에 대한 램프) 로부터 또는 부산물로서 UV 방사를 생성하는 헬륨 플라즈마와 같은 단일 소스로부터 제공될 수도 있다.
몇몇 실시형태들에서, 막 스트레스, 유전 상수, 굴절률, 에칭 레이트와 같은 막 속성들은, 플라즈마 또는 다른 활성화 에너지 파라미터들을 변경함으로써 조정될 수도 있다.
여기에 설명된 많은 예들이 2개의 반응물들 (A 및 B) 을 포함하지만, 임의의 적절한 수의 반응물들이 본 발명의 범위 내에서 이용될 수도 있음을 인식할 것이다. 몇몇 실시형태들에서, 표면 반응을 위해 플라즈마 에너지를 공급하는데 사용되는 단일 반응물 및 비활성 가스가 사용될 수 있다. 대안적으로, 몇몇 실시형태들은 막을 증착시키기 위해 다수의 반응물들을 사용할 수도 있다. 예를 들어, 몇몇 실시형태들에서, 실리콘 질화물 막은, 질소-함유 반응물 중 하나 이상과 실리콘-함유 반응물, 또는 하나 이상의 실리콘-함유 반응물들 및 단일 질소-함유 반응물, 또는 실리콘-함유 반응물 및 질소-함유 반응물 양자 중 하나 초과의 반응에 의해 형성될 수도 있다.
여기에 기재된 특정한 실시형태들은 OFD 산화물 막들에 관한 것이다. 그러한 처리로부터 이익을 얻을 수 있는 CFD 산화물 막들을 이용하는 디바이스 및 패키지 애플리케이션들은, 얕은 트렌치 격리 (STI) 구조들의 트렌치 충진을 포함하는 구조들의 갭 충진을 포함한다. 후술되는 다양한 실시형태들이 갭 충진 애플리케이션에 관한 것이지만, 이것이 단지 비-제한적인 예시적인 애플리케이션일 뿐이며, 다른 적절한 막 재료들을 이용하는 다른 적절한 애플리케이션들이 본 발명의 범위 내에 있을 수도 있음을 인식할 것이다. 추가적으로, 여기에 설명된 특정한 실시형태들이 ALD 프로세스들을 포함함을 또한 인식할 것이다. 예를 들어, 여기에 설명된 실시형태들은 추가적으로 후술되는 바와 같이, ALD 후속하여 에칭 동작에 의한 갭에서의 증착을 포함한다.
도 2a-2c는, 종래의 컨포멀 막 증착 기술에 의한 트렌치에서의 컨포멀 막의 증착 동안 시임/보이드 형성을 도시하는 개략적인 단면도들이다. 예를 들어, 도 2a는 충진되기 전에 기판 (202) 에 형성되는 트렌치와 같은 예시적인 높은 애스팩트 비 구조 (201) 를 도시한다. 트렌치를 충진하기 위해, 컨포멀하게 증착된 산화물과 같은 유전체 막은 종래의 CFD 기술에 의해 트렌치의 벽들을 따라 증착된다. 도 2b는 다수의 사이클들 이후의 트렌치를 도시한다. 유전체 막 (203) 이 서로를 향한 각각의 측면 진출에 따라 막의 전면들 및 트렌치를 충진시킬 경우, 트렌치 폭 (205) 은 점차 협소하게 된다. 트렌치 폭이 협소해짐에 따라, 반응물들은 트렌치의 저부로 확산하기 위해 더 많은 시간을 요구한다. 확산 제한은 트렌치의 저부에서의 반응의 레이트를 느리게 하여, 유전체 막 (203) 이 트렌치의 상단 근처에서 더 신속하게 형성되게 한다. 이것은, 트렌치의 바닥에서 낮은 밀도의 영역을 초래한다. 도 2c는 최종 CFD 사이클 이후의 트렌치를 도시하며, 여기서, 보이드 (207) 가 형성된다. 보이드 (207) 는 키홀 (keyhole) 보이드를 형성하기 위해 트렌치의 저부를 향해 넓어진다. 키홀 보이드들은, 후속 프로세스들에서 재-개방되고 도전성 막들로 충진되며, 이는 디바이스 숏팅 (shoring) 을 유도할 수도 있다.
충진 재료에서 보이드들 및 시임들의 존재를 감소 또는 제거하기 위해, 상이한 타입들의 에칭들이 다수의 연속적인 증착 사이클들 사이에 적용될 수 있다. 에칭 케미스트리 (chemistry) 들은 제논 디플루오라이드 (XeF2), 분자 플루오르 (F2), 및 질소 플루오라이드 (NF3) 를 포함하는 플루오르-함유 케미스트리들을 포함할 수 있다. 다른 에칭 케미스트리들은 테트라플루오르메탄 (CF4), 테트라플루오르에틸렌 (C2F4), 헥사플루오르에탄 (C2F6), 옥타플루오르프로판 (C3F8), 및 술퍼 헥사플루오라이드 (SF6) 를 포함할 수도 있다. 몇몇 구현들에서, 활성 플루오르-함유 종들은 수소 브롬화물 (HBr) 및/또는 메탄 (메탄) 과 같은 다른 종들과 결합하여 도입될 수 있다. 특정한 실시형태들에서, 에칭은 HDP 에칭과 같은 플라즈마 에칭일 수도 있다. 플라즈마 에칭의 다른 형태들은 용량성-커플링된 플라즈마 (CCP) 및 유도성-커플링된 플라즈마 (ICP) 를 포함할 수 있지만 이에 제한되지 않는다. 플라즈마는 원격으로 또는 챔버 내에서 생성될 수도 있다. 몇몇 실시형태들에서, NF3는 원격 플라즈마 생성기로 피드된다. 원자형 플루오르를 포함하는 활성화된 종들은 원격 플라즈마 생성기 내에서 생성되고, 화학적 에칭을 위해 챔버로 흐른다. 더 추가적으로, 다른 케미스트리들은 염소-기반일 수도 있다.
테이퍼링된 에칭들은 갭 충진의 선택적인 제거를 달성할 수 있어서, 높은 애스팩트 비 갭의 내부보다 개구 근처에서 더 많은 증착된 재료가 제거될 수도 있다. CVD-증착된 텅스텐 (W) 을 갖는 높은 애스팩트 비 갭들의 예시들에서, 테이퍼링된 에칭은, 높은 운동 레이트 (예를 들어, 높은 온도) 를 유지하고 높은 애스팩트 비 갭으로의 그의 경로를 따라 에천트를 소비하면서 매우 작은 에천트의 NF3를 프로세싱 챔버에 공급함으로써 (예를 들어, 캐비티 프로파일 및 치수들에 관해 낮은 에천트 유동율의 사용) 달성될 수 있다. 따라서, 갭 내부의 에천트의 농도는 갭으로 그의 경로를 따라 소비되는 에천트로 인해 개구 근처에서보다 더 낮다. 그러나, 높은 애스팩트 비 갭들에서 CFD 산화물들에 대한 전술된 방법에 의한 테이퍼링된 에칭의 사용은 컨포멀한 에칭 프로파일을 나타낸다. 심지어 낮은 온도 (예를 들어, 약 50℃) 및 높은 온도 (예를 들어, 약 250℃) 레지메 (regime) 들 하에서도, 에칭 프로파일은 여전히 실질적으로 컨포멀하다.
화학적 에칭에 부가하여, 상단 코너들의 제거는 스퍼터 에칭에 의해 달성될 수 있다. 높은 밀도 플라즈마 (HDP) 에서 사용되는 것과 같은 스퍼터 에칭에서, 코너들은 높은 애스팩트 비 갭들의 측벽들을 따라 짧은 거리들에 걸쳐 제거될 수 있다. 그러나, 그러한 에칭들은 갭 충진을 방해하는 재증착 첨단 (cusp) 들을 초래할 수 있다.
추가적으로, 반응성 이온 에칭 (RIE) 은 높은 애스팩트 비 갭들에서 CFD 산화물을 테이퍼링하는데 사용될 수 있지만, 그러한 에칭 프로세스들은 복잡할 수 있다. 몇몇 실시형태들에서, RIE 에칭은, 별개의 단계에서 후속하여 세정되어야 하는 폴리머에 의존할 수도 있으며, 그 세정은 습식 케미스트리를 요구할 수도 있다.
도 3은 CFD 산화물을 갖는 높은 애스팩트 비 갭들을 충진하는 방법을 도시한 예시적인 흐름도를 도시한다. 도 4a-4d는 CFD 산화물로 높은 애스팩트 비 갭들을 충진시키는 프로세스의 상이한 스테이지들을 도시하는 개략적인 단면도들이다. 도 3의 블록들 (310, 320, 330, 및 340) 각각은, 도 4a, 도 4b, 도 4c, 및 도 4d의 개략도들에 각각 대응할 수도 있다.
프로세스 (300) 는 블록 (310) 에서 시작할 수 있으며, 여기서, 기판은 높은 애스팩트 비 갭들을 제공받는다. 도 4a는 높은 애스팩트 비 갭을 갖는 기판의 일 예를 도시한다. 기판은 IC들의 제조에서 사용되는 바와 같은 반도체 기판일 수 있다. 갭 폭은 다양한 실시형태들에 따라 변할 수 있으며, 그 폭은 약 10Å 으로부터 약 10미크론, 또는 더 특히 약 100Å 으로부터 약 1미크론까지의 범위에 있을 수도 있다. 예시적인 폭 대 깊이의 애스팩트 비들은 약 2:1 내지 30:1, 2:1 내지 10:1, 또는 5:1 내지 10:1 사이에 있을 수 있다. 몇몇 구현들에서, CFD 산화물을 증착시키기 전에, 실리콘 질화물 (SiN) 의 박막들과 같은 후면충진된 (backfilled) 구조들 (미도시) 이 갭에 증착될 수 있다.
프로세스 (300) 는 블록 (320) 에서 계속되며, 여기서, CFD 산화물은 높은 애스팩트 비 갭에 증착되고, 이는 도 4b의 예에 도시될 수 있다. CFD 산화물은 여기서, 도 1에 관해 상술된 바와 같은 CFD 기술들을 사용하여 증착될 수 있다. 몇몇 실시형태들에서, CFD 산화물은 CFD 실리콘 이산화물일 수 있다. CFD 산화물의 두께는 특정한 실시형태들에 따라 변할 수 있으며, 그 두께는 약 100Å 내지 약 500Å 사이와 같은 약 10Å 내지 약 1000Å 사이의 범위에 있을 수도 있다.
CFD 실리콘 이산화물 막과 같은 CFD 산화물을 증착시키기 위해, 기판 표면은 실리콘-함유 전구체에 노출될 수도 있다. 임의의 적절한 실리콘-함유 전구체가 사용될 수도 있으며, 예를 들어, 실란, 할로실란, 아미노실란, 및 그들의 혼합물들로 이루어진 그룹으로부터 선택될 수도 있다. 일 실시형태에서, 실리콘-함유 전구체는 클로로실란과 같은 할로실란이다. 몇몇 실시형태들에서, 실리콘-함유 전구체는, 트리(디메틸아미노)실란 (TDMAS) 또는 비스(3차-부틸아미노)실란 (BTBAS)와 같은 아미노실란이다.
다른 가스들이 실리콘-함유 전구체와 반응하도록 도입될 수도 있다. CFD 실리콘 이산화물 막을 증착시키기 위해, 그러한 가스들은 산호 소스를 포함할 수 있다. 산소 소스들의 예들은 O2, O3, H2O2, NO2, N2O3, N2O5, 또는 HNO3를 포함한다. 산소 소스는 산소 플라즈마일 수도 있다. 캐리어 가스가 또한 사용될 수도 있다. 통상적으로, 캐리어 가스는 비활성 가스이다.
임의의 적절한 수의 증착 사이클들은 원하는 두께를 달성하기 위해 사용될 수도 있다. CFD 실리콘 이산화물을 증착시키기 위한 적절한 프로세스 조건들은, 비활성 및 반응물 종들에 대한 유동율들, 플라즈마 전력 및 주파수, 기판 온도, 및 압력을 포함하지만 이에 제한되지 않는 CFD 파라미터들을 튜닝함으로써 달성될 수도 있다.
프로세스 (300) 는 블록 (330) 에서 계속되며, 여기서, 비-컨포멀 에칭이 CFD 산화물 상에서 수행된다. 도 4c는 갭의 상단 주변에 테이퍼를 생성하는 CFD 산화물 상에서의 플루오르-기반 비-컨포멀 에칭의 일 예를 도시한다. 테이퍼링된 에칭은, 갭의 저부 내부 및 근처의 CFD 산화물 재료보다 갭의 상단 근처의 CFD 산화물 재료를 선택적으로 더 많이 제거한다.
이러한 설명의 목적들을 위해, "개구 근처" 또는 "갭의 상단 근처" 는, 필드 영역으로부터 측정된 피쳐 깊이의 약 0 내지 10% 사이에 대응하는 (즉, 피쳐의 측벽을 따른) 피쳐 내부의 대략적인 위치 또는 영역으로서 정의된다. 특정한 실시형태들에서, 개구 근처 또는 갭의 상단 근처의 영역은 갭의 개구 또는 상단의 영역에 대응한다. 추가적으로, "피쳐 내부" 또는 "갭의 내부 및 저부 근처" 는, 피쳐의 상단 상의 필드 영역으로부터의 피쳐 깊이의 약 20 내지 60% 사이에 대응하는 피쳐 내의 대략적인 위치 또는 영역으로서 정의된다. 통상적으로, 특정한 파라미터들 (예를 들어, 두께들) 에 대한 값들이 "개구 근처" 또는 "피쳐 내부" 로 특정된 경우, 이들 값들은 이들 위치들/영역들 내에서 취해진 측정치 또는 다수의 측정치들의 평균을 나타낸다.
특정한 실시형태들에서, 플루오르-기반 에천트는 NF3이다. NF3 에천트는 활성화된 종들 (예를 들어, 라디컬들, 이온들, 및/또는 높은 에너지 분자들) 을 제공하기 위하여 플라즈마 활성화를 통해 챔버로 도입될 수도 있다. 그러나, NF3 에천트는 챔버 내에 실질적으로 이온들이 없는 라디컬-기반 에칭일 수 있다.
에천트의 유동율은 통상적으로, 챔버의 사이즈, 에칭 레이트들, 에칭 균일도, 및 다른 파라미터들에 의존한다. 갭의 저부 근처보다 갭의 개구 근처에서 더 많은 CFD 산화물 재료를 제거하기 위한 그러한 방식으로 유동율이 또한 선택된다. 몇몇 실시형태들에서, NF3와 같은 플루오르-함유 반응물의 유동율은 약 2,000sccm 미만, 약 1,000sccm 미만, 또는 약 100sccm 과 1,000sccm 사이에 있다. (유동율들이 300mm 웨이퍼들에 대해 제공되지만, 그들은 웨이퍼 영역을 따라 선형적으로 스케일될 수도 있음).
플루오르-기반 비-컨포멀 에칭에서의 테이퍼링의 정도는 가변양들의 수소 (H2) 를 흐르게 함으로써 튜닝될 수 있다. 몇몇 실시형태들에서, NF3 플라즈마 에칭은 H2 흐름을 조정함으로써 테이퍼링의 정도를 튜닝할 수 있다. H2 흐름을 도입하는 것은, NF3 플라즈마 에칭으로부터 등방성으로 에칭한 F 라디컬들을 포착 (capture) 할 수 있다. 즉, 갭의 개구 근처에서 소비되는 것보다 더 많은 에천트가 갭으로의 그의 경로를 따라 소비된다. 산소, 헬륨, 및 아르곤과 같은 다른 가스들은 NF3로부터의 라디컬들과 반응하지 않으므로, 에칭 프로파일에 대한 변경들은 실질적으로 H2의 결과이다. 따라서, H2 흐름을 도입하는 것은, 더 비-컨포멀한 에칭에 대해 갭 개구 근처에서 더 테이퍼링된 에지를 생성한다. H2의 부가는 또한 전체 NF3의 에칭 레이트를 감소시킬 수 있으며, 이는 매우 작은 에칭 양들을 제어할 시에 유용할 수 있다. 몇몇 실시형태들에서, NF3 대 H2의 비율은 약 5:1 내지 1:1, 3:1 내지 1:1, 또는 3:1 내지 1.5:1 사이에 있을 수 있다. 도 6b-6d는 NF3에 관한 H2 흐름의 레벨들 및 테이퍼링의 정도를 조정하는 효과를 도시한다. NF3를 갖는 H2의 흐름은 캐리어 가스로서가 아니다.
시약들 및 프로세스 조건들의 비-제한적인 리스트가 표 1에 리스트된다. 표 1에 반영된 프로세스 조건들 각각은 NF3 H2의 비율을 조정하기 위한 H2 및 NF3의 상이한 유동율들을 나타낸다. 표 1에 도시된 바와 같이, NF3에 관해 더 많은 H2의 에천트를 흐르게 하는 것은 CFD 산화물의 에칭 레이트를 느리게 할 수도 있다.
Figure pat00001
프로세스 (300) 의 블록 (330) 에서, 에칭 단계는 유전체 재료의 컨포멀 층을 에칭하는 단계를 포함할 수 있다. 에칭 단계는, 플루오르-함유 종들 및 H2를 포함하는 프로세스 가스로부터 생성된 플라즈마에 반응 챔버 내의 기판을 노출시키는 단계를 포함할 수 있으며, 여기서, 플루오르-함유 종들 대 수소의 유동율 비율은 약 1:1 과 약 5:1 사이에 있다. 플루오르-함유 종들은 NF3를 포함할 수 있다. 반응 챔버 내의 기판을 플라즈마에 노출시키는 것은, 유전체 재료의 컨포멀 층을 비-컨포멀하게 에칭하는 것을 포함한다. 반응 챔버의 온도는 약 80℃와 약 120℃ 사이와 같은 약 50℃와 약 150℃ 사이에 있을 수 있다. 반응 챔버 내의 기판의 온도는, 약 400℃와 약 500℃ 사이와 같이 약 150℃와 약 750℃ 사이에 있을 수 있다. 반응 챔버의 압력은 약 5mTorr과 약 15mTorr 사이와 같이 약 1mTorr과 약 30mTorr 사이에 있을 수 있다.
프로세스 (300) 는 블록 (340) 으로 계속되며, 여기서, CFD 산화물 갭은 높은 애스팩트 비 갭을 충진시키기 위해, 비-등각적으로 에칭된 CFD 산화물 위에 증착된다. 도 4d는 비-컨포멀 에칭에 후속하는 CFD 산화물의 다른 층에 의해 폐쇄된 높은 애스팩트 비 갭의 일 예를 도시한다. 비-컨포멀 에칭 이후, 개구 근처의 제 1 OFD 산화물의 평균 두께에서의 감소는 도 4c의 예에 의해 도시된 바와 같이, 갭 내부의 제 1 OFD 산화물의 평균 두께에서의 감소보다 크다. 비-컨포멀하게 에칭된 CFD 산화물 위에 증착될 나머지 CFD 산화물 층은 갭을 충진하고 폐쇄할 수 있다. 나머지 CFD 산화물 층의 증착은, 시임-없고 보이드-없는 갭 충진을 생성하는 컨포멀 산화물 캡 (cap) 일 수 있다.
몇몇 실시형태들에서, 증착-에칭-증착 사이클은 갭을 충진시키기 위해 하나 이상의 횟수들로 반복될 수도 있다. 증착-에칭-증착 사이클들의 수는 갭의 차수들에 의존할 수도 있다. 또한, 예를 들어, 하나의 사이클 이후 바람직한 스텝 (step) 커버리지를 달성하는 것이 어려울 수도 있다. 따라서, 다른 비-컨포멀 에칭이 다른 CFD 산화물 막의 증착에 후속하여 적용될 수도 있다. CFD 산화물의 후속 증착은 갭을 충진시키거나 부분적으로 충진시킬 수도 있고, 후속하여 갭은 비-컨포멀 에칭에 의해 재개방된다. 특정한 실시형태들에서, 후속 증착-에칭-증착 사이클들에 대한 프로세스 파라미터들이 변할 수도 있다. 예를 들어, 에천트 유동율은 후속 재개방을 이용하여 제 1 비-컨포멀 에칭에 관해 감소될 수도 있다. 몇몇 구현들에서, 제 2 비-컨포멀 에칭은 제 1 비-컨포멀 에칭보다 H2/NF3의 상이한 유동율 비율을 가질 수 있다. 또한, 증착된 두께에 걸친 제어가 덜 중요할 수도 있으므로, 후속 증착이 (예를 들어, 더 높은 온도들에 의해 일으켜지는) 더 신속한 레이트들로 수행될 수도 있다.
도 5는 기판 내의 높은 애스팩트 비 갭들에 유전체 산화물을 컨포멀하게 증착시키는 방법을 도시한 예시적인 흐름도를 도시한다. 다른 구현들은, 흐름도에 도시된 단계들과는 상이한, 더 적은 또는 부가적인 단계들을 갖는 흐름도의 단계들을 수행할 수도 있다. 몇몇 구현들에서, 다수의 단계들이 결합될 수 있다.
프로세스 (500) 의 블록 (510) 에서, 하나 이상의 갭들을 갖는 기판이 반응 챔버에 제공될 수 있으며, 여기서, 각각의 갭은 약 5:1 보다 큰 폭 대 깊이의 애스팩트 비를 갖는다. 기판은 반도체 기판, 부분적으로 제조된 집적 회로, 인쇄 회로 보드, 또는 다른 적절한 워크피스를 포함할 수 있다. 실리콘 질화물의 박막들과 같은 다른 후면충진된 구조들은 CFD에 의해 유전체 산화물 층을 증착시키기 전에 갭에 증착될 수 있다. 몇몇 구현들에서, 폭 대 깊이의 애스팩트 비들은 약 5:1과 약 10:1 사이에 있을 수 있다. 몇몇 구현들에서, 하나 이상의 갭들은 STI 구조들의 일부일 수 있다.
프로세스 (500) 의 블록 (520) 에서, 제 1 유전체 산화물 층이 CFD를 통해 하나 이상의 갭들에 증착될 수 있다. 제 1 유전체 산화물 층은 도 1에 관해 여기서 상술된 CFD 기술들을 사용하여 증착될 수 있다. 하나 이상의 갭들에 제 1 유전체 산화물 층을 증착시키는 것은, 제 1 반응물이 기판 표면 상으로 흡착하게 하는 조건들 하에서 반응 챔버로 증기 페이즈의 제 1 반응물을 도입하는 것, 제 1 반응물이 기판 표면 상에 흡착되는 동안 반응 챔버로 기상 페이즈의 제 2 반응물을 도입하는 것으로서, 여기서, 제 2 반응물은 반응 챔버로부터 제 1 반응물을 스윕하지 않으면서 도입되는, 기상 페이즈의 제 2 반응물을 도입하는 것, 및 제 1 유전체 산화물 층을 형성하기 위해 기판 표면 상에서 제 1 및 제 2 반응물들 사이에 반응을 일으키도록 플라즈마에 기판 표면을 노출시키는 것을 포함할 수 있다.
몇몇 구현들에서, 제 1 유전체 산화물 층은 실리콘 이산화물을 포함할 수 있다. 그로써, 증기 페이즈의 제 1 반응물은 임의의 적절한 실리콘-함유 전구체를 포함할 수 있고, 증기 페이즈의 제 2 반응물은 적절한 산소 소스를 포함할 수 있다. 또한, 비활성 가스와 같은 캐리어 가스는 실리콘-함유 전구체 및/또는 산소 소스와 함께 사용될 수도 있다.
몇몇 구현들에서, 제 1 유전체 산화물 층의 두께는 약 100Å 내지 약 500Å 사이와 같은 약 10Å 내지 약 1000Å 사이에 있을 수 있다. CFD를 통한 임의의 적절한 수의 증착 사이클들이 원하는 두께를 달성하기 위해 사용될 수도 있다. CFD 실리콘 이산화물을 증착시키기 위한 적절한 프로세스 조건들은, 비활성 및 반응물 종들에 대한 유동율들, 플라즈마 전력 및 주파수, 기판 온도, 및 압력을 포함하지만 이에 제한되지 않는 CFD 파라미터들을 튜닝함으로써 달성될 수도 있다.
특정한 실시형태들에서, 개구 근처의 증착될 때의 (as-deposited) CFD 층의 평균 두께는 피쳐 내부의 두께보다 적어도 약 10% 더 크다. 더 특정한 실시형태들에서, 이러한 차이는 적어도 25%, 적어도 약 50%, 또는 적어도 100% 일 수도 있다. 피쳐 내의 재료의 분포는 그의 스텝 커버리지에 의해 또한 특성화될 수도 있다. 이러한 설명의 목적들을 위해, "스텝 커버리지" 는 2개의 두께들의 비율, 즉, 피쳐 내부의 재료의 두께 나누기 개구 근처의 재료의 두께로서 정의된다. 특정한 예들에서, 증착된 때의 CFD 층의 스텝 커버리지는 약 100% 미만 또는 더 상세하게는 약 75% 미만, 또는 심지어 약 50% 미만이다.
프로세스 (500) 의 블록 (530) 에서, 제 1 유전체 산화물 층의 일부가 플라즈마 에칭을 사용하여 에칭된다. 제 1 유전체 산화물 층의 일부를 에칭하는 것은, 각각의 갭의 저부 표면 근처보다 상단 표면 근처에서 더 신속한 레이트로 발생해서, 제 1 유전체 산화물 층이 각각의 갭의 상단 표면으로부터 저부 표면까지의 테이퍼링된 프로파일을 갖게 한다. 이러한 타입의 에칭은 또한, "비-컨포멀 에칭" 으로서 지칭될 수 있다.
비-컨포멀 에칭에서, 갭의 저부 표면 근처보다 갭의 상단 표면 근처의 제 1 유전체 산화물 층이 더 많이 선택적으로 제거된다. 이것은, 갭의 상단 표면으로부터 갭의 저부 표면까지의 테이퍼링된 프로파일을 생성한다. 그러한 비-컨포멀 에칭은, CFD에 의해 증착된 제 1 유전체 산화물 층에 관한 에칭 조건들을 적절히 선택함으로써 달성될 수 있다.
몇몇 실시형태들에서, 에칭 조건은 플라즈마 에칭을 선택함으로써 적절히 선택될 수 있다. 플라즈마는 원격으로 또는 반응 챔버에서 생성될 수도 있다. 플라즈마 소스는 ICP, HDP, CCP 등을 포함할 수 있다. 플라즈마 소스는 라디컬들, 이온들, 및/또는 높은 에너지 분자들을 포함하는 가스 소스의 활성화된 종들을 제공할 수 있다. 활성화된 종들은 제 1 유전체 산화물 층과 반응하기 위해 제 1 유전체 산화물 층으로 안내될 수 있다.
몇몇 실시형태들에서, 에칭 조건은 적절한 에칭 케미스트리들을 이용하여 적절히 선택될 수 있다. 에칭 케미스트리들은 XeF2, F2, NF3, CF4, C2F4, C2F6, C3F8, 및 SF6와 같은 플루오르-함유 종들을 포함할 수 있다. 몇몇 구현들에서, 플루오르-함유 종들은 플라즈마-활성화된 에천트를 생성하기 위해 원격 플라즈마로 흐를 수 있고, 플라즈마-활성화된 에천트는 제 1 유전체 산화물 층과 반응하기 위해 반응 챔버로 흐를 수 있다. 몇몇 구현들에서, 플루오르-함유 종들은 NF3를 포함할 수 있다.
몇몇 구현들에서, 에칭 조건은, 제 1 유전체 산화물 층의 일부를 에칭하면서 H2를 반응 챔버로 흐르게 함으로써 적절히 추가적으로 선택될 수 있다. 임의의 이론에 의해 제한되지는 않지만, H2의 존재는 에천트가 포착될 수 있도록 플라즈마 소스에 의해 생성된 라디컬들을 포착할 수 있다. H2의 존재는 갭의 개구 근처보다 갭으로의 그의 경로를 따라 더 많은 에천트를 소비할 수 있다. 결과로서, H2를 도입하는 것은, 갭의 상단 표면으로부터 저부 표면까지의 더 큰 테이퍼링된 프로파일을 생성할 수 있다.
몇몇 구현들에서, 에칭 조건은 H2 및 에천트의 유동율들을 조정함으로써 적절히 추가적으로 선택될 수 있다. 유동율들은, 제 1 유전체 산화물 층이 갭의 저부 근처보다 갭의 개구 근처에서 더 많이 소비되도록 선택될 수 있다. 몇몇 구현들에서, 플루오르-함유 종들 또는 에천트의 유동율은 약 2,000sccm 미만, 약 1,000sccm 미만, 또는 약 100sccm과 1,000sccm 사이에 있을 수 있다. 그러나, 유동율이 챔버의 사이즈, 에칭 레이트들, 에칭 균일도, 및 다른 파라미터들에 의존할 수 있음을 이해한다. 수소의 유동율은 플루오르-함유 종들 또는 에천트의 유동율과 대략적으로 동일하거나 더 크도록 튜닝될 수 있다. 몇몇 구현들에서, 플루오르-함유 종들 대 수소의 유동율은 약 1:1 과 약 5:1 사이에 있다.
프로세스 (500) 의 블록 (540) 에서, 제 2 유전체 산화물 층은 CFD를 통해 제 1 유전체 산화물 층 위의 하나 이상의 갭들에 증착된다. 제 2 유전체 산화물 층 및 제 1 유전체 산화물 층의 재료는, 실리콘 이산화물과 같이 동일할 수도 있다. 몇몇 구현들에서, 제 2 유전체 산화물 층의 증착은 하나 이상의 갭들을 실질적으로 충진시킨다. 몇몇 구현들에서, 증착-에칭-증착의 단계들은 하나 이상의 갭들을 실질적으로 충진시키도록 반복될 수도 있다. 하나 이상의 갭들의 실질적인 충진은 보이드들 및 시임들이 비교적 없을 수 있다.
도 6a-6d는 H2/NF3의 유동율 비율들을 변경시킴에 따른 테이퍼링된 에지들을 갖는 CFD 산화물의 단면 이미지들이다. 도 6a는 임의의 에칭 없는 높은 애스팩트 비 갭에 증착된 CFD 산화물 막의 일 예를 도시한다. 도 6a의 예에서, CFD 산화물 막은 약 300Å의 두께를 갖는 CFD 실리콘 이산화물 막이다. 도 6b는 임의의 H2 없이 NF3 플라즈마 에칭에 의해 에칭된 높은 애스팩트 비 갭의 CFD 산화물 막의 일 예를 도시한다. 여기서 상술된 바와 같이, NF3 플라즈마 에칭은 높은 온도와 낮은 온도 레지메 사이에서 조정하기 위해서라도 실질적으로 컨포멀하다. NF3 플라즈마 에칭은 CFD 산화물 막의 약 150Å의 제거를 타겟팅한다. 부가적으로, NF3 플라즈마 에칭을 이용하여, CFD 산화물 막의 약 150Å가 15초 아래에서 에칭될 수 있다. 도 6c는 NF3 대 H2의 유동율 비율이 1.5:1인 H2/NF3 플라즈마 에칭에 의해 에칭된 높은 애스팩트 비 갭에서의 CFD 산화물 막의 일 예를 도시한다. 도 6d는, NF3 대 H2의 유동율 비율이 3:1인 H2/NF3 플라즈마 에칭에 의해 에칭된 높은 애스팩트 비 갭에서의 CFD 산화물 막의 일 예를 도시한다. 도 6b-6d의 에칭 프로파일들을 비교할 시에, NF3 대 H2의 유동율 비율에서의 증가는, 갭 내부에서 만큼 CFD 산화물 재료를 제거하지 않으면서, 갭 개구의 상단 근처에서 더 많은 테이퍼링된 에칭 프로파일을 생성한다.
도 7a는 2개의 증착 단계들 사이에 에칭이 없는 CFD 산화물 갭 필의 단면 이미지이다. 도 7a에서, 약 300Å의 두께를 갖는 CFD 실리콘 이산화물의 제 1 층이 복수의 높은 애스팩트 비 갭들에 증착되고, 후속하여 약 600Å의 두께를 갖는 CFD 실리콘 이산화물의 제 2 층이 증착된다. 도 7a의 결과적인 갭 충진은 갭 피쳐들의 각각에 복수의 키홀들을 생성한다.
도 7b는 2개의 증착 단계들 사이에서 비-컨포멀 에칭을 이용한 CFD 산화물 갭 충진의 단면 이미지이다. 도 7b에서, 약 300Å의 두께를 갖는 CFD 실리콘 이산화물의 제 1 층이 복수의 높은 애스팩트 비 갭들에 증착된다. CFD 실리콘 이산화물의 제 1 층은, 약 150Å의 타겟 제거를 이용하여 HDP 에칭에 의해 비-컨포멀하게 에칭된다. 그러나, 수행될 비-컨포멀 에칭이 인시츄 CCP, 인시츄 ICP, 또는 원격 플라즈마와 같은 임의의 다른 적절한 플라즈마 소스를 사용하는 것이 가능하다. 예를 들어, HDP 에칭은 H2와 결합된 에천트로서 NF3를 포함할 수 있다. 에칭 단계에는, 약 600Å의 두께를 갖는 CFD 실리콘 이산화물의 제 2 층의 증착이 후속한다. 도 7b의 결과적인 갭 충진은 높은 애스팩트 비 갭들에서 키홀들 및 보이드들의 존재를 감소 또는 제거한다.
증착-에칭-증착 시퀀스는 높은 밀도 및 높은 품질의 CFD 유전체 갭 충진을 실현할 수 있다. 몇몇 실시형태들에서, CFD 산화물 갭 충진이 얕은 트렌치 격리 (STI) 라이너들에 적용될 수 있다. 몇몇 실시형태들에서, CFD 산화물 갭 충진은, 금속전 유전체들, 다수의 게이트 필드-효과 트랜지스터 (FET) 들 (예를 들어, finFET 게이트 캡들), 및 메모리-층간 유전체들에 적용될 수 있다. CFD 산화물 갭 충진이 다수의 갭 충진 애플리케이션들에 적용될 수 있으며, 전술된 애플리케이션들이 제한이 아니라 예시적인 것으로 의미됨을 이해한다.
장치
본 발명의 다른 양태는 여기에 설명된 방법들을 달성하도록 구성된 장치이다. 적절한 장치는, 프로세스 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 갖는 시스템 제어기를 포함한다.
임의의 적절한 프로세스 스테이션이 상술된 실시형태들 중 하나 이상과 함께 이용될 수도 있음을 인식할 것이다. 예를 들어, 도 8은 CFD 프로세스 스테이션 (800) 을 개략적으로 도시한다. 간략화를 위해, CFD 프로세스 스테이션 (800) 은 낮은-압력 환경을 유지하기 위한 프로세스 챔버 몸체 (802) 를 갖는 자립형 (standalone) 프로세스 스테이션으로서 도시된다. 그러나, 복수의 CFD 프로세스 스테이션들 (800) 이 일반적인 낮은-압력 프로세스 툴 환경에 포함될 수도 있음을 인식할 것이다. 도 8에 도시된 실시형태가 하나의 프로세스 스테이션을 도시하지만, 몇몇 실시형태들에서, 복수의 프로세스 스테이션들이 프로세싱 툴에 포함될 수도 있음을 인식할 것이다. 예를 들어, 도 10은 멀티-스테이션 프로세싱 툴 (1000) 의 일 실시형태를 도시한다. 추가적으로, 몇몇 실시형태들에서, 상세히 후술되는 것들을 포함하는 CFD 프로세스 스테이션 (800) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그래밍적으로 조정될 수도 있음을 인식할 것이다.
CFD 프로세스 스테이션 (800) 은, 분배 샤워헤드 (806) 에 프로세스 가스들을 전달하기 위해 반응물 전달 시스템 (801) 과 유동적으로 (fluidly) 연통한다. 반응물 전달 시스템 (801) 은, 샤워헤드 (806) 로의 전달을 위해 프로세스 가스들을 블렌딩 (blend) 및/또는 컨디셔닝하기 위한 혼합 베슬 (mixing vessel) (804) 을 포함한다. 하나 이상의 혼합 베슬 입구 밸브들 (820) 은 혼합 베슬 (804) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
몇몇 반응물들은 프로세스 스테이션에서의 기화 및 프로세스 스테이션으로의 후속 전달 이전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 8의 장치는 혼합 베슬 (804) 에 공급될 액체 반응물을 기화시키기 위한 기화 포인트 (803) 를 포함한다. 몇몇 실시형태들에서, 기화 포인트 (803) 는 가열된 기화기일 수도 있다. 그러한 기화기들로부터 생성된 포화된 반응물 증기는 다운스트림 전달 파이핑 (piping) 에서 응축될 수도 있다. 응축된 반응물에 대한 조화되지 않은 (imcompatible) 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이핑을 막고, 밸브 동작을 방해하고, 기판들을 오염시키는 등의 동작을 행할 수도 있다. 이들 이슈들을 해결하기 위한 몇몇 접근법들은, 잔류 반응물을 제거하기 위해 전달 파이핑을 스윕 및/또는 비우는 것을 수반한다. 그러나, 전달 파이핑을 스윕하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어서, 프로세스 스테이션 스루풋을 열화시킨다. 따라서, 몇몇 실시형태들에서, 기화 포인트 (803) 의 다운스트림에 있는 전달 파이핑이 열 추적된다. 몇몇 예들에서, 혼합 베슬 (804) 이 또한 열 추적된다. 하나의 비-제한적인 예에서, 기화 포인트 (803) 의 다운스트림에 있는 파이핑은, 혼합 베슬 (804) 에서 대략 100℃ 로부터 대략 150℃ 까지 연장하는 증가한 온도 프로파일을 갖는다.
몇몇 실시형태들에서, 반응물 액체는 액체 주입기에서 기화된다. 예를 들어, 액체 주입기는 혼합 베슬의 업스트림에 있는 캐리어 가스 스트림으로 액체 반응물의 펄스들을 주입할 수도 있다. 일 실시형태에서, 액체 주입기는 더 높은 압력으로부터 더 낮은 압력으로 액체를 플러싱 (flash) 함으로써 반응물을 기화시킨다. 다른 실시형태에서, 액체 주입기는 디스펜스된 미세액적 (microdroplet) 들로 액체를 원자화시키며, 후속하여 미세액적들은 가열된 전달 파이프에서 기화된다. 더 작은 액적들이 더 큰 액적들보다 더 신속하게 기화될 수도 있어서, 액체 주입과 완전한 기화 사이의 지연을 감소시킴을 인식할 것이다. 더 신속한 기화는 기화 포인트 (803) 으로부터 다운스트림에 있는 파이핑의 길이를 감소시킬 수도 있다. 일 실시형태에서, 액체 주입기는 혼합 베슬 (804) 에 직접 탑재된다. 다른 실시형태에서, 액체 주입기는 샤워헤드 (806) 에 직접 탑재된다.
몇몇 실시형태들에서, 액체 흐름 제어기는, 기화 및 프로세스 스테이션 (800) 으로의 전달을 위해 액체의 대용량 흐름을 제어하기 위해 기화 포인트 (803) 의 업스트림에 제공된다. 일 예에서, 액체 흐름 제어기 (LFC) 는, LFC의 다운스트림에 위치된 열 대용량 미터 (MFM) 를 포함한다. LFC의 플런저 (plunger) 밸브는, MFM과 전기 통신하는 비례-적분-미분 (PID) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정된다. 그러나, 피드백 제어를 사용하여 액체 흐름을 안정화시키는데는 일 초 이상이 소요될 수도 있다. 이것은 액체 반응물을 투약 (dose) 하기 위한 시간을 연장시킬 수도 있다. 따라서, 몇몇 실시형태들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭된다. 몇몇 실시형태들에서, LFC는 LFC 및 PID 제어기의 감지 튜브를 디스에이블시킴으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭된다.
샤워헤드 (806) 는 기판 (812) 을 향해 프로세스 가스들을 분배한다. 도 8에 도시된 실시형태에서, 기판 (812) 은 샤워헤드 (806) 아래에 위치되고, 페데스탈 (808) 상에 상주하는 것으로 도시되어 있다. 샤워헤드 (806) 가 임의의 적절한 형상을 가질 수도 있지만, 프로세스 가스들을 기판 (812) 에 분배하기 위한 임의의 적절한 수 및 배열의 포트들을 가질 수도 있음을 인식할 것이다.
몇몇 실시형태들에서, 마이크로체적 (807) 이 샤워헤드 (806) 아래에 위치된다. 프로세스 스테이션의 전체 체적에서보다 마이크로체적에서 CFD 프로세스를 수행하는 것은, 반응물 노출 및 스윕 시간들을 감소시킬 수도 있고, CFD 프로세스 조건들 (예를 들어, 압력, 온도 등) 을 수정하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로봇들의 노출을 제한할 수도 있는 등의 동작을 행할 수도 있다. 예시적인 마이크로체적 사이즈들은 0.1리터와 2리터 사이의 체적들을 포함하지만 이에 제한되지 않는다.
몇몇 실시형태들에서, 페데스탈 (808) 은 기판 (812) 을 마이크로체적 (807) 에 노출시키고 및/또는 마이크로체적 (807) 의 체적을 변경하도록 상승 또는 하강될 수도 있다. 예를 들어, 기판 전달 페이즈에서, 페데스탈 (808) 은, 기판 (812) 이 페데스탈 (808) 로 로딩되게 하도록 하강된다. CFD 프로세스 페이즈 동안, 페데스탈 (808) 은 마이크로체적 (807) 내에 기판 (812) 을 위치시키도록 상승된다. 몇몇 실시형태들에서, 마이크로체적 (807) 은 CFD 프로세스 동안, 높은 흐름 임피던스의 영역을 생성하기 위해 기판 (812) 뿐만 아니라 페데스탈 (808) 의 일부를 완전히 둘러싼다.
선택적으로, 페데스탈 (808) 은 마이크로체적 (807) 내의 프로세스 압력, 반응물 농도 등을 조절하기 위해 CFD 프로세스의 일부들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 몸체 (802) 가 CFD 프로세스 동안 베이스 (base) 압력으로 유지되는 일 실시형태에서, 페데스탈 (808) 을 하강시키는 것은 마이크로체적 (807) 이 비워지게 한다. 프로세스 챔버 대 마이크로체적의 예시적인 비율들은 1:500과 1:10 사이의 체적 비율들을 포함하지만 이에 제한되지 않는다. 몇몇 실시형태들에서, 페데스탈 높이가 적절한 컴퓨터 제어기에 의해 프로그래밍적으로 조정될 수도 있음을 인식할 것이다.
다른 실시형태에서, 페데스탈 (808) 의 높이를 조정하는 것은 플라즈마 밀도가, CFD 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 변경되게 한다. CFD 프로세스 페이즈의 종결 시에, 페데스탈 (808) 은, 페데스탈 (808) 로부터 기판 (812) 의 제거를 허용하기 위해 다른 기판 전달 페이즈 동안 하강된다.
여기에 설명된 예시적인 마이크로체적 변경들이 높이-조정가능한 페데스탈을 참조하지만, 몇몇 실시형태들에서, 샤워헤드 (806) 의 위치가 마이크로체적 (807) 의 체적을 변경시키기 위해 페데스탈 (808) 에 관해 조정될 수도 있음을 인식할 것이다. 추가적으로, 페데스탈 (808) 및/또는 샤워헤드 (806) 의 수직 위치가 임의의 적절한 메커니즘에 의해 변경될 수도 있음을 인식할 것이다. 당업자는, 그러한 메커니즘이, 예를 들어, 수력, 공기압식, 스프링 메커니즘들, 솔레노이드들 등을 포함할 것을 인식할 것이다. 몇몇 실시형태들에서, 페데스탈 (808) 은, 예를 들어, 기판 (812) 의 배향을 회전시키기 위해 기판 (812) 의 표면에 수직한 축을 따른 회전식 메커니즘을 포함할 수도 있다. 몇몇 실시형태들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적절한 컴퓨터 제어기들에 의해 프로그래밍적으로 수행될 수도 있음을 인식할 것이다.
도 8에 도시된 실시형태를 참조하면, 샤워헤드 (806) 및 페데스탈 (808) 은 플라즈마에 전력공급하기 위해 RF 전력 공급부 (814) 및 매칭 네트워크 (816) 와 전기적으로 통신한다. 몇몇 실시형태들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어된다. 예를 들어, RF 전력 공급부 (814) 및 매칭 네트워크 (816) 는 원하는 조성의 라디컬 종들을 갖는 플라즈마를 형성하기 위한 임의의 적절한 전력으로 동작될 수도 있다. 적절한 전력들의 예들은 100W와 5000W 사이의 전력들을 포함하지만 이에 제한되지 않는다. 유사하게, RF 전력 공급부 (814) 는 임의의 적절한 주파수의 RF 전력을 제공할 수도 있다. 몇몇 실시형태들에서, RF 전력 공급부 (814) 는 서로 독립적으로 높은- 및 낮은-주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 낮은-주파수 RF 주파수들은 50kHz와 500kHz 사이의 주파수들을 포함할 수도 있지만 이에 제한되지 않는다. 예시적인 높은-주파수 RF 주파수들은 1.8MHz와 2.45GHz 사이의 주파수들을 포함할 수도 있지만 이에 제한되지 않는다. 임의의 적절한 파라미터들이 표면 반응들을 위한 플라즈마 에너지를 제공하도록 별개로 또는 연속적으로 조절될 수도 있음을 인식할 것이다. 하나의 비-제한적인 예에서, 플라즈마 전력은, 연속적으로 전력공급된 플라즈마들에 관해 기판 표면과의 이온 충돌을 감소시키도록 간헐적으로 펄싱될 수도 있다.
몇몇 실시형태들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인시츄로 모니터링된다. 일 실시형태에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링된다. 다른 실시형태에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 방출 분광기 센서들 (OES) 에 의해 측정된다. 몇몇 실시형태들에서, 하나 이상의 플라즈마 파라미터들은 그러한 인시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그래밍적으로 조정된다. 예를 들어, OES 센서가 플라즈마 전력의 프로그래밍적 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 몇몇 실시형태들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특징들을 모니터링하기 위해 사용될 수도 있음을 인식할 것이다. 그러한 모니터들은, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함하지만 이에 제한되지 않는다.
몇몇 실시형태들에서, 플라즈마는 입력/출력 제어 (IOC) 시퀀싱 명령들을 통해 제어된다. 예를 들어, 플라즈마 프로세스 페이즈 동안 플라즈마 조건들을 셋팅하기 위한 명령들은, CFD 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 몇몇 실시형태들에서, 프로세스 레시피 페이즈들은 순차적으로 정렬될 수도 있어서, CFD 프로세스 페이즈에 대한 모든 명령들이 그 프로세스 페이즈와 동시에 실행되게 한다. 플라즈마 생성의 몇몇 양태들이 플라즈마 프로세스 페이즈를 연장시킬 수도 있는 일시적인 및/또는 안정화 시간들을 잘 특정화할 수도 있음을 인식할 것이다. 다른 방식을 고려하면, 그러한 시간 지연들이 예측가능할 수도 있다. 그러한 시간 지연들은 플라즈마를 발생시키기 위한 시간 및 표시된 전력 셋팅에서 플라즈마를 안정화시키기 위한 시간을 포함할 수도 있다.
몇몇 실시형태들에서, 페데스탈 (808) 은 히터 (810) 를 통해 온도 제어될 수도 있다. 추가적으로, 몇몇 실시형태들에서, CFD 프로세스 스테이션 (800) 에 대한 압력 제어는 버터플라이 밸브 (818) 에 의해 제공될 수도 있다. 도 8에 도시된 바와 같이, 버터플라이 밸브 (818) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀링한다. 그러나, 몇몇 실시형태들에서, 프로세스 스테이션 (800) 의 압력 제어는 또한, CFD 프로세스 스테이션 (800) 에 도입된 하나 이상의 가스들의 유동율을 변경함으로써 조정될 수도 있다.
CFD 프로세스 스테이션 (800) 의 다양한 파라미터들은 제어기 (미도시) 에 의해 제어될 수도 있다. 그러한 파라미터들은 가스 조성, 유동율들, 챔버 온도, 챔버 압력, 기판 온도, 샤워헤드 온도, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 기판/페데스탈 위치, 샤워헤드 위치, 및 타이밍을 포함할 수 있지만 이에 제한되지 않는다. 제어기의 양태들은 도 10의 시스템 제어기 (1050) 에 관해 추가적으로 상세히 후술될 수도 있다.
도 9는 예시적인 HDP 프로세싱 스테이션을 도시한다. 도시된 바와 같이, 반응기 (901) 는 반응기 (901) 의 다른 컴포넌트들을 둘러싸고 플라즈마를 함유하도록 기능하는 프로세스 챔버 (903) 를 포함한다. 일 예에서, 프로세스 챔버 벽들은 알루미늄, 알루미늄 산화물, 및/또는 다른 적절한 재료들로 구성된다. 도 9에 도시된 실시형태는 2개의 플라즈마 소스들: 상단 RF 코일 (905) 및 측면 RF 코일 (907) 을 갖는다. 상단 RF 코일 (905) 은 중간 주파수 또는 MFRF 코일일 수 있고, 측면 RF 코일 (907) 은 낮은 주파수 또는 LFRF 코일일 수 있다. 도 9에 도시된 실시형태에서, MFRF 주파수는 430 내지 470kHz 일 수도 있고, LFRF 주파수는 340 내지 370kHz 일 수도 있다. 그러나, 본 발명은 듀얼 소스들을 갖는 반응 챔버들에서의 동작 및 RF 플라즈마 소스들로 제한되지 않는다. 임의의 적절한 플라즈마 소스 또는 소스들이 사용될 수도 있다.
반응기 내에서, 웨이퍼 페데스탈 (909) 은 기판 (911) 을 지지한다. 페데스탈은 통상적으로, 증착 반응 동안 적소에 기판을 홀딩하기 위한 척 (종종 클램프로서 지칭됨) 을 포함한다. 척은 산업계 및/또는 연구소에서의 사용에 이용가능한 바와 같은 정전척, 기계식 척, 또는 다양한 다른 타입들의 척일 수도 있다. 열 전달 유체를 공급하기 위한 라인 (913) 을 포함하는 열 전달 서브시스템은 기판 (911) 의 온도를 제어할 수 있다. 웨이퍼 척 및 열 전달 유체 시스템은 적절한 웨이퍼 온도들을 유지하는 것을 용이하게 할 수 있다.
HFRF 소스 (915) 의 높은 주파수 RF는, 증착 반응을 위해 기판 (911) 을 전기적으로 바이어싱하고 기판 상으로 대전된 전구체 종들을 끌어당기도록 기능할 수 있다. 소스 (915) 로부터의 전기 에너지는, 예를 들어, 전극 또는 용량성 커플링을 통해 기판 (911) 로 커플링된다. 기판에 적용된 바이어스가 RF 바이어스를 필요로 하지 않음을 유의한다. 다른 주파수들 및 DC 바이어스들이 또한 사용될 수도 있다.
프로세스 가스들은 하나 이상의 입구들 (917) 을 통해 도입된다. 가스들은 사전혼합될 수도 있거나 사전혼합되지 않을 수도 있다. 바람직하게, 프로세스 가스는, 오피리스 (orifice) 를 포함하는 가스 공급 입구 메커니즘을 통해 도입된다. 몇몇 실시형태들에서, 오피리스들 중 적어도 몇몇은 예각으로 기판의 노출된 표면을 상호교차하는 주입축을 따라 프로세스 가스를 배향시킨다. 추가적으로, 가스 또는 가스 혼합물들은, 기판 표면을 향해 가스들을 안내할 수도 있거나 안내하지 않을 수도 있는 주요 가스 링 (921) 으로부터 도입될 수도 있다. 주입기들은, 챔버 (903) 으로 및 기판 (911) 을 향해 가스들 또는 가스 혼합물들 중 적어도 몇몇을 안내하도록 주요 가스 링 (921) 에 접속될 수도 있다. 웨이퍼를 향해 프로세스 가스를 안내하기 위한 주입기들, 가스 링들 또는 다른 메커니즘들은 본 발명에 중요하지 않다. 챔버 (903) 에 진입하는 프로세스 가스에 의해 야기되는 소닉 전단 (sonic front) 그 자체는, 가스가 기판 (911) 을 향한 것을 포함하는 모든 방향들로 신속하게 확산시키게 할 것이다. 프로세스 가스들은 출구 (922) 를 통해 챔버 (903) 를 퇴장한다. 진공 펌프 (예를 들어, 터보분자 펌프) 는 통상적으로, 프로세스 가스들을 외부로 뽑아내고, 반응기 (901) 내에서 적절히 낮은 압력을 유지한다.
HDP 반응기 (901) 의 다양한 파라미터들은 제어기 (미도시) 에 의해 제어될 수도 있다. 제어기의 양태들은 도 10의 시스템 제어기 (1050) 에 관해 추가적으로 상세히 후술될 수도 있다.
몇몇 실시형태들에서, 하나 이상의 프로세스들은 동일한 챔버에서 수행될 수도 있다. 예를 들어, 비-컨포멀 에칭 프로세스는 CFD 프로세스와 동일한 챔버에서 수행될 수도 있다. 그러한 실시형태들에서, 장치는 CCP NF3 챔버 또는 원격 플라즈마 NF3 챔버를 포함할 수 있다. 그러나, 상술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 10은 인바운드 (inbound) 로드록 (1002) 및 아웃바운드 (outbound) 로드록 (1004) 을 갖는 멀티-스테이션 프로세싱 툴 (1000) 의 개략도를 도시하며, 그 로드록들 중 어느 하나 또는 양자는 원격 플라즈마 소스를 포함할 수도 있다. 로봇 (1006) 은 대기압에서, 포드 (pod) (1008) 를 통해 로딩된 카세트로부터 인바운드 로드록 (1002) 으로 대기 포트 (1010) 를 통해 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (1002) 내의 페데스탈 (1012) 상에 로봇 (1006) 에 의하여 배치되고, 대기 포트 (1010) 가 폐쇄되며, 로드록이 펌핑 다운 (pump down) 된다. 인바운드 로드록 (1002)이 원격 플라즈마 소스를 포함한 경우, 웨이퍼는 프로세싱 챔버 (1014) 로 도입되기 전에 로드록에서 원격 플라즈마 처리에 노출될 수도 있다. 추가적으로, 웨이퍼는 또한, 예를 들어, 습기 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (1002) 에서 또한 가열될 수도 있다. 다음으로, 프로세싱 챔버 (1014) 로의 챔버 전달 포트 (1016) 가 개방되고, 다른 로봇 (미도시) 은 프로세싱을 위해 반응기에 나타낸 제 1 스테이션의 페데스탈 상의 반응기로 웨이퍼를 배치시킨다. 도 10에 도시된 실시형태가 로드록들을 포함하지만, 몇몇 실시형태들에서, 프로세스 스테이션으로의 웨이퍼의 직접적인 진입이 제공될 수도 있음을 인식할 것이다.
도시된 프로세싱 챔버 (1014) 는 도 10에 도시된 실시형태에서 1로부터 4로 넘버링된 4개의 프로세스 스테이션들을 포함한다. 각각의 스테이션은 (스테이션 1에 대해 (1018) 로 도시된) 가열된 페데스탈 및 가스 라인 입구들을 갖는다. 몇몇 실시형태들에서, 각각의 프로세스 스테이션은 상이한 또는 다수의 목적들을 가질 수도 있다. 예를 들어, 몇몇 실시형태들에서, 프로세스 스테이션은 CFD와 PECVD 프로세스 모드 사이에서 스위칭가능할 수도 있다. 부가적으로 또는 대안적으로, 몇몇 실시형태들에서, 프로세싱 챔버 (1014) 는 CFD 및 PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (1014) 가 4개의 스테이션들을 포함하지만, 본 발명에 따른 프로세싱 챔버가 임의의 적절한 수의 스테이션들을 가질 수도 있음을 이해할 것이다. 예를 들어, 몇몇 실시형태들에서, 프로세싱 챔버는 5개 이상의 스테이션들을 가질 수도 있지만, 다른 실시형태들에서, 프로세싱 챔버는 3개 또는 그 이하의 스테이션들을 가질 수도 있다.
도 10은 또한, 프로세싱 챔버 (1014) 내에서 웨이퍼들을 전달하기 위한 웨이퍼 핸들링 시스템 (1090) 을 도시한다. 몇몇 실시형태들에서, 웨이퍼 핸들링 시스템 (1090) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 전달할 수도 있다. 임의의 적절한 웨이퍼 핸들링 시스템이 이용될 수도 있음을 인식할 것이다. 비-제한적인 예들은 웨이퍼 캐로셀 (carousel) 들 및 웨이퍼 핸들링 로봇들을 포함한다. 도 10은 또한, 프로세스 툴 (1000) 의 하드웨어 상태들 및 프로세스 조건들을 제어하는데 이용되는 시스템 제어기 (1050) 를 도시한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 를 포함할 수도 있다. 프로세서 (1052) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속들, 스탭퍼 모터 제어기 보드들 등을 포함할 수도 있다.
몇몇 실시형태들에서, 시스템 제어기 (1050) 는 프로세스 툴 (1000) 의 활성도들 모두를 제어한다. 시스템 제어기 (1050) 는 대용량 저장 디바이스 (1054) 에 저장되고, 메모리 디바이스 (1056) 으로 로딩되며, 프로세서 (1052) 상에서 실행되는 시스템 제어 소프트웨어 (1058) 를 실행한다. 시스템 제어 소프트웨어 (1058) 는 타이밍, 가스들의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 세섭터 (susceptor) 위치, 및 프로세스 툴 (1000) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 명령들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적절한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 오브젝트들은 다양한 프로세스 툴 프로세스들을 수행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 기입될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적절한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
몇몇 실시형태들에서, 시스템 제어 소프트웨어 (1058) 는 상술된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 명령들을 포함할 수도 있다. 예를 들어, CFD 프로세스의 각각의 페이즈는 시스템 제어기 (1050) 에 의한 실행을 위한 하나 이상의 명령들을 포함할 수도 있다. CFD 프로세스 페이즈에 대한 프로세스 조건들을 셋팅하기 위한 명령들은 대응하는 CFD 레시피 페이즈에 포함될 수도 있다. 몇몇 실시형태들에서, CFD 레시피 페이즈들은 순차적으로 배열될 수도 있으므로, CFD 프로세스 페이즈에 대한 모든 명령들은 그 프로세스 페이즈와 동시에 실행된다.
시스템 제어기 (105) 과 연결된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 몇몇 실시형태들에서 이용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 위치결정 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 위치결정 프로그램은, 페데스탈 (1018) 상으로 기판을 로딩하고 기판과 프로세싱 툴 (1000) 의 다른 부분들 사이의 간격을 제어하는데 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은, 가스 조성 및 유동율들을 제어하고, 선택적으로는 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들로 가스를 흐르게 하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들어, 프로세스 스테이션의 배출 시스템 내의 스로틀 밸브, 프로세스 스테이션으로의 가스 흐름 등을 조절함으로써 프로세스 스테이션 내에서 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은, 기판을 가열시키는데 사용되는 가열 유닛에 대한 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가된 RF 전력 레벨들을 셋팅하기 위한 코드를 포함할 수도 있다.
몇몇 실시형태들에서, 제어기 (1050) 와 연관된 사용자 인터페이스가 존재할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
상기 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어, 예를 들어, 어셈블리어, C, C++, 파스칼, 포트란 등으로 기입될 수 있다. 컴파일된 오브젝트 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
몇몇 실시형태들에서, 시스템 제어기 (1050) 에 의해 조정된 파라미터들은 프로세스 조건들에 관한 것일 수도 있다. 비-제한적인 예들은 가스 조성 및 유동율들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들 등을 포함한다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공될 수도 있으며, 사용자 인터페이스를 이용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1050) 의 아날로그 및/또는 디지털 입력 접속들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 및 디지털 출력 접속들 상에서 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비-제한적인 예들은, 대용량 흐름 제어기들, (마노미터 (manometer) 들과 같은) 압력 센서들, 열전쌍 (thermocouple) 들 등을 포함한다. 적절히 프로그래밍된 피드백 및 제어 알고리즘들은, 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (1050) 는 상술된 증착 및 에칭 프로세스들을 구현하기 위한 프로그램 명령들을 제공할 수도 있다. 프로그램 명령들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 명령들은 여기에 설명된 다양한 실시형태들에 따른 막 적층들의 인시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (1050) 는, 장치가 본 발명에 따른 방법을 수행하기 위해 명령들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 통상적으로 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 포함하는 머신-판독가능 매체들은 시스템 제어기에 커플링될 수도 있다.
몇몇 실시형태들에서, 기판 내의 높은 애스팩트 비 갭들에 유전체 산화물을 컨포멀하게 증착시키기 위한 장치가 제공될 수 있다. 장치는, 도 10의 CFD 프로세싱 챔버 (1014) 또는 도 8의 프로세스 챔버 몸체 (802) 와 같은 반응 챔버를 포함할 수 있다. 장치는 또한, 반응 챔버에 커플링된 플라즈마를 생성하도록 구성되는 플라즈마 소스를 포함할 수 있다. 장치는 도 10의 시스템 제어기 (1050) 와 같은 제어기를 더 포함할 수 있다. 제어기는, 기판을 반응 챔버로 제공하는 동작으로서, 여기서, 기판은 하나 이상의 갭들을 포함하고, 각각의 갭은 약 5:1보다 큰 폭 대 깊이의 애스팩트 비를 갖는, 반응 챔버로 제공하는 동작, CFD를 통해 하나 이상의 갭들에 제 1 유전체 산화물 층을 증착시키는 동작, 플라즈마 에칭을 사용하여 제 1 유전체 산화물 층의 일부를 에칭하는 동작으로서, 여기서, 제 1 유전체 산화물 층의 일부를 에칭하는 동작은, 제 1 유전체 산화물 층이 각각의 갭의 상단 표면으로부터 저부 표면까지의 테이퍼링된 프로파일을 갖도록, 각각의 갭의 저부 표면 근처보다 상단 표면의 근처에서 더 신속한 레이트로 발생하는, 제 1 유전체 산화물 층의 일부를 에칭하는 동작, 및 CFD를 통해 제 1 유전체 산화물 층 위의 하나 이상의 갭들에 제 2 유전체 산화물 층을 증착시키는 동작을 포함하는 하나 이상의 동작들을 수행하기 위한 명령들을 포함할 수 있다. 제어기는 도 3, 도 4a-4d, 및 도 5에 관해 여기서 상술된 바와 같은 동작들을 수행하기 위한 명령들을 더 포함할 수도 있다.
상술된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 필수적이지는 않지만, 그러한 툴들/프로세스들은 일반적인 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 다음의 동작들 중 몇몇 또는 전부를 통상적으로 포함하며, 각각의 동작은 다수의 가능한 툴들로 인에이블된다: (1) 스핀-온 또는 스프레이-온 (spray-on) 툴을 사용하는 워크피스, 즉, 기판 상의 포토레지스트의 인가; (2) 뜨거운 플레이트 또는 용광로 또는 UV 경화 툴을 사용하는 포토레지스트의 경화; (3) 웨이퍼 스텝퍼와 같은 툴을 이용하여 가시 또는 UV 또는 x-레이 광으로 포토레지스트를 노출시키는 것; (4) 레지스트를 선택적으로 제거하기 위해 레지스터를 현상하고, 그에 의해, 젖은 벤치 (wet bench) 와 같은 툴을 사용하여 그것을 패터닝하는 것; (5) 건조 또는 플라즈마-보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스로 레지스트 패턴을 전사하는 것; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거하는 것.
다수의 변경들이 가능하기 때문에, 여기에 설명된 구성들 및/또는 접근법들이 속성상 예시적이며, 이들 특정한 실시형태들 또는 예들이 제한하는 의미로 고려되지 않음을 이해할 것이다. 여기에 설명된 특정한 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 표현할 수도 있다. 그로써, 도시된 다양한 동작들은 도시된 시퀀스로, 다른 시퀀스들로, 병렬로 수행될 수도 있거나, 몇몇 경우들에서는 생략될 수도 있다. 유사하게, 상술된 프로세스들의 순서는 변경될 수도 있다.
다른 실시형태들
전술한 것이 명확성 및 이해의 목적들을 위해 몇몇 세부사항으로 설명되었지만, 특정한 변화들 및 변경들이 첨부된 청구항들의 범위 내에서 실시될 수도 있음이 명백할 것이다. 설명된 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 존재함을 유의해야 한다. 따라서, 설명된 실시형태들은 제한이 아니라 예시적인 것으로 고려될 것이다.

Claims (20)

  1. 기판 내의 높은 애스팩트 비 갭들에 유전체 산화물을 컨포멀하게 (conformally) 증착시키는 방법에 있어서,
    (a) 반응 챔버로 하나 이상의 갭들을 갖는 기판을 제공하는 단계로서, 각각의 갭은 5:1보다 큰 깊이 대 폭의 애스팩트 비를 갖는, 상기 기판을 제공하는 단계;
    (b) 컨포멀 막 증착 (CFD) 을 통해 상기 하나 이상의 갭들에 제 1 유전체 산화물 층을 증착시키는 단계;
    (c) 플라즈마 에칭을 사용하여 상기 제 1 유전체 산화물 층의 일부를 에칭하는 단계로서, 상기 제 1 유전체 산화물 층의 일부를 에칭하는 단계는, 상기 제 1 유전체 산화물 층이 각각의 갭의 상단 표면으로부터 저부 표면까지의 테이퍼링된 (tapered) 프로파일을 갖도록 각각의 갭의 상기 저부 표면 근처보다 상기 상단 표면 근처에서 더 신속한 레이트로 발생하는, 상기 제 1 유전체 산화물 층의 일부를 에칭하는 단계; 및
    (d) CFD를 통해 상기 제 1 유전체 산화물 층 위의 상기 하나 이상의 갭들에 제 2 유전체 산화물 층을 증착시키는 단계를 포함하는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  2. 제 1 항에 있어서,
    상기 하나 이상의 갭들을 실질적으로 충진시키기 위해 상기 단계들 (b) 내지 (d) 를 반복하는 단계를 더 포함하는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  3. 제 1 항에 있어서,
    상기 제 2 유전체 산화물 층을 증착시키는 단계는, 상기 하나 이상의 갭들을 실질적으로 충진하는 단계를 포함하는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  4. 제 1 항에 있어서,
    플라즈마-활성화된 에천트를 생성하도록 플루오르-함유 종들을 원격 플라즈마로 흘리는 단계; 및
    상기 에칭을 수행하기 위해 상기 제 1 유전체 산화물 층과 반응하도록 상기 반응 챔버로 상기 플라즈마-활성화된 에천트를 흘리는 단계를 더 포함하는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  5. 제 4 항에 있어서,
    상기 플루오르-함유 종들은 질소 트리플루오라이드 (NF3) 를 포함하는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  6. 제 4 항에 있어서,
    상기 제 1 유전체 산화물 층의 상기 일부를 에칭하는 동안 상기 반응 챔버 내로 수소 (H2) 를 흘리는 단계를 더 포함하는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  7. 제 6 항에 있어서,
    수소 대 상기 플루오르-함유 종들의 제 1 유동율 비율은 1:1과 5:1 사이에 있는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 1 유전체 산화물 층 및 상기 제 2 유전체 산화물 층 각각은 실리콘 이산화물 (SiO2) 을 포함하는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  9. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 1 유전체 산화물 층의 두께는 100Å 과 500Å 사이에 있는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  10. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    CFD를 통해 상기 하나 이상의 갭들에 상기 제 1 유전체 산화물 층을 증착하는 단계는,
    제 1 반응물이 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 반응 챔버로 증기 페이즈 (phase) 의 상기 제 1 반응물을 도입하는 단계;
    상기 제 1 반응물이 상기 기판 표면 상에 흡착되는 동안 상기 반응 챔버로 증기 페이즈의 제 2 반응물을 도입하는 단계로서, 상기 제 2 반응물은, 상기 반응 챔버로부터 상기 제 1 반응물을 스윕 (sweep) 하지 않으면서 도입되는, 상기 제 2 반응물을 도입하는 단계; 및
    상기 제 1 유전체 산화물 층을 형성하기 위해 상기 기판 표면 상에 상기 제 1 반응물과 상기 제 2 반응물 사이에서 반응을 일으키도록 (drive) 플라즈마에 상기 기판 표면을 노출시키는 단계를 포함하는, 유전체 산화물을 컨포멀하게 증착시키는 방법.
  11. 기판 내의 컨포멀하게 증착된 유전체 재료 층을 에칭하는 방법에 있어서,
    반응 챔버 내로 기판을 제공하는 단계로서, 상기 기판은 유전체 재료의 컨포멀한 층으로 라이닝된 갭을 포함하는, 상기 기판을 제공하는 단계; 및
    플루오르-함유 종들 및 수소를 포함하는 프로세스 가스로부터 생성된 플라즈마에 상기 반응 챔버 내의 상기 기판을 노출하는 단계를 포함하고, 상기 수소 대 상기 플루오르-함유 종들의 제 1 유동율 비율은 1:1과 5:1 사이에 있는, 컨포멀하게 증착된 유전체 재료 층을 에칭하는 방법.
  12. 제 11 항에 있어서,
    플라즈마에 상기 반응 챔버 내의 상기 기판을 노출하는 단계는 상기 유전체 재료의 컨포멀한 층을 컨포멀하지 않게 에칭하는 것을 포함하는, 컨포멀하게 증착된 유전체 재료 층을 에칭하는 방법.
  13. 제 11 항 또는 제 12 항에 있어서,
    상기 반응 챔버 내의 상기 기판의 온도는 400℃와 500℃ 사이에 있는, 컨포멀하게 증착된 유전체 재료 층을 에칭하는 방법.
  14. 제 11 항 또는 제 12 항에 있어서,
    상기 반응 챔버 내의 압력은 5mTorr 과 15mTorr 사이에 있는, 컨포멀하게 증착된 층을 에칭하는 방법.
  15. 제 11 항 또는 제 12 항에 있어서,
    상기 유전체 재료의 컨포멀한 층의 스텝 (step) 커버리지는, 100% 미만인, 컨포멀하게 증착된 층을 에칭하는 방법.
  16. 기판 내의 높은 애스팩트 비 갭들에 유전체 산화물을 컨포멀하게 증착시키기 위한 장치로서,
    반응 챔버;
    상기 반응 챔버에 커플링된 플라즈마 소스; 및
    다음의 동작들을 수행하기 위한 명령들을 포함하는 제어기를 포함하며,
    상기 동작들은,
    (a) 반응 챔버로 기판을 제공하는 동작으로서, 상기 기판은 하나 이상의 갭들을 포함하고, 각각의 갭은 5:1보다 큰 깊이 대 폭의 애스팩트 비를 갖는, 상기 기판을 제공하는 동작;
    (b) 컨포멀 막 증착 (CFD) 을 통해 상기 하나 이상의 갭들에 제 1 유전체 산화물 층을 증착시키는 동작;
    (c) 플라즈마 에칭을 사용하여 상기 제 1 유전체 산화물 층의 일부를 에칭하는 동작으로서, 상기 제 1 유전체 산화물 층의 일부를 에칭하는 동작은, 상기 제 1 유전체 산화물 층이 각각의 갭의 상단 표면으로부터 저부 표면까지의 테이퍼링된 프로파일을 갖도록, 각각의 갭의 상기 저부 표면 근처보다 상기 상부 표면 근처에서 더 신속한 레이트로 발생하는, 상기 제 1 유전체 산화물 층의 일부를 에칭하는 동작; 및
    (d) 상기 CFD를 통해 상기 제 1 유전체 산화물 층 위의 상기 하나 이상의 갭들에 제 2 유전체 산화물 층을 증착시키는 동작을 포함하는, 유전체 산화물을 컨포멀하게 증착시키기 위한 장치.
  17. 제 16 항에 있어서,
    상기 제어기는,
    상기 하나 이상의 갭들을 실질적으로 충진시키기 위해 상기 동작들 (b) 내지 (d) 를 반복하는 동작을 더 포함하는, 유전체 산화물을 컨포멀하게 증착시키기 위한 장치.
  18. 제 16 항에 있어서,
    상기 제어기는, 상기 하나 이상의 갭들을 실질적으로 충진시키기 위해 상기 제 2 유전체 산화물 층을 증착시키기 위한 명령들을 포함하는, 유전체 산화물을 컨포멀하게 증착시키기 위한 장치.
  19. 제 16 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 제어기는,
    플라즈마-활성화된 에천트를 생성하도록 플루오르-함유 종들을 원격 플라즈마로 흘리는 동작; 및
    상기 에칭을 수행하기 위해 상기 제 1 유전체 산화물 층과 반응하도록 상기 반응 챔버로 상기 플라즈마-활성화된 에천트를 흘리는 동작을 수행하기 위한 명령들을 더 포함하는, 유전체 산화물을 컨포멀하게 증착시키기 위한 장치.
  20. 제 16 항에 있어서,
    상기 제 1 유전체 산화물 층의 상기 일부를 에칭하는 동안 상기 반응 챔버 내로 수소 (H2) 를 흘리는 동작을 수행하기 위한 명령들을 더 포함하는, 유전체 산화물을 컨포멀하게 증착시키기 위한 장치.
KR1020210020087A 2012-11-08 2021-02-15 갭충진을 위한 컨포멀 막 증착 KR102377013B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220032801A KR20220038640A (ko) 2012-11-08 2022-03-16 갭충진을 위한 컨포멀 막 증착

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261724186P 2012-11-08 2012-11-08
US61/724,186 2012-11-08
KR1020130135905A KR102218085B1 (ko) 2012-11-08 2013-11-08 갭충진을 위한 컨포멀 막 증착

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020130135905A Division KR102218085B1 (ko) 2012-11-08 2013-11-08 갭충진을 위한 컨포멀 막 증착

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220032801A Division KR20220038640A (ko) 2012-11-08 2022-03-16 갭충진을 위한 컨포멀 막 증착

Publications (2)

Publication Number Publication Date
KR20210021503A true KR20210021503A (ko) 2021-02-26
KR102377013B1 KR102377013B1 (ko) 2022-03-21

Family

ID=50682116

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020130135905A KR102218085B1 (ko) 2012-11-08 2013-11-08 갭충진을 위한 컨포멀 막 증착
KR1020210020087A KR102377013B1 (ko) 2012-11-08 2021-02-15 갭충진을 위한 컨포멀 막 증착
KR1020220032801A KR20220038640A (ko) 2012-11-08 2022-03-16 갭충진을 위한 컨포멀 막 증착
KR1020230130266A KR20230144995A (ko) 2012-11-08 2023-09-27 갭충진을 위한 컨포멀 막 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020130135905A KR102218085B1 (ko) 2012-11-08 2013-11-08 갭충진을 위한 컨포멀 막 증착

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020220032801A KR20220038640A (ko) 2012-11-08 2022-03-16 갭충진을 위한 컨포멀 막 증착
KR1020230130266A KR20230144995A (ko) 2012-11-08 2023-09-27 갭충진을 위한 컨포멀 막 증착

Country Status (5)

Country Link
US (1) US9355886B2 (ko)
JP (1) JP6415035B2 (ko)
KR (4) KR102218085B1 (ko)
SG (1) SG2013083241A (ko)
TW (1) TWI587391B (ko)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5766647B2 (ja) * 2012-03-28 2015-08-19 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6388552B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6388553B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106803484B (zh) * 2015-11-26 2021-08-10 联华电子股份有限公司 半导体元件及其制作方法
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10041873B2 (en) * 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
TWI733850B (zh) 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9960033B1 (en) * 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
KR102553120B1 (ko) * 2017-03-07 2023-07-06 도쿄엘렉트론가부시키가이샤 레트로그레이드 리세스된 피처를 충전하는 방법
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102271729B1 (ko) * 2017-04-24 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
SG11202001450UA (en) 2017-09-12 2020-03-30 Applied Materials Inc Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
WO2019139761A1 (en) * 2018-01-15 2019-07-18 Applied Materials, Inc. Argon addition to remote plasma oxidation
WO2019147400A1 (en) * 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11120997B2 (en) 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning
WO2020069206A1 (en) 2018-09-28 2020-04-02 Lam Research Corporation Vacuum pump protection against deposition byproduct buildup
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210014577A (ko) * 2019-07-29 2021-02-09 에이에스엠 아이피 홀딩 비.브이. 불소 제거를 이용해서 구조물을 형성하는 방법
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
JP2021080536A (ja) * 2019-11-21 2021-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN114761612A (zh) * 2019-12-02 2022-07-15 朗姆研究公司 原位pecvd覆盖层
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP2022054653A (ja) 2020-09-28 2022-04-07 東京エレクトロン株式会社 凹部埋め込み方法及び基板処理装置
US11655537B2 (en) 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
CN114300416A (zh) * 2020-12-02 2022-04-08 台湾积体电路制造股份有限公司 半导体器件及其制造方法
JP2022111765A (ja) 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン窒化膜の形成方法及び成膜装置
US20220238331A1 (en) * 2021-01-25 2022-07-28 Applied Materials, Inc. Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
JP2022133762A (ja) 2021-03-02 2022-09-14 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム
JP2022166614A (ja) 2021-04-21 2022-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2023028517A (ja) 2021-08-19 2023-03-03 東京エレクトロン株式会社 基板処理方法
WO2023178203A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Seam-free and crack-free deposition
CN115440707A (zh) * 2022-09-20 2022-12-06 中国科学院光电技术研究所 对准标记结构及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080052499A (ko) * 2006-12-07 2008-06-11 어플라이드 머티어리얼스, 인코포레이티드 유전체의 갭 충진을 위한 다단계 뎁-에칭-뎁 고밀도플라즈마 화학 기상 증착 방법
KR20110016916A (ko) * 2008-05-13 2011-02-18 어플라이드 머티어리얼스, 인코포레이티드 컨포멀 pecvd 막들을 이용하는 임계 치수 축소를 위한 방법

Family Cites Families (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
WO2000055901A1 (en) * 1999-03-17 2000-09-21 Semiconductor 300 Gmbh & Co. Kg Method for filling gaps on a semiconductor wafer
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR20090067576A (ko) * 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080052499A (ko) * 2006-12-07 2008-06-11 어플라이드 머티어리얼스, 인코포레이티드 유전체의 갭 충진을 위한 다단계 뎁-에칭-뎁 고밀도플라즈마 화학 기상 증착 방법
KR20110016916A (ko) * 2008-05-13 2011-02-18 어플라이드 머티어리얼스, 인코포레이티드 컨포멀 pecvd 막들을 이용하는 임계 치수 축소를 위한 방법

Also Published As

Publication number Publication date
KR20230144995A (ko) 2023-10-17
JP6415035B2 (ja) 2018-10-31
KR102218085B1 (ko) 2021-02-19
US9355886B2 (en) 2016-05-31
KR20220038640A (ko) 2022-03-29
US20140134827A1 (en) 2014-05-15
KR102377013B1 (ko) 2022-03-21
SG2013083241A (en) 2014-06-27
TWI587391B (zh) 2017-06-11
TW201430951A (zh) 2014-08-01
JP2014112668A (ja) 2014-06-19
KR20140060253A (ko) 2014-05-19

Similar Documents

Publication Publication Date Title
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
US10903071B2 (en) Selective deposition of silicon oxide
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
KR102281521B1 (ko) 필름 스택의 인-시츄 증착
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
CN108735675B (zh) 氧化硅的选择性沉积
KR20210013633A (ko) 서브-포화된 원자층 증착 및 등각막 증착
US20180061650A1 (en) High dry etch rate materials for semiconductor patterning applications
KR20140016201A (ko) 고 압력, 고 전력 플라즈마 활성화된 컨포멀 막 증착
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
WO2020243342A1 (en) High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱
WO2020096722A1 (en) Nitride films with improved etch selectivity for 3d nand integration

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant