TW201903833A - 具有原子層蝕刻重置之選擇性沉積 - Google Patents

具有原子層蝕刻重置之選擇性沉積 Download PDF

Info

Publication number
TW201903833A
TW201903833A TW107112841A TW107112841A TW201903833A TW 201903833 A TW201903833 A TW 201903833A TW 107112841 A TW107112841 A TW 107112841A TW 107112841 A TW107112841 A TW 107112841A TW 201903833 A TW201903833 A TW 201903833A
Authority
TW
Taiwan
Prior art keywords
substrate
deposition
chamber
deposited
processing
Prior art date
Application number
TW107112841A
Other languages
English (en)
Inventor
卡蒲 瑟利西 瑞迪
梅利哈 格茲德 蘭維爾
納葛 珊卡
丹尼斯 M 豪斯曼恩
大衛 查爾斯 史密斯
卡爾提克 斯瓦哈瑪克里斯南
大衛 W 波特
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201903833A publication Critical patent/TW201903833A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供用於藉由在半導體基板上選擇性地沉積材料而在該基板上執行沉積的方法。該基板具有複數基板材料,每一者具有對應於沉積於其上之材料的不同成核延遲。具體而言,根據隨沉積進行而降低的成核延遲差距,與其上欲進行沉積之第一基板材料相關聯的成核延遲小於與其上不欲進行沉積之第二基板材料相關聯的成核延遲。蝕刻所沉積之材料的一部分,以重新建立第一與第二基板材料之間的成核延遲差距。將材料進一步選擇性地沉積在基板上。

Description

具有原子層蝕刻重置之選擇性沉積
本發明關於處理半導體基板的方法。具體而言,本發明提供用於藉由在半導體基板上選擇性地沉積材料而在該基板上執行沉積的方法。
隨著半導體基板特徵部尺寸縮小,對於用以輔助元件縮小並實現三維架構的處理技術有不斷增長的需求。在這方面,已發現諸如原子層沉積(ALD)、原子層蝕刻(ALE)的原子尺度處理是有用的技術。然而,對持續的半導體元件小型化及缺陷減少仍存在挑戰。
提供用於藉由在半導體基板上選擇性地沉積材料而在該基板上執行沉積的方法。該基板具有複數基板材料,每一者具有對應於沉積於基板上之材料的不同成核延遲。具體而言,根據隨沉積進行而降低的成核延遲差距,與其上欲進行沉積之第一基板材料相關聯的成核延遲小於與其上不欲進行沉積之第二基板材料相關聯的成核延遲。蝕刻所沉積之材料的一部分,以重新建立第一與第二基板材料之間的成核延遲差距。將材料進一步選擇性地沉積在基板上。
蝕刻可以循環的方式執行,其中一循環包含:將基板曝露於蝕刻氣體以改質基板的表面;及將基板曝露於移除氣體以移除改質之表面的其中至少一些。將基板曝露於蝕刻氣體可更包含點燃電漿。在一些實施例中,該方法更包含對基板施加偏壓。蝕刻氣體可為含氯化合物。在諸多實施例中,一循環蝕刻所沉積的材料及/或膜之約1 Å至約50 Å之間。腔室可在曝露之間沖洗。
沉積循環可包含:將基板曝露於沉積前驅物以改質基板的表面;及將基板曝露於還原劑以沉積膜。在一些實施例中,該方法更包含點燃電漿。在一些實施例中,在將基板曝露於沉積前驅物期間,沉積前驅物的其中至少一些吸附在基板的表面之上。腔室可在曝露之間沖洗。
在一些實施例中,在相同的腔室內執行蝕刻及沉積。蝕刻可非保形地執行。在一些實施例中,蝕刻或沉積的其中至少一者係自限制反應。
另一實施態樣涉及一方法,其包含:(a)將容納於腔室內的基板曝露於交替之第一反應物及第二反應物的脈衝以在基板上沉積膜,該基板具有其上欲進行膜沉積的第一基板材料及其上不欲進行膜沉積的第二基板材料,該第二基板材料不同於該第一基板材料,且根據隨沉積進行而降低的成核延遲差距,該第一基板材料的成核延遲小於該第二基板材料的成核延遲;(b)將容納於腔室內的基板曝露於交替之蝕刻氣體及移除氣體的脈衝以蝕刻所沉積材料的一部分,俾重置第一與第二基板材料之間的成核延遲差距。在一些實施例中,(a)及(b)可在相同的腔室內執行而不破壞真空。在一些實施例中,可重複(a)及(b)直到發生足夠的淨沉積。
移除氣體可為選自由N2 、Ar、He、及Ne所組成之群組的載體氣體。在一些實施例中,(a)及(b)在相同的腔室內執行且序列式地執行。此外,可在脈衝之間沖洗腔室。在諸多實施例中,(a)更包含對基板施加偏壓。在一些實施例中,該方法亦包含在將基板曝露於移除氣體之時點燃電漿。該方法亦可包含在將基板曝露於第二反應物之時點燃電漿。
在諸多實施例中,(a)或(b)的其中至少一者係自限制反應。在一些實施例中,重複(a)或(b)以在基板上沉積材料。在一些實施例中,重複(a)或(b)以在基板上蝕刻膜。在諸多實施例中,基板係選自由金屬及介電質所組成的群組。
另一實施態樣涉及一種用於處理基板的設備,該設備包含:一或更多處理腔室,每一處理腔室具有卡盤;進入處理腔室且與流量控制硬體相關聯的一或更多氣體入口;及具有處理器及記憶體的控制器,其中處理器及記憶體彼此通訊連接,處理器與流量控制硬體至少操作上連接,且記憶體儲存電腦可執行的指令,用於控制處理器以藉由下列者至少控制流量控制硬體:在半導體基板上選擇性地沉積材料,該基板包含具有不同成核延遲的複數基板材料,該不同成核延遲對應於根據成核延遲差距而沉積於其上的材料;蝕刻沉積在基板上之材料的一部分,以重新建立基板材料之間的成核延遲差距;及在基板上進一步選擇性地沉積材料。
另一實施態樣涉及一種用於處理基板的設備,該設備包含:一或更多處理腔室,每一處理腔室具有卡盤;進入處理腔室且與流量控制硬體相關聯的一或更多氣體入口;及具有處理器及記憶體的控制器,其中處理器及記憶體彼此通訊連接,處理器與流量控制硬體至少操作上連接,及記憶體儲存電腦可執行的指令,用於控制處理器以藉由下列者至少控制流量控制硬體:將容納於腔室內的基板曝露於交替之第一反應物及第二反應物的脈衝以在基板上沉積膜,該基板具有其上欲進行膜沉積的第一基板材料及其上不欲進行膜沉積的第二基板材料,該第二基板材料不同於該第一基板材料,且根據隨沉積進行而降低的成核延遲差距,該第一基板材料的成核延遲小於該第二基板材料的成核延遲;將容納於腔室內的基板曝露於交替之蝕刻氣體及移除氣體的脈衝以蝕刻所沉積材料的一部分,俾重置第一與第二基板材料之間的成核延遲差距。
這些及其他實施態樣係參照隨附圖式進一步描述於下。
為了透徹理解本發明的實施例,在以下的敘述中說明眾多具體細節。此處揭示的實施例可以不具有某些或全部這些具體細節而實施。另一方面,未詳細說明眾所周知的製程操作,以免不必要地模糊所揭示的實施例。此外,雖然所揭示的實施例將結合具體的實施例描述,但吾人將理解具體的實施例係非意圖限制所揭示的實施例。
隨著特徵部尺寸縮小,對於諸如原子層沉積(ALD)及原子層蝕刻(ALE)的原子尺度處理有不斷增長的需求。這些為名義上導致膜厚度中數值性小變化的自限制步驟之循環製程。該等製程的特徵在於相對平滑度及保形性、以及在某些ALE製程中的定向性。
本文提供的方法關於最佳化之選擇性沉積製程與受控之蝕刻(諸如ALE)的組合,以在選擇性沉積製程期間保持生長選擇性及改進缺陷消除效能。
半導體基板上的選擇性沉積可藉由在ALD與ALE製程之間週期性地交替而達成。選擇性沉積藉由例如自晶圓底部向上建構晶圓而實現持續之元件小型化及諸多三維(3D)架構之製造。選擇性沉積的額外優點包含但不以其他方式限於:簡化的整合流程(即,不需獨立的微影及/或蝕刻製程)、增強的特徵部密度及/或縮放(即,使得額外的元件特徵部可被包含在較小的橫剖面區域中)、及圖案化(即,改進的重疊)。
然而,目前可用的選擇性沉積方法通常是特定的材料及/或系統,因此不存在任意選擇性地沉積金屬及/或介電材料的通用方法。在其他方面與選擇性沉積相關聯的其他挑戰係缺陷的產生及相對窄之製程窗的需求。沉積選擇性亦可能隨沉積進行而惡化,因為典型的沉積製程受限於其評估成核延遲的能力及/或無力選擇性地生長材料。此外,如此沉積選擇性的惡化使經由選擇性沉積的相對較厚的膜生長相對地困難。
此外,選擇性沉積可能由於缺乏準確及/或理想的起始表面(即,半導體基板及/或晶圓)而導致缺陷,使得殘留的沉積材料可能形成及/或以其他方式出現在諸如缺陷之如此不期望的表面上。
所揭示的方法提供選擇性沉積製程以獲得差別生長,該差別生長取決於或以其他方式藉由使用在例如二或更多不同基板材料的個別成核延遲之間觀察到的成核延遲差距。也就是說,將沉積製程定制成藉由利用被沉積材料的沉積在第一基板材料上比在第二基板材料上更迅速發生的事實,而例如在相對於第二基板材料的第一基板材料上達成選擇性沉積。對於被沉積的材料而言,其上發生選擇性沉積的基板比其上不發生選擇性沉積的基板材料具有更低或更少的成核延遲,使得在與兩基板材料之每一者相關聯的成核延遲之間有差異,該差異稱作為成核延遲差距。然而,吾人已發現,沉積選擇性的惡化隨選擇性沉積進行而發生。為了將此改正,吾人已發現受高度控制的ALE製程可與生長製程結合使用以重置及/或重新建立成核延遲差距,從而允許與工業應用相關之其他受限製程窗的後續擴展。
將選擇性沉積與受控制的蝕刻(諸如ALE)結合的額外益處包含消除選擇性沉積固有的生長缺陷,諸如將在ALE期間移除之積聚在介電、或類似的基板材料上之不期望的殘留金屬。此外,所揭示的方法有助於選擇性沉積方案的普遍化,以藉由增強及/或以其他方式最佳化成核延遲及/或在特定針對基板材料的成核延遲之間觀察到的差距(即,成核延遲差距),而任意選擇性地沉積材料及/或介電質。所揭示的方法亦允許選擇性沉積在相同的基板上發生多於一次。
所揭示的方法提供可控制的解決方案,以擴展其中通常發生選擇性沉積之相對窄的製程窗。如上所討論,初始的選擇性沉積在由複數基板材料構成的基板上執行,其中沉積選擇性地發生在具有比其上不發生選擇性沉積的另一基板材料更低或更少成核延遲的基板材料上。在一些實施例中,與構成基板之諸多基板材料相關聯的成核延遲可藉由使用最佳化的化學品增強,即,作為致使表面化學變化、抑制等的方式,以確保差距生長及潛在地擴展應用窗口。接著,為了增強沉積選擇性及從而改善生產率且同時減少與偏離目標沉積相關聯的缺陷,將可控制的蝕刻製程(例如ALE)應用至基板,以針對其上尋求沉積的特定基板材料重置、恢復、及/或以其他方式重新建立成核延遲及/或抑制。
藉由重置、恢復、或重新建立,意味著所參考材料的成核延遲減少(通常大幅減少)至選擇性沉積開始時的程度或接近選擇性沉積開始時的程度,例如在選擇性沉積開始時之成核延遲程度的1、2、5、10、20、30、40、50、60、70、80、或90%或之內。在一些情況下,成核延遲可減少超過(小於)選擇性沉積開始時的程度。在其他實施例中,成核延遲差距可藉由將與其上不發生選擇性沉積之基板材料相關聯的成核延遲增加至例如如上關於成核延遲減少所述之相同或相似的程度而增強。在其他實施例中,分別與其上發生及不發生選擇性沉積的材料相關聯之成核延遲的減少及增加皆可用以增強成核延遲差距。
因此,在完成ALE製程之後,預期諸多基板上的沉積選擇性(諸多基板之間的成核延遲差距)增加。
本文提供的方法提供因選擇性沉積製程而產生之固有缺陷(諸如因在例如不期望的非生長表面上發現的殘餘沉積材料而產生者)的解決方案。ALE結合選擇性沉積的使用允許自不期望的表面移除如此殘餘沉積材料,以例如在金屬與介電區域之間及在諸多介電及金屬部分之內產生較佳的間隔。因此,選擇性沉積方案因此可在相同的半導體基板上循環,例如ALD及ALE步驟,以藉由增強及/或最佳化不同基板材料之間的成核延遲差距而任意地沉積金屬及/或介電質。
圖1提供用於執行根據所揭示的實施例之方法中之操作的製程流程圖。圖1中的操作可在例如約1毫托與約100托之間(例如約1毫托與約1托之間)的腔室壓力下執行。圖1中顯示的方法通常關於在半導體基板上執行沉積。具體而言,在操作102,將由複數不同基板材料構成的半導體基板或者包含複數不同基板材料的半導體基板提供至處理腔室。
參照在操作102中提供半導體基板的腔室,腔室可為多腔室設備中的腔室或單一腔室的設備。半導體基板可為矽晶圓,例如:200-mm晶圓、300-mm晶圓、或450-mm晶圓,包含具有諸如介電、導電、或半導電材料之一或更多層材料沉積於其上的晶圓。在一些實施例中,基板包含矽的毯覆層(諸如非晶矽)、或鍺的毯覆層。基板可包含先前在基板上沉積並圖案化之圖案化的遮罩層。舉例而言,遮罩層可在包含毯覆之非晶矽層的基板上沉積並圖案化。
在一些實施例中,可圖案化基板上的層。基板可具有諸如貫孔或接觸孔的「特徵部」,其特徵在於窄及/或內凹的開口、特徵部之內的縊縮部、及高深寬比的其中一或更多者。特徵部可在上述的一或更多層中形成。特徵部的一示例係半導體基板或基板上之層中的孔洞或貫孔。另一示例係基板或層中的溝槽。在諸多實施例中,特徵部可具有下層,諸如阻障層或黏著層。下層之非限制性的示例包含介電層及導電層,例如:矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。
在一些實施例中,特徵部可具有至少約2:1、至少約4:1、至少約6:1、至少約10:1、至少約30:1、或更高的深寬比。特徵部側壁的保護在高深寬比下可能為受期望的。所揭示的方法可在具有小於約150 nm的開口之特徵部的基板上執行。特徵部貫孔或溝槽可被稱作為未填充的特徵部或特徵部。可具有內凹輪廓的特徵部自特徵部的底部、封閉端、或內部至特徵部開口變窄。在一些實施例中,本文描述的方法可用以形成具有這些特徵的特徵部。
接著,在操作104,將材料選擇性地沉積在半導體基板之一或更多基板材料上,例如如下面參考圖3所述,其中基板材料具有對應於其上根據成核延遲差距所沉積之材料的不同成核延遲。舉例而言,在諸如鎢(W)基板的金屬上之氮化鋁(AlN)之藉由例如ALD的沉積,可能導致所觀察之與在例如介電基板(諸如SiO2 )上之AlN之沉積不同的成核延遲。此成核延遲差距相對於具有較大成核延遲的材料可用以在具有較小成核延遲的材料上達成選擇性沉積。
通常,ALD係使用序列式自限制反應沉積薄層材料的技術。ALD可使用任何合適的技術執行。在諸多實施例中,ALD可使用電漿執行,或可熱力式地執行。而且,操作104可循環地執行,即,此處稱作為「ALD循環」。
ALD循環的概念相關於本文諸多實施例的討論。通常,ALD循環係用以執行表面沉積反應一次之操作的最小集合。舉例而言,一成功的ALD循環之結果係在期望的基板表面上產生至少部分的含矽膜層,諸如操作104的第一基板材料。通常,ALD循環包含操作用以將至少一反應物遞送及吸附至基板表面,以接著將所吸附的反應物與位在基板表面上的一或更多反應物反應以形成例如至少部分的膜層。ALD循環可包含某些輔助操作,諸如掃除反應物或副產物的其中一者及/或處理甫沉積完成之部分的膜。通常,一循環包含一特定操作序列的其中一階段。作為一示例,ALD循環可包含下列操作:(i)含矽前驅物的遞送/吸附,(ii)自腔室沖洗含矽前驅物,(iii)第二反應物及電漿的遞送,及(iv)自腔室沖洗電漿。
在一些實施例中,氮化鋁(AlN)可經由ALD操作及/或循環而沉積在諸多感興趣的基板上,如此基板包含(但不限於)以下者:矽氧化物(SiO2 )、矽氮化物(Si3 N4 )、矽碳化物(SiC)、鋁氧化物(Al2 O3 )、及氮化鋁(AlN)。此外,AlN可沉積在一般高κ介電層上,諸如鉿(Hf)、鋯(Zr)、及錫氧化物(SnO2 )、及/或諸如單獨的鎢(W)、銅(Cu)、鈷(Co)、鋁(Al)、鈦(Ti)、矽(Si)、及碳(C)及/或以任何組合的導電膜。
圖3顯示用於沉積矽氧化物(SiO2 )之ALD循環的示例示意說明。圖304a-304e顯示一般的ALD循環。在304a中,提供矽基板,其包含許多矽原子。在304b中,將氧引入至基板作為氧自由基,該氧自由基改質基板的表面。此可為反應物及電漿的遞送。作為一示例,注意一些氧自由基吸附至基板的表面上。在304c中,自腔室沖洗氧自由基。在304d中,引入含矽前驅物或矽來源,且矽來源與吸附在基板表面上的氧自由基反應。在304e中,沖洗腔室及移除副產物,留下沉積的SiO2 層。
作為選擇SiO2 作為沉積材料的替代方案,在一些實施例中,諸如鋁(Al)及/或銅(Cu)的金屬在操作104期間藉由ALD沉積在例如其上欲進行沉積的第一基板表面上。在一些實施例中,第一基板表面可實質上由氮化鋁(AlN)構成。此外,三甲基鋁(Al2 (CH3 )6 )可提供合適的前驅物以供應所需的Al作為沉積材料,以例如沉積在實質上包含AlN的基板上,其中觀察到後續的成核延遲。具體而言,Al2 (CH3 )6 可以相對受控制的方式從例如250℃至350℃沉積,在該溫度之上則觀察到分解。
在一些實施例中,藉由ALD沉積的膜可為非常保形的。膜的保形性可藉由階梯覆蓋率測量。階梯覆蓋率可藉由將特徵部之底部、側壁、或頂部上所沉積的膜之平均厚度與特徵部之底部、側壁、或頂部上所沉積的膜之平均厚度相比而計算。舉例而言,階梯覆蓋率可藉由將側壁上所沉積的膜之平均厚度除以在特徵部之頂部處所沉積的膜之平均厚度,並乘以100加以計算以獲得一百分比。
不像化學氣相沉積(CVD)技術,ALD製程使用表面介導沉積反應以逐層地沉積膜。在ALD製程的一示例中,將包含一群表面活性部位的基板表面曝露於在提供至容納基板之處理腔室的劑量下之第一前驅物(諸如含矽前驅物)的氣相分佈。此第一前驅物的分子被吸附至基板表面之上,包含第一前驅物的化學吸附物種及/或物理吸附分子。應理解當化合物係如此處所述吸附至基板表面之上時,所吸附的層可包含該化合物及該化合物的衍生物。舉例而言,含矽前驅物的吸附層可包括含矽前驅物及含矽前驅物的衍生物。在某些實施例中,ALD前驅物劑量使基板表面部分地飽和。在一些實施例中,ALD循環的劑量階段在前驅物接觸基板之前結束以使表面均勻地飽和。通常,前驅物流在此時點關閉或轉向,且僅使沖洗氣體流動。藉由在此亞飽和狀態下操作,ALD製程減少循環時間及增加生產率。然而,因為前驅物吸附係非飽和限制的,所以所吸附的前驅物之濃度在整個基板表面可能稍微變化。在亞飽和狀態下之ALD製程操作的示例係在2013年10月23日申請、題為“SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美國專利申請案第14/061,587號中提供,其全部內容於此藉由參照納入本案揭示內容。在一第一前驅物劑量之後,接著抽空反應器以移除殘留在氣相之任何第一前驅物,使得僅吸附的物種保留。將第二反應物(諸如含氧或含氮氣體)引入至反應器,使得這些分子的其中一些與吸附在表面上的第一前驅物反應。在一些製程中,第二前驅物立即與所吸附的第一前驅物反應。在其他實施例中,第二前驅物僅在後續施加活化源之後反應。反應器可接著再次抽空以移除未受束縛的第二前驅物分子。額外的ALD循環可用以建立膜厚度。
在一些實施方式中,ALD方法包含電漿活化,諸如在第二反應物遞送至腔室之時。如本文所述,此處描述的ALD方法及設備可為保形膜沉積(CFD)方法,其係在下列文件中一般性地描述:於2011年4月11日申請、題為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美國專利申請案第13/084,399號(現為美國專利第8,728,956號);及於2011年4月11日申請、題為“SILICON NITRIDE FILMS AND METHODS”的美國專利申請案第13/084,305號,其全部內容於此藉由參照納入本案揭示內容。ALD製程的額外示例係在Puurunen, “Surface chemistry of atomic layer deposition: for the trimethylaluminum/water process”, 97 J. Applied Physics 12301 (2005)中描述,其為了提供合適之ALD製程的敘述於此藉由參照納入本案揭示內容。
具體而言,在一些實施例中,在操作104中,將薄膜及/或材料沉積在其上藉由例如ALD尋求沉積的第一半導體基板材料上。在諸多實施例中,含矽材料及/或膜在操作104中沉積。示例的含矽膜包含矽氧化物、矽氮氧化物、及矽氮化物。在一些實施例中,可沉積金屬或含金屬膜。此外,在一些實施例中,操作104可在操作106之前執行以依需要循環整個操作102-108。或者,在一些實施例中,操作106可在操作104之前執行。
此外,在操作104中,在一些實施例中,諸如N2 、Ar、Ne、He、及其組合的載體氣體可連續地流動。載體氣體可用作沖洗氣體。可提供惰性氣體以幫助處理腔室的壓力及/或溫度控制、液體反應物的蒸發、反應物之較快速的遞送、及/或作為用於自處理腔室及/或處理腔室管線移除處理氣體的掃除氣體。
此處提供的是可在操作104中執行之吸附及第二反應物遞送操作的示例。在ALD循環的吸附操作中,可將其上欲進行ALD的基板曝露於膜前驅物(諸如四氯化矽(SiCl4 )),以吸附在基板表面之上。在一些實施例中,膜前驅物可為含矽前驅物。在一些實施例中,諸如SiCl4 的膜前驅物可吸附在約60%的基板表面之上。在諸多實施例中,當膜前驅物流入腔室時,膜前驅物吸附在基板表面上的活性部位之上,而在表面上形成膜前驅物的薄層。在諸多實施例中,此層可小於一單層。
在吸附之後,可選用性地沖洗腔室以移除未吸附至基板表面上之氣相中的過量前驅物。沖洗可涉及掃除氣體,其可為在其他操作中使用的載體氣體或不同的氣體。在一些實施例中,沖洗可涉及抽空腔室。
在ALD循環的第二反應物遞送操作中,可將基板曝露於第二反應物及選用性的電漿。在諸多實施例中,第二反應物係氧(O2 )或氮(N2 )或其組合。在沉積矽氧化物層的一些實施例中,使用氧作為第二反應物。在一些實施例中,第二反應物流及電漿皆開啟。在一些實施例中,在開啟電漿之前可開啟第二反應物流,以例如允許第二反應物流穩定化。
在一些實施例中,選用性的電漿係原位電漿,使得電漿在腔室內之基板表面的正上方形成。在諸多實施例中,電漿可為感應耦合電漿或電容耦合電漿。感應耦合電漿可設定在約50 W與約2000 W之間的電漿。在一些實施例中,可施加在約0 V與約500 V之間的偏壓。在第二反應物的遞送期間,關閉諸如SiCl4 的膜前驅物。基板可曝露於第二反應物及選用性的電漿一段時間,該時間超過電漿與吸附在基板表面上之所有前驅物交互作用的時間,而在基板表面之上形成連續的膜。
在第二反應物遞送操作之後,可沖洗腔室,諸如藉由引入載體氣體或惰性氣體。針對此操作的條件可為以上針對沖洗製程所描述者的其中任一者。
在諸多實施例中,可重複ALD循環。舉例而言,用於ALD的操作可在所沉積的膜及/或材料層的顯著生長之前執行約5至約70循環,甚至多達300循環。因此,可包含及/或執行任何合適數目的沉積循環以沉積所沉積的膜之期望的膜厚度。在一些實施例中,ALD循環可每循環沉積約1 Å。取決於操作的曝露時間,每循環可沉積一膜,諸如具有厚度在約0.05 Å與約5 Å之間的矽氧化物或矽氮氧化物膜。在一些實施例中,每分鐘可執行約二至約三個ALD循環。在一些實施例中,每分鐘可執行多於約三個循環,諸如在具有配置成更靠近基板之入口的腔室中。
在一些實施例中,ALD操作產生能夠保護特徵部免於後續ALE製程中之橫向蝕刻的保形膜,其可在相同的腔室內發生。在一些實施例中,整合ALD以在基板上選擇性地沉積膜,諸如以在特徵部的角隅處沉積膜以保護特徵部在蝕刻製程期間免受侵蝕。在一些實施例中,操作104及106的其中至少一者係自限制反應。在一些實施例中,操作104及106的其中至少一者盡可能係自限制反應。舉例而言,在一些實施例中,僅操作106係自限制製程。在一些實施例中,僅操作104係自限制製程。在一些實施例中,操作104及106皆為自限制性。在諸多實施例中,可序列式地執行操作104及106。序列式地執行這些操作的示例係參照圖3進一步描述於下。
如圖6進一步所描繪,在操作104處觀察到與第一基板材料相關聯的成核延遲。具體而言,如圖6所示,諸如氮化鋁(AlN)的諸多物質沉積在半導體基板上,其實質上可由諸如鎢(W)或銅(Cu)的金屬、矽氧化物(SiO2 )、諸如鉿(Hf)、鋯(Zr)、或錫氧化物(SnO2 )的介電質所構成。在一些實施例中,如圖6中所觀察及顯示,在以上基板材料的任何一或更多者上自三甲基鋁(Al2 (CH3 )6 )前驅物之鋁(Al)的沉積可導致膜大量生長。
如圖6所示,兩金屬(即W與Cu)之間觀察到的成核延遲實質上小於金屬與介電質(舉例而言,諸如W或Cu與SiO2 )之間的成核延遲。此外,操作104中對ALD的觀察可顯示金屬基板表面將大致上比介電表面更快催化前驅物的分解。
此外,沉積腔室內的沉積材料(即,待沉積的材料及/或物質,諸如自三甲基鋁(Al2 (CH3 )6 )前驅物衍生的鋁(Al))之小心曝露及/或處理,可允許在例如其上尋求沉積之介電表面上之材料的分解之前成功地沉積材料。儘管如此,在一些實施例中,可在完全還原及/或在低溫下的W或Cu表面上觀察到相反的成核行為。而且,可觀察到Al2 (CH3 )6 傾向以可預測的方式、或「乾淨地」與富含羥基的表面反應。此外,在一些實施例中,期望的成核延遲可藉由已知的方法獲得,因此省略如此方法的進一步討論。
此外,在一些實施例中,在操作104處,在其上不欲進行沉積之半導體基板(即,如先前實質上所述,在相同的腔室內如第一基板材料上發生沉積之處)的第二基板材料上,可選擇性地避免沉積。
操作104之後,在操作106,蝕刻基板上所沉積材料的一部分,以重新建立不同基板材料之間的成核延遲差距。具體而言,在操作106中,在腔室內藉由ALE蝕刻基板。ALE係一種使用序列式自限制反應移除薄材料層的技術。通常,ALE可使用任何合適的技術執行。原子層蝕刻技術的示例係於2014年11月11日授證之美國專利第8,883,028號、及於2014年8月19日授證之美國專利第8,808,561號中描述,該等美國專利於此藉由參照及為了描述示例原子層蝕刻及蝕刻技術之目的納入本案揭示內容。在諸多實施例中,ALE可使用電漿執行、或可熱力式地執行。
如圖1所示,操作106可循環地執行。「ALE循環」的概念相關於本文諸多實施例的討論。通常,一ALE循環係用以執行蝕刻製程一次之操作的最小集合,諸如蝕刻單層。一循環的結果為基板表面上之膜層的至少一些受到蝕刻。通常,ALE循環包含改質操作以形成反應性層、接著進行移除操作以僅移除或蝕刻此改質層。該循環可包含某些輔助操作,諸如掃除反應物或副產物之其中一者。通常,一循環包含具有獨特操作序列的一階段。作為示例,ALE循環可包含下列操作:(i)反應物氣體的遞送、(ii)自腔室沖洗反應物氣體、(iii)移除氣體及選用性的電漿之遞送、及(iv)腔室的沖洗。在一些實施例中,蝕刻可非保形地執行。
在依需要於操作106處完成蝕刻之後,在操作108處將材料進一步選擇性地沉積在半導體基板上,其中如此選擇性沉積通常受益於因成功完成操作104及106等所致之增強的沉積選擇性。
在一些實施例中,操作102及104可依需要選用性地重複,如同可重複操作106及108。此外,操作104可藉由ALD完成,而操作106可例如藉由ALE完成。而且,操作102-108可無限期地重複,直到獲得期望的半導體特徵部輪廓。
此外,在一些實施例中,與其上欲進行沉積之第一基板材料相關聯的成核延遲小於與其上不欲進行沉積之第二基板材料相關聯的成核延遲。而且,在一些實施例中,成核延遲差距隨沉積進行(即在操作104完成之時)而降低。
現參照圖2,顯示用於執行如本文所揭示之方法中的操作之另一實施例的製程流程圖。在一些實施例中,由圖2描繪的製程流程可以與圖1顯示的製程流程實質上相同的方式發生,因此省略相同者的冗餘描述。
參照圖2中的操作206a及/或206b,如圖6中進一步闡明,在操作206a處觀察到與第一基板材料相關聯的成核延遲ND1
具體而言,且如圖6所示,兩金屬(即W與Cu)之間觀察到的成核延遲實質上小於金屬與介電質(舉例而言,諸如W或Cu與SiO2 )之間的成核延遲。此外,如操作206a中所執行,在操作204a中對ALD的觀察可顯示金屬基板表面將大致比介電表面更快催化前驅物的分解。
返回至圖2,在操作204b處,在其上不欲進行沉積之半導體基板(即,如先前實質上所述,在相同的腔室內如第一基板材料上發生沉積之處)的第二基板材料上,可選擇性地避免沉積。因此,在操作206b處可觀察到成核延遲ND2 。在一些實施例中,與第一基板材料上的ALD相關聯的成核延遲ND1 可小於與第二基板材料相關聯的成核延遲ND2 ,使得成核延遲差距ΔND可在ND2 與ND1 之間計算,如操作208中所示。此外,在一些實施例中,ΔND在ALD進行之時可接近零(0),如例如操作204a中所示。
在操作208中在計算成核延遲差距ΔND之後,在操作204a處沉積在第一基板材料上之材料的一部分及/或在操作204b處沉積在第二基板材料上之材料的一部分係藉由例如ALE蝕刻,以重置及/或以其他方式重新建立ΔND。
在操作210中,藉由例如腔室中之ALE的一或更多循環的執行而蝕刻基板。圖3顯示ALE循環的兩示例性示意圖,諸如可用以實施圖1及2中描繪的製程。圖300a-300e顯示一般性的ALE循環。在300a中,提供基板。在300b中,使基板的表面改質。在300c中,預備下一步驟。在300d中,經改質的層正受到蝕刻。在300e中,移除經改質的層。類似地,圖302a-302e顯示用於蝕刻矽膜之ALE循環的示例。在302a中,提供矽基板,其包含許多矽原子。在302b中,將反應物氣體氯引至基板,其使基板的表面改質。作為示例,302b中的示意圖顯示一些氯吸附在基板的表面上。雖然氯描繪於圖3中,但可使用任何含氯化合物或合適的反應物。在302c中,將反應物氣體氯自腔室排淨。在302d中,移除氣體氬與定向性電漿(如由Ar+ 電漿物種及箭頭所指示)一起引入,且執行離子轟擊以移除基板之改質的表面。在此操作期間,將偏壓施加於基板以吸引離子朝向基板。在302e中,沖洗腔室及移除副產物。
循環可僅部分地蝕刻約0.1 nm至約50 nm的材料、或約0.1 nm與約5 nm的材料之間、或約0.2 nm與約50 nm的材料之間、或約0.2 nm與約5 nm的材料之間。在一循環中蝕刻之材料的量可取決於與ALD整合之目的。舉例而言,若整合ALD以保護側壁,則可改變ALE循環中之蝕刻的量,使得執行ALD保護特徵部底部處之曝露的側壁。在一些實施例中,ALE的循環可移除少於一單層的材料。
在圖2的操作210中將蝕刻化學品引入腔室中。如本文所述,在將材料引入腔室的操作中,在涉及使用電漿之原子層蝕刻的一些實施例中,反應器或腔室可藉由在處理基板或晶圓之前將化學品引入腔室中而穩定化。使腔室穩定化可使用與待於穩定化之後的操作中使用的化學品相同的流率、壓力、溫度、及其他條件。在一些實施例中,使腔室穩定可涉及不同的參數。在一些實施例中,諸如N2 、Ar、Ne、He、及其組合的載體氣體在操作210期間連續地流動。在一些實施例中,在移除期間僅使用載體氣體。在如下所述的一些操作中,可使用載體氣體作為沖洗氣體。在一些實施例中,在操作210期間使用諸如氧的另一反應物氣體以移除改質層。在一些實施例中,在移除期間不使載體氣體流動。
此處提供的是可在圖1顯示的操作106及圖2顯示的操作210中執行之改質及移除操作的示例。改質操作形成具有一厚度之薄反應性表面層,其比後續移除操作中之非改質的材料更容易移除。在改質操作中,可藉由將氯引入腔室而氯化基板。在所揭示的實施例中,使用氯作為示例蝕刻劑物種,但吾人將理解在一些實施例中,將不同的蝕刻氣體引入腔室中。可依據待蝕刻之基板的類型及化學性質選擇蝕刻氣體。在一些實施例中,可點燃電漿及使氯與基板反應以供蝕刻處理。在一些實施例中,氯可與基板反應或可吸附在基板表面之上。在諸多實施例中,氯以氣體形式引入腔室中,且可選用性地由可為上述者之任一者的載體氣體伴隨。自氯電漿產生的物種可藉由在容納基板的處理腔室內形成電漿而直接產生、或其可在不容納基板的處理腔室中遠程地產生,且可供應至容納基板的處理腔室內。在一些實施例中,不使用電漿且可將氯熱力式地引入腔室內。
在諸多實施例中,電漿可為感應耦合電漿或電容耦合電漿。感應耦合電漿可設定在約50 W與約2000 W之間的電漿。在一些實施例中,可施加在約0 V與約500 V之間的偏壓。
在一些實施例中,沖洗可在改質操作之後執行。在沖洗操作中,可將非表面鍵結的活性氯物種自處理腔室移除。此可藉由沖洗及/或抽空處理腔室以移除活性物種而不移除吸附層而完成。在氯電漿中產生的物種可僅藉由停止電漿及允許其餘物種衰減、選用性地結合沖洗及/或抽空腔室而移除。沖洗可使用任何惰性氣體(諸如N2 、Ar、Ne、He、及其組合)進行。
在移除操作中,基板可曝露於能量源(例如引發移除的活化或濺射氣體或化學反應性物種),諸如氬或氦,以藉由定向性濺射而蝕刻基板。在一些實施例中,移除操作可藉由離子轟擊執行。在移除期間,可選用性地開啟偏壓以促進定向性濺射。在一些實施例中,ALE可為等向性的。
可控制濺射氣體的量,以僅蝕刻目標量的材料。在諸多實施例中,腔室的壓力可在改質與移除操作之間變化。氣體的壓力可取決於腔室的尺寸、氣體的流率、反應器的溫度、基板的類型、及待蝕刻之基板的尺寸。若在移除期間開啟偏壓,則可將偏壓設定在約50 eV,以供蝕刻在諸如非晶矽之軟材料中的特徵部、溝槽、或孔洞。在一些實施例中,腔室可在移除操作之後沖洗。沖洗製程可為在改質操作之後針對沖洗所使用者的任一者。
在諸多實施例中,改質及移除操作可循環地重複,諸如約1至約30循環、或約1至約20循環。可包含任何合適數目的ALE循環以蝕刻期望量的膜。在一些實施例中,循環地執行ALE以蝕刻基板上的層之表面的約1 Å至約50 Å。在一些實施例中,ALE的循環蝕刻在基板上的層之表面的約2 Å與約50 Å之間。
在一些實施例中,本文討論之所描述的ALD及ALE製程可在不同的腔室內執行,其中基板在腔室之間轉移而不破壞真空。在其他實施例中,所描述的ALD及ALE製程可在相同腔室內執行,其中在沉積與蝕刻操作之間沒有真空破壞。
返回至圖2,在操作212處選用性地施加偏壓。施加偏壓可蝕刻側壁,因此在諸多實施例中,偏壓可不連續地施加且可在ALD及ALE的諸多循環之後施加。可執行此操作以突破藉由ALD所沉積的膜。在一些實施例中,將偏壓設定至約80 eV以突破藉由ALD的循環所沉積的層。
操作214判定是否已執行ΔND之足夠的重置及/或重新建立。若ΔND非充分地重置,則可重複操作204a及/或204b-214。此外,在一些實施例中,對於重複循環而言,如同於初始循環中,操作204a及/或204b-214在相同腔室內或不破壞真空的情況下執行。
圖4提供用於實施所揭示實施例之製程的進一步實例,其在單一製程流程中結合ALE及選擇性的ALD操作。在操作400中,容納於腔室內的基板可曝露於蝕刻劑或蝕刻氣體以改質基板的表面。此可稱為「表面改質」操作。在此操作期間,可選用性地使載體氣體流動,且同時使蝕刻劑流至腔室。載體氣體可為以上關於圖1-3描述之載體氣體的其中任一者。在一些實施例中,在表面改質期間不使載體氣體流動。可使蝕刻劑流動,使得其僅改質基板的停止表面。在諸多實施例中,在操作400期間可施加偏壓。可以約0 V與約500 V之間的功率施加偏壓。
返回至圖4,在操作402中,基板的改質層可在移除操作中藉由將基板曝露於濺射氣體而自基板移除,該濺射氣體可與載體氣體相同或不同。在諸多實施例中,在此操作中可蝕刻基板表面的至少一部分。以上關於圖2顯示的操作210提供之示例可根據這些操作使用。在一些實施例中,執行操作400及402可構成執行ALE一次。在一些實施例中,操作400及402可選用性地重複一次或更多次(例如,以一或更多循環)。
操作402可對應於移除階段。在一些實施例中,一循環可包含更多操作。在移除期間,可使載體氣體流動而不使任何其他化學品流動。可使載體氣體流動以在改質層受蝕刻時有助於促進改質層的沖洗。
在操作404中,將基板曝露於第一反應物以將第一反應物吸附在至少一些基板表面之上。可執行此操作而不由於執行操作400及402破壞真空。在一些實施例中,第一反應物係如本文描述的膜前驅物。在諸多實施例中,第一反應物可吸附在基板的活性部位之上。上述ALD循環期間之吸附的示例與此操作有關。
操作404可對應於第一前驅物曝露階段。在此操作期間,第一前驅物可與選用性的載體氣體一起流動。注意在此操作期間,可能不使待於下面進一步描述的蝕刻劑流及第二反應物流流動。此操作可執行足以使基板表面的至少一部分飽和的持續時間。在一些實施例中,可使表面的至少約40%、或至少約60%、或至少約80%、或約100%飽和。
在一些實施例中,在執行第一前驅物曝露階段之後,容納基板的腔室可在沖洗階段中選用性地沖洗。在沖洗階段期間,使載體氣體流動以移除未吸附在基板表面之上的過量第一前驅物。在一些實施例中,不執行沖洗階段。
返回至圖4,可執行操作406,使得基板曝露於第二反應物。在諸多實施例中,第二反應物可為還原劑。第二反應物與所吸附的層反應以在基板上沉積薄膜。注意在一些實施例中,操作404及406可反向執行,使得操作406在將第一反應物吸附在基板表面上之前執行。
操作406可對應於第二反應物曝露階段。在此階段期間,使第二反應物流動,且選用性地使載體氣體流動。在一些實施例中,在沒有載體氣體的情況下使第二反應物流動。在此階段期間,不開啟蝕刻劑及第一前驅物流。在諸多實施例中,第二反應物曝露階段亦可包含點燃電漿(未顯示於圖中)。在一些實施例中,膜的薄層可在不使用電漿的情況下沉積。在一些實施例中,在第二反應物曝露階段之後,在沖洗階段期間選用性地沖洗腔室。可執行沖洗階段以自腔室移除呈氣相的副產物或過量的第二反應物。在一些實施例中,不沖洗腔室。
圖4的操作404及406可選用性地重複一或更多次。執行操作404及406可構成如上面關於圖1A描述的一ALD循環。在諸多實施例中,重複操作400-406一或更多次。操作400和402的重複循環及操作404及406的重複循環之組合可進一步循環地執行。舉例而言,在一些實施例中,一循環可包含執行操作400-402兩次,及/或執行操作404-406三次,且亦可重複二或更多次。在一些實施例中,序列式地執行操作400-402及操作404-406的循環。舉例而言,在執行操作400-402之後,執行操作404-406,及/或反之亦然。製程中使用之循環的頻率及循環的數目可取決於所揭示實施例所針對使用之應用的類型。
參照圖5,顯示針對漸進之交替的沉積(諸如在圖2的操作204a中完成的ALD)及蝕刻程序(諸如在圖2的操作210中完成的ALE)評估之膜厚度的圖。具體而言,在一些實施例中,氮化鋁(AlN)可藉由ALD沉積在諸如二氧化矽(SiO2 )的介電質及/或諸如銅(Cu)的金屬上。或者,在一些實施例中,AlN或諸如SiO2 及/或Cu的其他材料可沉積在由實質上氮化鋁(AlN)製成的基板上。
如圖5所示,在包含SiO2或Cu的基板上之AlN的沉積導致例如相對於其上沉積AlN的基板(即,SiO2或Cu)之顯著的AlN膜厚度生長圖案。所沉積材料及/或膜的相對厚度,即「膜厚度」,可如圖所示實質上進行,其中針對在SiO2基板上甫沉積完成的AlN觀察到快速生長。相比之下,沉積在Cu基板上之AlN的膜厚度生長可能例如由於與如此沉積相關聯的成核延遲而在初始的時間段內保持停滯。後續的蝕刻操作接著減少沉積在SiO2基板及/或Cu基板上之AlN的膜厚度,其中沉積在Cu基板上的AlN膜返回及/或被重置至初始零厚度條件。如此沉積及蝕刻操作及/或循環可依需要重複,以在例如如所討論的SiO2基板及/或Cu基板上實現AlN的某些期望的膜厚度。設備
圖7描繪原子層沉積(ALD)處理工作站700之實施例的示意說明,該處理工作站700具有用於維持低壓環境、適合用於執行如本文描述之選擇性沉積的處理腔體702。複數ALD處理工作站700可被包含在共同的低壓處理工具環境中。舉例而言,圖8描繪多工作站式處理工具800的一實施例。在一些實施例中,ALD處理工作站700的一或更多硬體參數(包含以下詳細討論者)可由一或更多電腦控制器750以編程方式調整。
ALD處理工作站700與反應物遞送系統701a呈流體連通,該反應物遞送系統701a用於將處理氣體遞送至分配噴淋頭706。反應物遞送系統701a包含混合容器704,該混合容器704用於混合及/或調節處理氣體(諸如胺基矽烷前驅物氣體、或氧化劑氣體(例如臭氧)、或氨及/或氮氣)以遞送至噴淋頭706。一或更多混合容器入口閥720可控制處理氣體至混合容器704的引入。氮電漿及/或氨電漿亦可被遞送至噴淋頭706或可在ALD處理工作站700中產生。
作為一示例,圖7的實施例包含一汽化點703,用於將待供應至混合容器704的液體反應物汽化。在一些實施例中,汽化點703可為加熱的汽化器。從如此汽化器產生之飽和的反應物蒸氣可能在下游遞送管路內凝結。不相容的氣體曝露於凝結的反應物可能產生小顆粒。這些小顆粒可能堵塞管路、妨礙閥操作、污染基板等。解決這些問題的一些方法涉及沖洗及/或抽空遞送管路以移除殘留的反應物。然而,沖洗遞送管路可能增加處理工作站的循環時間、降低處理工作站的生產率。因此,在一些實施例中,汽化點703下游的遞送管路可為伴熱的(heat traced)。在一些實例中,混合容器704亦可為伴熱的。在一非限制性的示例中,汽化點703下游的管路具有從大約100℃延伸至混合容器704處大約150℃的漸增溫度分布。
在一些實施例中,液體前驅物或液體反應物可在液體注射器處汽化。舉例而言,液體注射器可將液體反應物的脈衝注入至混合容器上游的載體氣流內。在一實施例中,液體注射器可藉由將液體自一較高壓力至較低壓力驟沸而汽化反應物。在另一示例中,液體注射器可將液體霧化成分散的微滴,其隨後在加熱的遞送管內汽化。較小的液滴可比較大的液滴更快汽化,縮短液體注入和完全汽化之間的延遲。較快的汽化可降低自汽化點703下游之管路的長度。在一情況下,液體注射器可直接安裝至混合容器704。在另一情況下,液體注射器可直接安裝至噴淋頭706。
在一些實施例中,可設置汽化點703上游的液體流量控制器(LFC),以控制用於汽化及遞送至處理工作站700之液體的質量流量。舉例而言,LFC可包含位在LFC下游的熱質量流量計(MFM)。LFC的柱塞閥可接著響應回授控制訊號而調整,該回授控制訊號由與MFM電連通的一比例-積分-微分作用(PID, proportional-integral-derivative)控制器提供。然而,使用回授控制可能耗用一秒以上以穩定液體流量。此可能延長供給液體反應物的時間。因此,在一些實施例中,LFC可在回授控制模式與直接控制模式之間動態地切換。在一些實施例中,此可藉由將PID控制器和LFC的感測管停用而執行。
噴淋頭706將處理氣體朝基板712散佈。在圖7顯示的實施例中,基板712位於噴淋頭706下方,且顯示配置在底座708上。噴淋頭706可具有任何適合的形狀,且可具有任何適合數量及排列的埠口,以供將處理氣體散佈至基板712。
在一些實施例中,底座708可升高或降低以將基板712曝露於基板712與噴淋頭706之間的容積。吾人將察知在一些實施例中,底座高度可藉由適合的電腦控制器750以編程方式調整。
在另一情況下,在點燃電漿的實施例中,調整底座708的高度可允許電漿密度在製程中的電漿活化循環期間受到改變。在製程階段結束時,底座708可在另一基板傳送階段期間降低,以允許自底座708移除基板712。
在一些實施例中,底座708可透過加熱器710控制溫度。在一些實施例中,在如所揭示實施例中描述之矽氮化物膜的沉積期間,底座708可加熱至至少約250℃、或在一些實施例中小於約300℃(諸如約250℃)的溫度。在一些實施例中,將底座設定在約50℃與約300℃之間的溫度,諸如在約200℃與約275℃之間的溫度。在一些實施例中,將底座設定在約50℃與約300℃之間的溫度。在一些實施例中,將底座設定在約200℃與約275℃之間的溫度。
此外,在一些實施例中,處理工作站700的壓力控制可透過蝶形閥718提供。如圖7的實施例所示,蝶形閥718調節由下游真空泵(在圖中未顯示)提供的真空。然而,在一些實施例中,處理工作站700的壓力控制亦可藉由改變被導入處理工作站700之一或更多氣體的流率而調整。
在一些實施例中,噴淋頭706的位置可相對於底座708調整,以改變基板712與噴淋頭706之間的容積。此外,吾人將察知底座708及/或噴淋頭706的垂直位置可藉由在本揭示內容範圍內之任何適合的機構變化。在一些實施例中,底座708可包含用於旋轉基板712之方向的旋轉軸。吾人將察知在一些實施例中,這些示例調整的其中一或更多者可藉由一或更多適合的電腦控制器750以編程方式執行。
在電漿可如上所述使用的一些實施例中,噴淋頭706及底座708與用於對電漿供電的射頻(RF)電源714及匹配網路716電連通。在一些實施例中,電漿能量可藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序之其中一或更多者而受控制。舉例而言,RF電源714及匹配網路716可在任何適合的功率下操作,以形成具有期望之自由基物種成分的電漿。合適功率的示例係約150 W至約6000 W。在矽氧化物上相對於矽氮化物之矽氧化物的選擇性沉積之前,電漿可在矽氮化物表面的處理期間使用。RF電源714可提供任何合適頻率的RF功率。在一些實施例中,RF電源714可配置成彼此獨立地控制高頻及低頻RF功率源。示例低頻RF頻率可包含但不限於0 kHz與500 kHz之間的頻率。示例高頻RF頻率可包含但不限於1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。吾人將察知任何合適的參數可不連續地或連續地加以調整,以提供用於表面反應的電漿能量。
在一些實施例中,電漿可藉由一或更多電漿監視器原位監控。在一情況下,電漿功率可藉由一或更多電壓、電流感測器(例如VI探針)監控。在另一情況下,電漿密度及/或處理氣體濃度可藉由一或更多光學發射光譜感測器(OES)測量。在一些實施例中,一或更多電漿參數可基於來自如此原位電漿監視器的測量以編程方式調整。舉例而言,OES感測器可在回授迴路中使用,該回授迴路用於提供電漿功率的編程控制。吾人將察知在一些實施例中,其他監視器可用以監控電漿及其他製程特性。如此監視器可包含但不限於紅外線(IR)監視器、聲學監視器、及壓力轉換器。
在一些實施例中,控制器750的指令可透過輸入/輸出控制(IOC)序列指令提供。在一示例中,用於設定製程階段之條件的指令可被包含於製程配方之相對應的配方階段中。在某些情況下,製程配方階段可序列式排列,使得製程階段的所有指令與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數的指令可被包含於一配方階段中。舉例而言,第一配方階段可包含用於設定惰性及/或氨及/或氮反應物氣體之流率的指令、用於設定載體氣體(諸如氬)之流率的指令、用於點燃電漿的指令、及該第一配方階段的時間延遲指令。第二配方階段可包含用於設定惰性及/或胺基矽烷矽前驅物氣體之流率的指令、用於設定載體氣體(諸如氬)之流率的指令、及該第二配方階段的時間延遲指令。後續的第三配方階段可包含用於調節或停止惰性及/或反應物氣體之流率的指令、及用於調節載體或沖洗氣體之流率的指令、及該第三配方階段的時間延遲指令。第四配方階段可包含用於調節氧化劑氣體(諸如臭氧)之流率的指令、用於調節載體或沖洗氣體之流率的指令、及該第四配方階段的時間延遲指令。後續的第五配方階段可包含用於調節或停止惰性及/或反應物氣體之流率的指令、及用於調節載體或沖洗氣體之流率的指令、及該第五配方階段的時間延遲指令。吾人將察知這些配方階段可在所揭示實施例的範圍內以任何適合的方式進一步細分及/或重複。在一些實施例中,控制器750可包含以下關於圖8之系統控制器850所描述的任何特徵。
如上所述,一或更多處理工作站可被包含在如圖8顯示之多工作站式處理工具800內。在一些實施例中,多工作站式處理工具可為來自由Lam Research of Fremont, CA市售的VECTOR ® 家族的工具及/或產品,且如結合圖1-3而呈現及描述執行或以其他方式輔助ALD。圖8顯示多工作站式處理工具800之實施例的示意圖,該多工作站式處理工具800具有入站裝載鎖定部802及出站裝載鎖定部804,其中的一者或兩者可包含遠程電漿源。將處於大氣壓力下的機器人806配置成將晶圓從卡匣(經由晶圓傳送盒(pod)808裝載)通過大氣埠810而移動到入站裝載鎖定部802內。藉由機器人806將晶圓置放在入站裝載鎖定部802內的底座812上,將大氣埠810關閉,並將裝載鎖定部抽真空。若入站裝載鎖定部802包含遠程電漿源,則晶圓在被引入至處理腔室814之前,可曝露於遠程電漿處理以在裝載鎖定部中處理矽氮化物表面。此外,晶圓亦可在入站裝載鎖定部802內受到加熱,以例如將水分及吸附的氣體移除。接著,開啟通往處理腔室814的腔室傳送埠816,且另一機器人(未顯示)將晶圓放至反應器中,在反應器中顯示之第一工作站的底座上進行處理。雖然圖8中描繪的實施例包含裝載鎖定部,但吾人將察知在一些實施例中,可設置晶圓進入處理工作站的直接通道。
在圖8顯示的實施例中,所描繪的處理腔室814包含四個處理工作站(編號從1到4)。各工作站具有加熱的底座(對於工作站1顯示為818)及氣體管線入口。吾人將察知在一些實施例中,各處理工作站可具有不同或多種用途。舉例而言,在一些實施例中,處理工作站可在ALD與電漿加強的ALD處理模式之間切換。此外或替代地,在一些實施例中,處理腔室814可包含一對以上匹配的ALD和電漿加強的ALD處理工作站。雖然所描繪的處理腔室814包含四個工作站,但吾人將理解根據本揭示內容的處理腔室可具有任何適當數目的工作站。舉例而言,在一些實施例中,處理腔室可具有五或更多的工作站,而在其他實施例中,處理腔室可具有三或更少的工作站。
在一些實施例中,圖8中所顯示者可與晶圓搬運系統交互作用及/或以其他方式接合,以供在處理腔室814之內傳送晶圓。在一些實施例中,晶圓搬運系統可在諸多處理工作站之間、及/或在處理工作站與裝載鎖定部之間傳送晶圓。吾人將察知可使用任何適當的晶圓搬運系統。非限制性的示例包含晶圓旋轉料架及晶圓搬運機器人。圖8亦描繪系統控制器850的一實施例,該系統控制器850用於控制處理工具800的製程條件及硬體狀態。系統控制器850可包含一或更多記憶體元件856、一或更多大量儲存元件854、及一或更多處理器852。處理器852可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器850控制處理工具800的所有活動。系統控制器850執行系統控制軟體858,該系統控制軟體858儲存在大量儲存元件854中、加載至記憶體元件856、及在處理器852上執行。或者,控制邏輯可在控制器850內加以硬編碼。針對此等目的,可使用特殊應用積體電路、可程式化邏輯裝置(例如現場可程式化閘陣列(FPGAs))等。在下面的討論中,在任何使用「軟體」或「程式碼」之處,皆可使用功能性相當的硬編碼邏輯來取代。系統控制軟體858可包含用於控制下述的指令:時序、氣體的混合、氣體流率、腔室及/或工作站壓力、腔室及/或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、卡盤及/或基座的位置、及由處理工具800執行之特殊製程的其他參數。系統控制軟體858可以任何適合的方式配置。舉例而言,可撰寫諸多處理工具元件的副程式或控制物件,以控制用以執行諸多處理工具製程之處理工具元件的操作。系統控制軟體858可以任何適合的電腦可讀程式語言編碼。
在一些實施例中,系統控制軟體858可包含輸入/輸出控制(IOC)定序指令,用於控制上述諸多參數。儲存在與系統控制器850相關聯之大量儲存元件854及/或記憶體元件856中的其他電腦軟體及/或程式可在一些實施例中使用。用於此目的之程式或程式區段的示例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該處理工具元件係用以將基板裝載至底座818之上、並用以控制基板與處理工具800之其他部分間的間距。
處理氣體控制程式可包含程式碼,用於控制氣體成分(例如:胺基矽烷氣體、及氧化劑氣體、氨、氮、如本文描述的載體氣體及/或沖洗氣體)和流率、及選用性地用於在沉積之前將氣體流入一或更多處理工作站,以使處理工作站內的壓力穩定。壓力控制程式可包含程式碼,用於藉由調節例如在處理工作站之排氣系統內的節流閥、進入處理工作站的氣流等而控制處理工作站內的壓力。
加熱器控制程式可包含程式碼,用於控制電流流至用以加熱基板的加熱單元。或者,該加熱器控制程式可控制熱轉移氣體(諸如氦)至基板的遞送。
電漿控制程式可包含程式碼,用於根據本文實施例在一或更多處理工作站內設定施加至處理電極的RF功率位準。
壓力控制程式可包含程式碼,用於根據本文實施例維持反應腔室內的壓力。
在一些實施例中,可能有與系統控制器850相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器850調整的參數可能與製程條件有關。非限制性的示例包含處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF偏壓功率位準)等。這些參數可以呈配方的形式提供給使用者,其可利用使用者介面輸入。
用於監控製程的訊號可由系統控制器850的類比及/或數位輸入連接件自諸多處理工具感測器提供。用於控制製程的訊號可在處理工具800的類比及數位輸出連接件上輸出。可被監控之處理工具感測器之非限制性的示例包含質流控制器、壓力感測器(諸如壓力計)、熱電偶等。適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
系統控制器850可提供用於執行上述沉積製程的程式指令。該等程式指令可控制各種製程參數,諸如:DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制參數以根據本文描述的諸多實施例操作膜堆疊的原位沉積。
系統控制器850一般包含配置成執行指令的一或更多記憶體元件及一或更多處理器,使得該設備將根據所揭示的實施例執行方法。包含用於根據所揭示的實施例控制製程操作之指令的機器可讀媒體可耦接至系統控制器850。
在一些實施方式中,系統控制器850為系統的一部分,其可為上述示例的一部分。如此系統可包括半導體處理設備,其包含處理工具或複數處理工具、腔室或複數腔室、用於處理的平臺或複數平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板的處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制系統或複數系統的諸多元件或子部分。依據系統的處理條件及/或類型,系統控制器850可加以編程以控制本文揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,系統控制器850可定義為具有接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為呈諸多個別設定(或程式檔案)之形式與系統控制器850通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間完成一或更多處理步驟。
在一些實施方式中,系統控制器850可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,系統控制器850可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些示例中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些示例中,系統控制器850接收呈數據形式的指令,該數據指定於一或更多操作期間將執行之各處理步驟的參數。應理解參數可專門用於將執行之製程的類型及系統控制器850受配置所介接或控制之工具的類型。因此,如上所述,系統控制器850可為分散式的,諸如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(諸如本文描述的製程及控制)作業。一用於如此目的之分散式控制器的示例將為腔室中的一或更多積體電路,其連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或更多積體電路,而結合以控制腔室內的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中的其他半導體處理系統。
如上所述,依據將由工具執行的製程步驟或複數製程步驟,系統控制器850可與下列其中一或更多者通訊:其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
用於執行本文所揭示方法的適當設備係進一步在下列美國專利申請案中討論及描述:於2011年4月11日申請、題為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”之美國專利申請案第13/084,399號(現為美國專利第8,728,956號);及於2011年4月11日申請、題為“SILICON NITRIDE FILMS AND METHODS”之美國專利申請案第13/084,305號,其中每一者的全部內容於此納入本案揭示內容。
本文描述的設備/製程可結合微影圖案化的工具或製程(例如半導體元件、顯示器、LED、太陽光電板等的製造或生產)使用。通常,雖然不一定,如此工具/製程將在共同的製造設施內一起使用或執行。膜的微影圖案化一般包含下列操作的一些或全部者,各操作係以幾個可能的工具達成:(1)工件(即基板)上光阻的施加,其使用旋轉式或噴塗式的工具;(2)光阻的固化,其使用熱板或加熱爐或UV固化工具;(3)以諸如晶圓步進機的工具將光阻曝露於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻且從而使其圖案化,其使用諸如溼檯的工具;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移進入下方的膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。
一般性地參照圖9,現在描述某些實施例中可適合用於原子層蝕刻(ALE)操作及/或原子層沉積(ALD)操作的感應耦合電漿(ICP)反應器。如此ICP反應器亦已在2013年12月10日申請、題為“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美國專利申請案公開號第2014/0170853號中描述,其全部內容於此藉由參照及為了所有目的納入本案揭示內容。雖然此處描述ICP反應器,但在一些實施例中,應理解亦可使用電容耦合電漿(CCP)反應器。
圖9示意性地顯示適合用於執行此處某些實施例之整合感應耦合電漿之蝕刻及沉積設備900的橫剖面圖,該設備的一示例係KiyoTM 反應器,由Lam Research Corp. of Fremont, CA所製造。在一些實施例中,如結合圖1-4而呈現及描述的ALE可主要在KiyoTM 反應器上執行。感應耦合電漿設備900包含結構上由腔室壁901及縱向延伸於其間的窗911定義的整體處理腔室。腔室壁901可由不銹鋼或鋁製造。窗911可由石英或其他介電材料製造。選用性的內部電漿格柵950將整體處理腔室分割成上子腔室902及位於其下方的下子腔室903。此外,在一些實施例中,可將電漿格柵950移除,從而產生及/或使用由子腔室902和903構成的腔室空間。卡盤917位在下子腔室903之內接近底部內表面。卡盤917配置成接收及固持半導體晶圓919,在該半導體晶圓919上執行蝕刻及沉積製程。卡盤917可為靜電卡盤,用於當晶圓919存在時支撐晶圓919。在一些實施例中,邊緣環(未顯示)環繞卡盤917,且當晶圓919存在卡盤917上時,該邊緣環具有與晶圓919的頂部表面大致平坦的上表面。在一些實施例中,卡盤917亦包含用於夾持及解除夾持晶圓的靜電電極。濾波器及DC箝位電源(DC clamp power supply)(未顯示於圖中)可針對此目的而提供。亦可提供用於抬升晶圓919遠離卡盤917的其他控制系統。可使用RF電源923使卡盤917帶電荷。RF電源923藉由連接件927連接至匹配電路921。該匹配電路921藉由連接件925連接至卡盤917。以此方式,將RF電源923連接至卡盤917。
用於產生電漿的元件包含位在窗911之上的線圈933。在一些實施例中,線圈並未在所揭示的實施例中使用。線圈933由導電材料製成,且包含至少一完整匝。圖9中顯示之線圈933的例子包含三匝。線圈933的橫剖面以符號表示:具有「X」的線圈旋轉延伸進入頁面,而具有「●」的線圈旋轉延伸出頁面。用於產生電漿的元件亦包含RF電源941,其配置成將RF功率供應至線圈933。通常,RF電源941藉由連接件945連接至匹配電路939。匹配電路939藉由連接件943連接至線圈933。以此方式,RF電源941連接至線圈933。選用性的法拉第屏蔽949位在線圈933與窗911之間。法拉第屏蔽949相對於線圈933維持一間隔開的關係。法拉第屏蔽949設置在窗911的正上方。線圈933、法拉第屏蔽949、及窗911各自配置成實質上彼此平行,呈例如圖9中顯示的配置。法拉第屏蔽可防止金屬或其他物種沉積在電漿腔室的介電窗上。
處理氣體(例如:氯、氬、四氯化矽、氧、氧等)可通過位在上子腔室的一或更多主要氣體流入口960及/或通過一或更多側氣體流入口970流入至處理腔室。同樣,雖然未明確顯示,類似的氣體流入口可用以將處理氣體供應至電容耦合電漿(CCP)處理腔室。真空幫浦(例如一或二階段機械乾式幫浦及/或渦輪分子幫浦940)可用以將處理氣體泵出處理腔室,且維持處理腔室內的壓力。舉例而言,幫浦可在ALD的沖洗操作期間用以抽空腔室。閥控制的導管可用以將真空幫浦流體連接至處理腔室,以選擇性地控制由真空幫浦提供之真空環境的應用。此可在操作的電漿處理期間使用閉迴路控制的流量限制裝置(諸如節流閥(未顯示於圖9中)或鐘擺閥(未顯示於圖9中))進行。同樣,亦可使用連接至電容耦合電漿(CCP)處理腔室的真空幫浦及/或閥控制流體連接件。
在ICP設備900的操作期間,一或更多處理氣體可通過氣體流入口960及/或970供應。在某些實施例中,處理氣體可僅通過主要氣體流入口960、或僅通過側氣體流入口970供應。在一些情況下,舉例而言,圖中顯示的氣體流入口可取代更複雜的氣體流入口及/或一或更多噴淋頭。法拉第屏蔽949及/或選用性的格柵950可包含允許將處理氣體遞送至腔室的內部通道及孔洞。法拉第屏蔽949及選用性的格柵950之其中一或二者可作為用於遞送處理氣體的噴淋頭。在一些實施例中,液體汽化及遞送系統可位於腔室的上游,使得一旦液體反應物或前驅物被汽化,則汽化的反應物或前驅物經由氣體流入口960及/或970引入至腔室。示例液體前驅物包含SiCl4 及矽醯胺(silicon amide)。
射頻功率從RF電源941供應至線圈933,以造成RF電流流入及/或流經線圈933。流經線圈933的RF電流在線圈933周圍產生電磁場,其在上子腔室902之內產生感應電流。所產生的諸多離子及自由基與晶圓919的物理及化學交互作用在晶圓上選擇性地蝕刻特徵部及沉積層,諸如在例如圖1A中顯示之針對ALE及/或ALD製程所討論及描述者。
若使用電漿格柵而使得有上子腔室902及下子腔室903兩者,則感應電流作用於存在上子腔室902中的氣體,以在上子腔室902內產生電子-離子電漿。選用性的內部電漿格柵950限制下子腔室903內之熱電子的量。在一些實施例中,將設備設計及操作成使得存在下子腔室903內的電漿係「離子-離子」電漿。
上部的電子-離子電漿及下部的離子-離子電漿兩者可包含正及負離子,然而離子-離子電漿將具有較大之負離子對正離子的比率。揮發性的蝕刻及/或沉積副產物可自下子腔室903通過埠922而移除。此處揭示的卡盤917可在約10℃與約250℃之間範圍的升高溫度下操作。溫度將取決於製程操作及特定配方。
在設備(未顯示於圖9中)係安裝於無塵室或製造設施內時,腔室可耦接至該設備。如此設備可包含提供處理氣體、真空、溫度控制、及/或環境粒子控制的管線。當這些設備安裝在目標製造設施內時,該等設備係耦接至腔室。此外,腔室可耦接至傳送腔室,該傳送腔室允許機器人使用例如典型自動化技術將半導體晶圓傳送進出腔室。
在一些實施例中,系統控制器930(其可包含一或更多物理或邏輯控制器)控制處理腔室的一些或全部操作。系統控制器930可包含一或更多記憶體元件及一或更多處理器。在一些實施例中,設備包含切換系統,當執行所揭示的實施例時,該切換系統用於控制流率及持續時間。在一些實施例中,設備可具有高達約500 ms、或高達約750 ms的切換時間。舉例而言,切換時間可取決於流量化學、選擇的配方、反應器架構、及諸多其他因素。
在一些實施方式中,系統控制器或控制器930為系統的一部分,其可為上述示例的一部分及/或以其他方式與上述示例整合。如此系統可包括半導體處理設備,其包含處理工具或複數處理工具、腔室或複數腔室、用於處理的平臺或複數平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板的處理之前、期間、及之後控制這些系統的操作。電子設備可統稱為「控制器」,其可控制系統或複數系統的諸多元件或子部分。依據系統的處理參數及/或類型,控制器930可加以編程以控制本文揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器930可定義為具有接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為呈諸多個別設定(或程式檔案)之形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽(SiO2 )、表面、電路、及/或晶圓的晶粒之製造期間完成一或更多處理步驟。
在一些實施方式中,控制器930可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,控制器可為在「雲端」及/或「雲端」類型的電腦網路或晶圓廠主機電腦系統的整體或至少一部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些示例中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些示例中,控制器930接收呈數據形式的指令,該數據指定於一或更多操作期間將執行之各處理步驟的參數。應理解參數可專門用於將執行之製程的類型及控制器受配置所介接或控制之工具的類型。因此,如上所述,控制器930可為分散式的,諸如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(諸如本文描述的製程及控制)作業。一用於如此目的之分散式控制器的示例將為腔室中的一或更多積體電路,其連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或更多積體電路,而結合以控制腔室內的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中的其他半導體處理系統。
如上所述,依據將由工具執行的製程步驟或複數製程步驟,控制器可與下列其中一或更多者通訊:其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
圖10描繪具有諸多模組的半導體製程群組架構,該等模組與真空傳送模組1038(VTM)介接。在多個儲存設備及處理模組之中「傳送」晶圓之傳送模組的配置可被稱為「群組工具架構」系統。氣室1030(亦稱為「裝載鎖定部」或傳送模組)係在伴隨四個處理模組1020a-1020d的VTM 1038中顯示,該等處理模組1020a-1020d可個別地最佳化以執行諸多製造製程。舉例而言,可實施處理模組1020a-1020d以執行基板蝕刻、沉積、離子佈植、晶圓清潔、濺射、及/或其他半導體相關的製程。在一些實施例中,在相同模組內執行ALD及ALE。在一些實施例中,在相同工具的不同模組內執行ALD及ALE。基板蝕刻處理模組的一或更多者(1020a-1020d的任一者)可如此處所揭示而實施,即,用於沉積保形膜、藉由ALD選擇性地沉積膜、蝕刻圖案、及其他根據所揭示實施例之適合的功能。氣室1030及處理模組1020可被稱為「工作站」。各工作站具有將該工作站與VTM 1038介接的面部(facet)1036。在各面部的內部,使用感測器1-18以當晶圓1026在個別的工作站之間移動時偵測其通過。
機器人1022在工作站之間傳送晶圓1026。在一實施例中,機器人1022具有一手臂,而在另一實施例中,機器人1022具有二手臂,其中各手臂具有末端執行器1024以拾取晶圓(諸如晶圓1026)以供傳輸。使用大氣傳送模組(ATM)1040中的前端機器人1032以將晶圓1026由裝載埠模組(LPM)1042中的卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)1034傳送至氣室1030。處理模組1020a及/或1020b內部的模組中心1028係適合用於放置晶圓1026的位置。使用ATM 1040內的對準器1044以對準晶圓。
在一示例性的處理方法中,將晶圓放置於LPM 1042中之FOUP 1034的其中一者內。前端機器人1032將晶圓由FOUP 1034傳送至對準器1044,該對準器1044允許晶圓1026在被蝕刻或被處理之前正確地置中。在經過對準之後,藉由前端機器人1032將晶圓1026移動進入氣室1030。由於氣室模組具有使ATM與VTM之間的環境相匹配的能力,因此晶圓1026能夠在不受損害的情況下於兩壓力環境之間移動。從氣室模組1030,藉由機器人1022將晶圓1026經由VTM 1038移動進入處理模組1020a-1020d的其中一者。具體而言,機器人1022使用配置在其各手臂上的末端執行器1024,以例如抓取晶圓1026俾如上所述移動晶圓1026,即,經由VTM 1038進入處理模塊1020a-1020d的其中一者。一旦晶圓1026已受到處理,其藉由機器人1022自處理模組1020a-1020d移動至氣室模組1030。由此,晶圓1026可由前端機器人1032移動至FOUP 1034的其中一者或對準器1044。
吾人應注意控制晶圓移動的電腦對於群組架構可為本地的、或可設在生產樓層(manufacturing floor)內之群組架構的外部、或位於遠端位置中並經由網路連接至群組架構。此外,在一些實施例中,如以上關於圖7-9描述的控制器可與圖10中的工具一起實施。
此外,在一些實施例中,關於圖1及/或2顯示及描述者,也就是說,在操作104及/或204a處藉由ALD的選擇性沉積、及在操作106及/或210處藉由ALE在不同基板材料之間之成核延遲差距的後續重置,可在圖7-10中顯示及討論之設備的任何一或更多者中實施及/或以其他方式執行。
優先地,在一些實施例中,操作104及/或204a中的ALD係藉由電漿加強化學氣相沉積(PECVD)產品實施,諸如由Lam Research Corporation, of Fremont, CA市售之VECTOR ® 群組之產品中的產品。此外,由Lam Research開發的其他混合工具可包含在共同平台上的VECTOR ® 及Kiyo™兩者的產品及/或工具。具體而言,如此平台可包含引導完成在VECTOR ® 產品上之ALD程序及在Kiyo™產品上之ALE程序的配置,其中VECTOR ® 及Kiyo™皆在共同的叢集中在操作上整合。如此共同的叢集可允許完成本文描述的ALD及/或ALE程序而沒有真空破壞,因此提供在真空沉積及/或蝕刻腔室中及/或之間針對傳統製程循環的有用替代方案。
此外,圖1-4中顯示及討論之ALD及/或ALE程序的其中一或更多者(或所有)的任何組合可在沒有以其他方式包含基板表面整合的情況下完成。此外,涉及VECTOR ® 及Kiyo™之產品及/或工具的整合之實施例可能導致最佳的整個過程,而不是嘗試在例如Kiyo™產品本身上完成所討論的ALD及/或ALE程序。此外,在一些實施例中,在相同叢集中之VECTOR ® 及Kiyo™產品及/或工具的置放(其中ALD於VECTOR ® 產品上執行且ALE於Kiyo™上執行)可參照偏離目標沉積而消除或實質上減少生長缺陷。
所描述的ALD及/或ALE程序與其他半導體相關製程的額外整合係可能的。具體而言,關於圖1-3顯示及描述者可與間隙填充應用、圖案化、來自初始層之後續層的選擇性層生長、及/或蝕刻(諸如ALE)程序期間之層的選擇性保護一起實施。結論
雖然上述實施例為了清楚理解的目的已以一些細節描述,但顯然地,某些改變與修飾可在隨附申請專利範圍的範疇內實施。應注意有許多替代方式執行本發明實施例的製程、系統、及設備。因此,本發明實施例係被視為說明性而非限制性的,且該等實施例不限於本文提供的細節。
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
202‧‧‧操作
204a‧‧‧操作
204b‧‧‧操作
206a‧‧‧操作
206b‧‧‧操作
208‧‧‧操作
210‧‧‧操作
212‧‧‧操作
214‧‧‧操作
300a‧‧‧圖
300b‧‧‧圖
300c‧‧‧圖
300d‧‧‧圖
300e‧‧‧圖
302a‧‧‧圖
302b‧‧‧圖
302c‧‧‧圖
302d‧‧‧圖
302e‧‧‧圖
304a‧‧‧圖
304b‧‧‧圖
304c‧‧‧圖
304d‧‧‧圖
304e‧‧‧圖
400‧‧‧操作
402‧‧‧操作
404‧‧‧操作
406‧‧‧操作
700‧‧‧處理工作站
701a‧‧‧反應物遞送系統
702‧‧‧處理腔體
703‧‧‧汽化點
704‧‧‧混合容器
706‧‧‧噴淋頭
708‧‧‧底座
710‧‧‧加熱器
712‧‧‧基板
714‧‧‧射頻(RF)電源
716‧‧‧匹配網路
718‧‧‧蝶形閥
720‧‧‧混合容器入口閥
750‧‧‧控制器
800‧‧‧處理工具
802‧‧‧入站裝載鎖定部
804‧‧‧出站裝載鎖定部
806‧‧‧機器人
808‧‧‧晶圓傳送盒
810‧‧‧大氣埠
812‧‧‧底座
814‧‧‧處理腔室
816‧‧‧腔室傳送埠
818‧‧‧底座
850‧‧‧控制器
852‧‧‧處理器
854‧‧‧大量儲存元件
856‧‧‧記憶體元件
858‧‧‧系統控制軟體
900‧‧‧設備
901‧‧‧腔室壁
902‧‧‧上子腔室
903‧‧‧下子腔室
911‧‧‧窗
917‧‧‧卡盤
919‧‧‧晶圓
921‧‧‧匹配電路
922‧‧‧埠
923‧‧‧RF電源
925‧‧‧連接件
927‧‧‧連接件
930‧‧‧控制器
933‧‧‧線圈
939‧‧‧匹配電路
940‧‧‧幫浦
941‧‧‧RF電源
943‧‧‧連接件
945‧‧‧連接件
949‧‧‧法拉第屏蔽
950‧‧‧格柵
960‧‧‧主要氣體流入口
970‧‧‧側氣體流入口
1020a‧‧‧處理模組
1020b‧‧‧處理模組
1020c‧‧‧處理模組
1020d‧‧‧處理模組
1022‧‧‧機器人
1024‧‧‧末端執行器
1026‧‧‧晶圓
1028‧‧‧模組中心
1030‧‧‧氣室(模組)
1032‧‧‧前端機器人
1034‧‧‧前開式晶圓傳送盒(FOUP)
1036‧‧‧面部
1038‧‧‧真空傳送模組(VTM)
1040‧‧‧大氣傳送模組(ATM)
1042‧‧‧裝載埠模組(LPM)
1044‧‧‧對準器
圖1係製程流程圖,其描述用於根據所揭示實施例之方法的操作。
圖2係另一製程流程圖,其描述用於根據所揭示實施例之方法的操作。
圖3係蝕刻及沉積的示意說明。
圖4係另一製程流程圖,其描述用於根據所揭示實施例之方法的操作。
圖5係交替之沉積及蝕刻循環的圖。
圖6係諸多基板表面上所觀察之成核延遲的圖。
圖7係用於執行所揭示實施例之示例處理腔室的示意圖。
圖8係用於執行所揭示實施例之示例處理腔室的另一示意圖。
圖9係用於執行所揭示實施例之示例處理設備的又另一示意圖。
圖10係用於執行所揭示實施例之示例處理設備的再另一示意圖。

Claims (19)

  1. 一種在半導體基板上執行沉積的方法,該方法包含: 在一半導體基板上選擇性地沉積材料,該基板包含具有不同成核延遲的複數基板材料,該不同成核延遲對應於根據成核延遲差距而沉積於該基板上的該材料; 蝕刻沉積在該基板上之該材料的一部分,以重新建立該等基板材料之間的成核延遲差距;及 在該基板上進一步選擇性地沉積該材料。
  2. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,與其上欲進行沉積之第一基板材料相關聯的成核延遲小於與其上不欲進行沉積之第二基板材料相關聯的成核延遲。
  3. 如申請專利範圍第2項之在半導體基板上執行沉積的方法,其中,該成核延遲差距隨沉積進行而降低。
  4. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,該蝕刻係以循環的方式執行,一循環包含: 將該基板曝露於蝕刻氣體以改質該基板的表面;及 將該基板曝露於移除氣體以移除經改質之該表面的其中至少一些。
  5. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,該沉積係以循環的方式執行,一循環包含: 將該基板曝露於沉積前驅物以改質該基板的表面;及 將該基板曝露於還原劑以沉積該材料。
  6. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,重複在該基板上選擇性地沉積該材料之該步驟及蝕刻所沉積之該材料的一部分之該步驟使所沉積的該材料增厚而不造成沉積選擇性的相應崩潰。
  7. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,重複在該基板上選擇性地沉積該材料之該步驟及蝕刻所沉積之該材料的一部分之該步驟避免偏離目標沉積。
  8. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,待沉積的該材料係氮化鋁(AlN)。
  9. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,該複數基板材料係選自由矽氧化物(SiO2 )、矽氮化物(Si3 N4 )、矽碳化物(SiC)、鋁氧化物(Al2 O3 )、及氮化鋁(AlN)所組成的群組。
  10. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,該複數基板材料係選自由鉿(Hf)、鋯(Zr)、及錫氧化物(SnO2 )所組成的群組。
  11. 如申請專利範圍第1項之在半導體基板上執行沉積的方法,其中,該複數基板材料係選自由鎢(W)、銅(Cu)、鈷(Co)、鋁(Al)、鈦(Ti)、矽(Si)、及碳(C)所組成之導電膜的群組。
  12. 如申請專利範圍第8項之在半導體基板上執行沉積的方法,其中,三甲基鋁針對待沉積之鋁氮化物提供鋁。
  13. 一種方法,包含: (a) 將容納於一腔室內的一基板曝露於交替之第一反應物及第二反應物的脈衝以在該基板上沉積膜,該基板具有其上欲進行膜沉積的第一基板材料及其上不欲進行膜沉積的第二基板材料,該第二基板材料不同於該第一基板材料,且根據隨沉積進行而降低的成核延遲差距,該第一基板材料的成核延遲小於該第二基板材料的成核延遲; (b) 將容納於一腔室內的一基板曝露於交替之蝕刻氣體及移除氣體的脈衝以蝕刻所沉積材料的一部分,俾重置該第一與第二基板材料之間的成核延遲差距。
  14. 如申請專利範圍第13項之方法,更包含在相同的腔室內重複(a)及(b)。
  15. 一種用於處理基板的設備,該設備包含: 一或更多處理腔室,每一處理腔室具有一卡盤; 一或更多氣體入口,其進入該處理腔室及相關聯的流量控制硬體;及 一控制器,其具有一處理器及一記憶體,其中: 該處理器及該記憶體彼此通訊連接, 該處理器與該流量控制硬體至少操作上連接,且 該記憶體儲存電腦可執行的指令,用於控制該處理器以藉由下列者至少控制該流量控制硬體: 在一半導體基板上選擇性地沉積材料,該基板包含具有不同成核延遲的複數基板材料,該不同成核延遲對應於根據成核延遲差距而沉積於其上的材料; 蝕刻沉積在該基板上之材料的一部分,以重新建立該等基板材料之間的成核延遲差距;及 在該基板上進一步選擇性地沉積材料。
  16. 如申請專利範圍第15項之用於處理基板的設備,其中,執行在該半導體基板上選擇性地沉積材料之該步驟及蝕刻沉積在該基板上之材料的一部分之該步驟而不破壞真空。
  17. 如申請專利範圍第15項之用於處理基板的設備,其中: 該記憶體儲存電腦可執行的指令,用於控制該處理器以藉由下列者至少控制該流量控制硬體: (a) 將容納於一腔室內的一基板曝露於交替之第一反應物及第二反應物的脈衝以在該基板上沉積膜,該基板具有其上欲進行膜沉積的第一基板材料及其上不欲進行膜沉積的第二基板材料,該第二基板材料不同於該第一基板材料,且根據隨沉積進行而降低的成核延遲差距,該第一基板材料的成核延遲小於該第二基板材料的成核延遲; (b) 將容納於一腔室內的一基板曝露於交替之蝕刻氣體及移除氣體的脈衝以蝕刻所沉積材料的一部分,俾重置該第一與第二基板材料之間的成核延遲差距。
  18. 如申請專利範圍第17項之用於處理基板的設備,更包含在相同的腔室內重複(a)及(b)。
  19. 如申請專利範圍第17項之用於處理基板的設備,其中,執行(a)及(b)而不破壞真空。
TW107112841A 2017-04-19 2018-04-16 具有原子層蝕刻重置之選擇性沉積 TW201903833A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762487411P 2017-04-19 2017-04-19
US62/487,411 2017-04-19
US15/581,951 US10559461B2 (en) 2017-04-19 2017-04-28 Selective deposition with atomic layer etch reset
US15/581,951 2017-04-28

Publications (1)

Publication Number Publication Date
TW201903833A true TW201903833A (zh) 2019-01-16

Family

ID=63854060

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107112841A TW201903833A (zh) 2017-04-19 2018-04-16 具有原子層蝕刻重置之選擇性沉積

Country Status (6)

Country Link
US (2) US10559461B2 (zh)
JP (1) JP2018182322A (zh)
KR (2) KR102608585B1 (zh)
CN (1) CN108735675B (zh)
SG (1) SG10201800863VA (zh)
TW (1) TW201903833A (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11101163B2 (en) * 2018-01-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated robotic arm sensing
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR102626263B1 (ko) * 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20210157916A (ko) * 2019-05-20 2021-12-29 램 리써치 코포레이션 SiCxOy를 위한 핵생성 층으로서 SixNy
JP7320085B2 (ja) * 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US20210375600A1 (en) * 2020-06-02 2021-12-02 Applied Materials, Inc. Self-assembled monolayer deposition from low vapor pressure organic molecules
KR20220107635A (ko) * 2021-01-25 2022-08-02 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법

Family Cites Families (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (zh) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
JPH03133128A (ja) 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH11513846A (ja) 1995-10-19 1999-11-24 マサチューセッツ インスティテュート オブ テクノロジー 金属除去方法
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US6255731B1 (en) 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
WO1999036956A1 (en) 1998-01-13 1999-07-22 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6755945B2 (en) 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
WO2003026019A1 (fr) 2001-09-12 2003-03-27 Nec Corporation Dispositif a semi-conducteurs et procede de production correspondant
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8399056B2 (en) 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5419983B2 (ja) 2009-07-31 2014-02-19 株式会社東芝 不揮発性記憶装置
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
KR101626954B1 (ko) 2010-03-29 2016-06-03 삼성전자주식회사 반도체 장치의 캐패시터 제조 방법 및 이에 따라 제조된 반도체 장치의 캐패시터
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
EP2675560B1 (en) 2011-02-14 2019-08-28 Dionex Corporation Liquid chromatographic column including a ligand comprising a polyhedral oligomeric silsequioxane moiety, and liquid chromatografic method using the column
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
CN103502506B (zh) 2011-04-29 2016-06-08 应用材料公司 用于在涂覆工艺中钝化柔性基板的装置和方法
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR20140138276A (ko) 2012-03-09 2014-12-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 박막 트랜지스터 소자 상에 실리콘 함유 막을 제조하는 방법
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
BR112015029548B1 (pt) 2013-06-27 2021-06-01 Intel Corporation Método de fabricação e substrato de circuito integrado
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9076651B1 (en) 2013-12-20 2015-07-07 Intermolecular, Inc. Gate stacks and ohmic contacts for SiC devices
US9214334B2 (en) * 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
WO2015131160A1 (en) 2014-02-28 2015-09-03 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) * 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
MY188715A (en) 2014-09-26 2021-12-25 Intel Corp Selective gate spacers for semiconductor devices
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US10082187B2 (en) 2014-12-22 2018-09-25 Ford Global Technologies, Llc Mechanically roughened brake rotors
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN107406977A (zh) 2015-02-26 2017-11-28 应用材料公司 使用自组装单层的选择性电介质沉积的方法
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
CN107533951B (zh) 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
JP6920219B2 (ja) 2015-06-26 2021-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素膜の選択的堆積
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Also Published As

Publication number Publication date
JP2018182322A (ja) 2018-11-15
SG10201800863VA (en) 2018-11-29
US20200118809A1 (en) 2020-04-16
KR20180117525A (ko) 2018-10-29
US20180308680A1 (en) 2018-10-25
KR102608585B1 (ko) 2023-11-30
CN108735675A (zh) 2018-11-02
US10998187B2 (en) 2021-05-04
US10559461B2 (en) 2020-02-11
CN108735675B (zh) 2024-03-15
KR20230166993A (ko) 2023-12-07

Similar Documents

Publication Publication Date Title
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10903071B2 (en) Selective deposition of silicon oxide
US10186426B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ale (atomic layer etch)
TWI776792B (zh) 硫族材料之封裝方法以及記憶體裝置
US10629435B2 (en) Doped ALD films for semiconductor patterning applications
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
TW202245054A (zh) 設計者原子層蝕刻
JP2020536393A (ja) 高エネルギー原子層エッチング
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US11404275B2 (en) Selective deposition using hydrolysis
US11837441B2 (en) Depositing a carbon hardmask by high power pulsed low frequency RF