KR20180117525A - Ale (atomic layer etch) 리셋을 사용한 선택적인 증착 - Google Patents

Ale (atomic layer etch) 리셋을 사용한 선택적인 증착 Download PDF

Info

Publication number
KR20180117525A
KR20180117525A KR1020180008079A KR20180008079A KR20180117525A KR 20180117525 A KR20180117525 A KR 20180117525A KR 1020180008079 A KR1020180008079 A KR 1020180008079A KR 20180008079 A KR20180008079 A KR 20180008079A KR 20180117525 A KR20180117525 A KR 20180117525A
Authority
KR
South Korea
Prior art keywords
substrate
deposition
chamber
deposited
reactant
Prior art date
Application number
KR1020180008079A
Other languages
English (en)
Other versions
KR102608585B1 (ko
Inventor
카푸 시리쉬 레디
멜리하 고즈데 라인빌
나그라 샹카
데니스 엠. 하우스만
데이비드 찰스 스미스
카르틱 시바라마크리쉬난
데이빗 더블유. 포터
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180117525A publication Critical patent/KR20180117525A/ko
Priority to KR1020230167513A priority Critical patent/KR20230166993A/ko
Application granted granted Critical
Publication of KR102608585B1 publication Critical patent/KR102608585B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

반도체 기판 상에 재료를 선택적으로 증착함으로써 기판 상에서 증착을 수행하기 위한 방법들이 제공된다. 기판은 복수의 기판 재료들을 갖고, 재료 각각은 기판 상에 증착된 재료에 대응하는 상이한 핵생성 지연을 갖는다. 구체적으로, 핵생성 지연 차에 따라, 상부에 증착이 의도되는 제 1 기판 재료와 연관된 핵생성 지연은 상부에 증착이 의도되지 않는 제 2 기판 재료와 연관된 핵생성 지연보다 작고, 핵생성 지연 차는 증착이 진행될 때 열화된다. 증착된 재료의 일부는 제 1 기판 재료와 제 2 기판 재료 사이의 핵생성 지연 차를 재확립하도록 에칭된다. 재료는 기판 상에 더 선택적으로 증착된다.

Description

ALE (ATOMIC LAYER ETCH) 리셋을 사용한 선택적인 증착{SELECTIVE DEPOSITION WITH ATOMIC LAYER ETCH RESET}
반도체 기판 피처 사이즈들이 축소됨에 따라, 디바이스 축소를 보조하고 3차원 아키텍처들을 인에이블하는 프로세싱 기법들에 대한 수요가 성장하고 있다. 이와 관련하여 ALD (atomic layer deposition), ALE (atomic layer etch) 와 같은 원자 스케일 프로세싱이 유용한 기법들이라는 것이 발견되었다. 그러나, 계속된 반도체 디바이스 최소화 및 디펙트 감소에 대한 과제들이 남아 있다.
반도체 기판 상에 재료를 선택적으로 증착함으로써 기판 상에서 증착을 수행하기 위한 방법들이 제공된다. 기판은 복수의 기판 재료들을 갖고, 재료 각각은 기판 상에 증착된 재료에 대응하는 상이한 핵생성 지연을 갖는다. 구체적으로, 핵생성 지연 차에 따라, 상부에 증착이 의도되는 제 1 기판 재료와 연관된 핵생성 지연은 상부에 증착이 의도되지 않는 제 2 기판 재료와 연관된 핵생성 지연보다 작고, 핵생성 지연 차는 증착이 진행될 때 열화된다. 증착된 재료의 일부는 제 1 기판 재료와 제 2 기판 재료 사이의 핵생성 지연 차를 재확립하도록 에칭된다. 재료는 기판 상에 더 선택적으로 증착된다.
에칭은 사이클들로 수행될 수도 있고, 사이클은: 기판의 표면을 개질하기 위해 에칭 가스에 기판을 노출하는 단계; 및 개질된 표면의 적어도 일부를 제거하기 위해 기판을 제거 가스를 노출하는 단계를 포함한다. 기판을 에칭 가스에 노출하는 단계는 플라즈마를 점화하는 것을 더 포함할 수도 있다. 일부 실시예들에서, 방법은 기판에 바이어스를 인가하는 단계를 더 포함한다. 에칭 가스는 염소-함유 화합물일 수도 있다. 다양한 실시예들에서, 사이클은 약 1 Å 내지 약 50 Å의 증착된 재료 및/또는 막을 에칭한다. 챔버는 노출들 사이에 퍼지될 수도 있다.
증착 사이클은: 기판의 표면을 개질하기 위해 증착 전구체에 기판을 노출하는 단계; 및 막을 증착하기 위해 환원제에 기판을 노출하는 단계를 포함할 수도 있다. 일부 실시예들에서, 방법은 플라즈마를 점화하는 단계를 더 포함한다. 일부 실시예들에서, 증착 전구체의 적어도 일부는 증착 전구체에 기판의 노출 동안 기판의 표면 상에 흡착한다. 챔버는 노출들 사이에 퍼지될 수도 있다.
일부 실시예들에서, 에칭 및 증착은 동일한 챔버 내에서 수행된다. 에칭은 컨포멀하지 않게 (nonconformally) 수행될 수도 있다. 일부 실시예들에서, 에칭 또는 증착 중 적어도 하나는 자기-제한 반응이다.
또 다른 양태는 (a) 챔버 내에 하우징된 기판 위에 막을 증착하도록 기판을 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 노출하는 단계로서, 기판은 상부에 막의 증착이 의도되는 제 1 기판 재료 및 상부에 막의 증착이 의도되지 않는 제 2 기판 재료를 갖고, 제 2 기판 재료는 제 1 기판 재료와 상이하고, 그리고 증착과 함께 진행시 열화하는, 핵생성 지연 차에 따라 제 1 기판 재료에 대한 핵생성 지연이 제 2 기판 재료에 대한 핵생성 지연보다 작은, 기판을 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 노출하는 단계; (b) 제 1 기판 재료와 제 2 기판 재료 간의 핵생성 지연 차를 리셋하도록 증착된 재료의 일부를 에칭하기 위해 에칭 가스 및 제거 가스의 교번하는 펄스들에 챔버에 하우징된 기판을 노출하는 단계를 포함하는 방법을 수반한다. 일부 실시예들에서, (a) 및 (b) 는 진공을 파괴하지 않고 동일한 챔버 내에서 수행될 수도 있다. 일부 실시예들에서, (a) 및 (b) 충분한 순 증착이 발생할 때까지 반복될 수도 있다.
제거 가스는 N2, Ar, He, 및 Ne로 구성된 그룹으로부터 선택된 캐리어 가스일 수도 있다. 일부 실시예들에서, (a) 및 (b) 는 동일한 챔버 내에서 수행되고 순차적으로 수행된다. 게다가, 챔버는 펄스들 사이에 펄싱될 수도 있다. 다양한 실시예들에서, (a) 는 기판에 바이어스를 인가하는 단계를 더 포함한다. 일부 실시예들에서, 방법은 또한 기판을 제거 가스에 노출할 때 플라즈마를 점화하는 단계를 포함한다. 방법은 또한 기판을 제 2 반응물질에 노출할 때 플라즈마를 점화하는 단계를 포함할 수도 있다.
다양한 실시예들에서, (a) 또는 (b) 중 적어도 하나는 자기-제한 반응이다. 일부 실시예들에서, (a) 및 (b) 는 기판 상에 재료를 증착하기 위해 반복된다. 일부 실시예들에서, (a) 및 (b) 는 기판 상의 막을 에칭하도록 반복된다. 다양한 실시예들에서, 기판은 금속들 및 유전체들로 구성된 그룹으로부터 선택된다.
또 다른 양태는 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는 하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 척을 갖는, 하나 이상의 프로세스 챔버들; 프로세스 챔버들로의 하나 이상의 가스 유입부들 및 연관된 플로우-제어 하드웨어; 및 프로세서 및 메모리를 갖는 제어기를 포함하고, 프로세서 및 메모리는 서로 통신가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고 메모리는: 반도체 기판 상에 재료를 선택적으로 증착하는 단계로서, 기판은, 핵생성 지연 차에 따라 기판 상에 증착된 재료에 대응하는 상이한 핵생성 지연들을 갖는 복수의 기판 재료들을 포함하는, 재료를 선택적으로 증착하는 단계; 기판 재료들 사이의 핵생성 지연 차를 재확립하도록 기판 상에 증착된 재료의 일부를 에칭하는 단계; 및 기판 상에 재료를 더 선택적으로 증착하는 단계에 의해 플로우-제어 하드웨어를 적어도 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장한다.
또 다른 양태는 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는 하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 척을 갖는, 하나 이상의 프로세스 챔버들; 프로세스 챔버들로의 하나 이상의 가스 유입부들 및 연관된 플로우-제어 하드웨어; 및 프로세서 및 메모리를 갖는 제어기를 포함하고, 프로세서 및 메모리는 서로 통신가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고 메모리는: 챔버 내에 하우징된 기판 위에 막을 증착하도록 기판을 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 노출하는 단계로서, 기판은 상부에 막의 증착이 의도되는 제 1 기판 재료 및 상부에 막의 증착이 의도되지 않는 제 2 기판 재료를 갖고, 제 2 기판 재료는 제 1 기판 재료와 상이하고, 그리고 증착과 함께 진행시 열화하는, 핵생성 지연 차에 따라 제 1 기판 재료에 대한 핵생성 지연이 제 2 기판 재료에 대한 핵생성 지연보다 작은, 기판을 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 노출하는 단계; 제 1 기판 재료와 제 2 기판 재료 간의 핵생성 지연 차를 리셋하도록 증착된 재료의 일부를 에칭하기 위해 에칭 가스 및 제거 가스의 교번하는 펄스들에 챔버에 하우징된 기판을 노출하는 단계에 의해 플로우-제어 하드웨어를 적어도 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장한다.
이들 및 다른 양태들은 첨부된 도면들을 참조하여 이하에 더 기술된다.
도 1은 개시된 실시예들에 따른, 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 2는 개시된 실시예들에 따른, 방법에 대한 동작들을 도시하는 또 다른 프로세스 흐름도이다.
도 3은 에칭 및 증착의 개략적인 예시이다.
도 4는 개시된 실시예들에 따른, 방법에 대한 동작들을 도시하는 또 다른 프로세스 흐름도이다.
도 5는 교번하는 증착 및 에칭 사이클들의 플롯이다.
도 6은 다양한 기판 표면들 상에서 관찰된 핵생성 지연의 플롯이다.
도 7은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 8은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 다른 개략도이다.
도 9은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 장치의 또 다른 개략도이다.
도 10은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 장치의 여전히 또 다른 개략도이다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 전체적인 이해를 제공하도록 언급된다. 본 명세서에 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 또한 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 구체적인 실시예들이 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
피처 사이즈들이 축소됨에 따라, ALD (atomic layer deposition) 및 ALE (atomic layer etch) 와 같은 원자 스케일 프로세싱에 대한 수요가 성장하고 있다. 이들은 막 두께들에서 숫자의 그리고 작은 변화들을 발생시키는 명목상으로 자기-제한 단계들의 순환적인 프로세스들이다. 프로세스들은 상대적인 평활도 (smoothness) 및 컨포멀성 (conformality), 뿐만 아니라 특정한 ALE 프로세스들에서 방향성을 특징으로 한다.
본 명세서에 제공된 방법들은 성장 선택도를 유지하고 선택적인 증착 프로세스 동안 디펙트 제거 퍼포먼스를 개선하기 위한 ALE와 같은 제어된 에칭과 최적화된 선택적인 증착 프로세스들의 조합에 관한 것이다.
반도체 기판에 대한 선택적인 증착은 ALD 프로세스와 ALE 프로세스 사이를 주기적으로 교번함으로써 달성될 수도 있다. 선택적인 증착은 예를 들어, 하단으로부터 웨이퍼를 상향 구축함으로써 다양한 3D (three-dimensional) 아키텍처들의 제조 및 계속된 디바이스 최소화를 인에이블한다. 선택적인 증착의 부가적인 장점들은, 이로 제한되는 것은 아니지만: 단순화된 집적 플로우들 (즉, 별도의 리소그래피 및/또는 에칭 프로세스들을 필요로 하지 않음), 향상된 피처 밀도 및/또는 스케일링 (즉, 부가적인 디바이스 피처들이 보다 작은 단면적에 포함될 수도 있도록) 및 패터닝 (즉, 개선된 오버레이) 을 포함한다.
그러나, 선택적인 증착을 위해 현재 가용한 방법들은 종종 재료 및/또는 시스템 특정적이어서, 금속 및/또는 유전체 재료들을 마음대로 선택적으로 증착하기 위한 일반화된 접근 방법이 존재하지 않는다. 선택적인 증착과 달리 연관된 다른 과제들은 디펙트들의 생성 및 상대적으로 좁은 프로세스 윈도우에 대한 수요이다. 핵생성 지연을 평가하는 능력 및/또는 재료들을 선택적으로 성장시키지 못함으로 통상적인 증착 프로세스들이 제한되기 때문에 증착 선택도는 또한 증착이 진행됨에 따라 악화될 수도 있다. 또한, 이러한 증착 선택도의 열화는 선택적인 증착을 통해 상대적으로 보다 두꺼운 막 성장을 상대적으로 어렵게 만든다.
더욱이, 선택적인 증착은 잔류 증착 재료들이 형성될 수도 있도록 그리고/또는 그렇지 않으면 디펙트들로서 원치 않은 표면 상에서 발견될 수도 있도록, 정확한 그리고/또는 이상적인 시작 표면 (즉, 반도체 기판 및/또는 웨이퍼) 의 결여로 인해 디펙트들을 발생시킬 수도 있다.
개시된 방법들은 예를 들어, 2 이상의 상이한 기판 재료들의 각각의 핵생성 지연들 사이에서 관찰된 핵생성 지연 차에 따라 그렇지 않으면 핵생성 지연 차를 사용함으로써 차동 성장을 달성하기 위한 선택적인 증착 프로세스들을 제공한다. 즉, 증착 프로세스들은 증착될 재료의 증착이 제 2 기판 재료 상보다 제 1 기판 재료 상에서 보다 용이하게 발생한다는 사실에 영향을 줌으로써 예를 들어, 제 2 기판 재료에 상대적으로 제 1 기판 재료 상에서 선택적인 증착을 달성하도록 맞춤된다. 상부에서 증착이 선택적으로 발생하는 기판은 상부에서 증착이 선택적으로 발생하지 않는 기판 재료보다 증착될 재료에 대해 보다 낮거나 보다 느린 핵생성 지연을 가져, 2 개의 기판 재료들 각각과 연관된 핵생성 지연들 간에 차가 있고, 이 차는 핵생성 지연 차로 지칭된다. 그러나, 증착 선택도의 열화는 선택적인 증착이 진행됨에 따라 발생한다는 것이 발견되었다. 이를 바로 잡기 위해, 고도로 제어된 ALE 프로세스들은 핵생성 지연 차를 리셋 및/또는 재확립하기 위한 성장 프로세스와 조합하여 사용될 수 있어서, 산업적 적용예들과 관련될 때 달리 제한된 프로세스 윈도우의 후속하는 팽창을 허용한다는 것이 발견되었다.
선택적인 증착을 ALE와 같은 제어된 에칭과 조합하는 것의 부가적인 이점들은, 유전체 상에 축적된 원치 않은 잔류 금속 또는 유사하게, ALE 동안 제거될, 기판 재료와 같이 선택적인 증착에 본질적인 성장 디펙트들의 제거를 포함한다. 더욱이, 개시된 방법들은 기판 재료들에 특정적인 핵생성 지연들 사이에 관찰된 차 (즉, 핵생성 지연 차) 및/또는 핵생성 지연들을 마음대로 향상시키고 그리고/또는 달리 최적화함으로써 재료들 및/또는 유전체들을 선택적으로 증착하도록 선택적인 증착 스킴의 생성을 보조한다. 개시된 방법들은 또한 선택적인 증착으로 하여금 동일한 기판 상에서 2 회 이상 발생하게 허용한다.
개시된 방법들은 선택적인 증착이 통상적으로 일어나는, 그렇지 않으면 상대적으로 좁은 프로세스 윈도우를 확장하도록 제어가능한 솔루션을 제공한다. 상기 논의된 바와 같이, 최초 선택적인 증착이 복수의 기판 재료들로 구성된 기판 상에서 수행되고, 증착은 증착이 선택적으로 발생하지 않는 또 다른 기판 재료보다 낮거나 짧은 핵생성 지연을 갖는 기판 재료 상에서 선택적으로 발생한다. 일부 실시예들에서, 기판을 구성하는 다양한 기판 재료들과 연관된 핵생성 지연들은 최적화된 화학반응들을 사용함으로써, 즉, 차동 성장을 보장하고 애플리케이션 윈도우를 잠재적으로 확장하도록, 표면 화학 변화들, 억제, 등을 유효화하는 방식으로, 향상될 수도 있다. 다음에, 타깃을 벗어난 증착과 연관된 디펙트들을 감소시키는 동안 증착 선택도를 향상시켜 쓰루풋을 개선하기 위해, 증착이 시도되는 (seek) 특정한 기판 재료에 대한 핵생성 지연 및/또는 억제를 리셋, 복구, 및/또는 그렇지 않으면 재확립하도록 ALE와 같은 제어가능한 에칭 프로세스가 기판에 적용된다.
리셋, 복구, 또는 재확립에 의해, 이는 예를 들어 선택적인 증착의 시작시 핵생성 지연 레벨의 1, 2, 5, 10, 20, 30, 40, 50, 60 70, 80 또는 90 %의 또는 이내로 선택적인 증착의 시작시 레벨로 또는 가깝게 참조된 재료에 대한 핵생성 지연이 감소되고, 일반적으로 실질적으로 감소된다는 것을 의미한다. 일부 예들에서, 핵생성 지연은 선택적인 증착의 시작시보다 (낮은) 레벨을 넘어 감소될 수도 있다. 다른 실시예들에서, 핵생성 지연 차는 증착이 선택적으로 발생하지 않는 기판 재료와 연관된 핵생성 지연을 예를 들어 핵생성 지연 감소에 대해 상기 기술된 바와 같이 동일하거나 유사한 정도로 상승시킴으로써 향상될 수도 있다. 다른 실시예들에서, 증착이 선택적으로 발생하는 재료들 및 발생하지 않는 재료들과 연관된 핵생성 지연들의 감소 및 상승 둘다가 핵생성 지연 차를 향상시키도록 사용될 수도 있다.
따라서, ALE 프로세스의 종료시, 다양한 기판들 상의 증착에 대한 선택도 (다양한 기판들 간 핵생성 지연 차) 는 상승할 것으로 예상된다.
본 명세서에 제공된 방법들은 선택적인 증착 프로세스로부터 발생된, 예컨대 예를 들어, 원치 않은 비-성장 표면들 상에서 발견되는 잔여 증착 재료로부터 발생된 본질적인 디펙트들에 대한 솔루션들을 제안한다. 선택적인 증착과 조합한 ALE의 사용은 예를 들어, 금속 영역과 유전체 영역 사이, 뿐만 아니라 다양한 유전체 및 금속 섹션들 내에서 보다 우수한 분리를 생성하도록, 원치 않은 표면들로부터 이러한 잔여 증착 재료의 제거를 허용한다. 따라서, 선택적인 증착 스킴들은 상이한 기판 재료들 사이에서 핵생성 지연 차를 향상 및/또는 최적화함으로써 마음대로 금속들 및/또는 유전체들을 증착하도록 동일한 반도체 기판에 대해 예를 들어, ALD 및 ALE 단계들을 사이클링할 수도 있다.
도 1은 개시된 실시예들에 따른, 방법의 동작들을 수행하기 위한 프로세스 흐름도를 제공한다. 도 1의 동작들은 예를 들어, 약 1 mTorr 내지 약 100 Torr, 예를 들어, 약 1 mTorr 내지 약 1 Torr의 챔버 압력에서 수행될 수도 있다. 도 1에 도시된 방법은 일반적으로 반도체 기판 상에서 증착을 수행하는 것과 관련된다. 구체적으로, 동작 102에서, 복수의 분명한 기판 재료들로 구성된 또는 달리 포함하는 반도체 기판이 프로세싱 챔버로 제공된다.
동작 102에서 반도체 기판이 제공되는 챔버를 참조하면, 챔버는 멀티-챔버 장치 또는 단일-챔버 장치의 챔버일 수도 있다. 반도체 기판은 유전체, 도전성, 또는 반도전성 재료와 같은 재료의 하나 이상의 층들이 상부에 증착된 웨이퍼들을 포함하여, 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 일부 실시예들에서, 기판은 비정질 실리콘과 같은 실리콘의 블랭킷 층, 또는 게르마늄의 블랭킷 층을 포함한다. 기판은 기판 상에 이전에 증착되고 패터닝된 패터닝된 마스크층을 포함할 수도 있다. 예를 들어, 마스크 층은 블랭킷 비정질 실리콘 층을 포함하여 기판 상에 증착되고 패터닝될 수도 있다.
일부 실시예들에서, 기판 상의 층들은 패터닝될 수도 있다. 기판들은 좁은 그리고/또는 재차들어간 (reentrant) 개구부들, 피처 내 협폭부들 (constrictions), 및 고종횡비들 중 하나 이상을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있다. 피처는 상기 기술된 층들 중 하나 이상에 형성될 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층의 홀 또는 비아이다. 또 다른 예는 기판 또는 층 내 트렌치이다. 다양한 실시예들에서, 피처는 배리어 층 또는 접착 층과 같은 하부층을 가질 수도 있다. 하부층들의 비제한적인 예들은 유전체 층들 및 도전 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다.
일부 실시예들에서, 피처는 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 적어도 약 30:1, 또는 보다 높은 종횡비들을 가질 수도 있다. 피처 측벽들의 보호가 고 종횡비들에서 바람직할 수도 있다. 개시된 방법들은 약 150 ㎚ 미만의 개구를 갖는 피처들을 갖는 기판들 상에서 수행될 수도 있다. 피처 비아 또는 트렌치는 충진되지 않은 피처 또는 피처로 지칭될 수도 있다. 피처는 하단부, 폐쇄된 단부 또는 피처의 내부로부터 피처 개구부로 좁아지는 재차 들어간 프로파일을 가질 수도 있다. 일부 실시예들에서, 본 명세서에 개시된 방법들은 이들 특성들을 갖는 피처들을 형성하도록 사용될 수도 있다.
다음에, 동작 104에서, 예를 들어 도 3을 참조하여 이하에 기술된 바와 같이, 재료가 반도체 기판의 하나 이상의 기판 재료들 상에 선택적으로 증착되고, 기판 재료들은 핵생성 지연 차에 따라 상부에 증착된 재료에 대응하는 상이한 핵생성 지연들을 갖는다. 예를 들어, 금속, 예컨대 텅스텐 (W) 기판 상의 예를 들어, ALD에 의한, 알루미늄 나이트라이드 (AlN) 의 증착은 예를 들어 SiO2와 같은 유전체 기판 상의 AlN의 증착과 상이한 핵생성 지연이 관찰되게 할 수도 있다. 이 핵생성 지연 차는 보다 큰 핵생성 지연을 갖는 재료에 대해 보다 작은 핵생성 지연을 갖는 재료에 대한 선택적인 증착을 달성하도록 사용될 수 있다.
일반적으로, ALD는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. ALD는 임의의 적합한 기법을 사용하여 수행될 수도 있다. 다양한 실시예들에서, ALD는 플라즈마를 사용하여 수행될 수도 있고 또는 열적으로 수행될 수도 있다. 또한, 동작 104는 "ALD 사이클"로 본 명세서에서 참조되는 사이클들로 수행될 수도 있다.
ALD 사이클의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 통상적으로, ALD 사이클은 표면 증착 반응을 1 회 수행하도록 사용된 동작들의 최소 세트이다. 예를 들어, 일 성공적인 ALD 사이클의 결과는 동작 104의 제 1 기판 재료와 같은, 목표된 기판 표면 상에 적어도 부분적으로 실리콘-함유 막 층의 생성이다. 통상적으로, ALD 사이클은 기판 표면에 적어도 하나의 반응물질을 전달하고 흡착하고, 이어서 예를 들어, 적어도 막의 부분적인 층을 형성하기 위해 흡착된 반응물질을 기판 표면 상에 있는 하나 이상의 반응물질들과 반응시키기 위한 동작들을 포함한다. ALD 사이클은 반응물질들 또는 부산물들 중 하나를 스윕핑하고 그리고/또는 증착될 때 부분적인 막을 처리하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 일 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 예로서, ALD 사이클은 다음의 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘-함유 전구체의 퍼지, (iii) 제 2 반응물질 및 플라즈마의 전달, 및 (iv) 챔버로부터 플라즈마의 퍼지를 포함할 수도 있다.
일부 실시예들에서, 알루미늄 나이트라이드 (AlN) 는 ALD 동작 및/또는 사이클을 통해 (이로 제한되는 것은 아니지만) 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (Si3N4), 실리콘 카바이드 (SiC), 알루미늄 옥사이드 (Al2O3), 및 알루미늄 나이트라이드 (AlN) 를 포함하는 기판들과 같은 관심있는 다양한 기판들 상에 증착될 수도 있다. 또한, AlN은 하프늄 (Hf), 지르코늄 (Zr) 및 주석 옥사이드 (SnO2) 와 같은 일반적인 하이-κ 유전체층들 및/또는 텅스텐 (W), 구리 (Cu), 코발트 (Co), 알루미늄 (Al), 티타늄 (Ti), 실리콘 (Si) 및 탄소 (C) 와 같은 도전 막들 상에 개별적으로 그리고/또는 조합하여 증착될 수도 있다.
도 3은 실리콘 옥사이드 (SiO2) 를 증착하기 위한 ALD 사이클의 예시적인 개략적 예시를 도시한다. 도면들 304a 내지 304e는 일반적인 ALD 사이클을 도시한다. 304a에서, 많은 실리콘 실리콘 원자들을 포함하는 기판이 제공된다. 302b에서, 기판의 표면을 개질하는 산소 라디칼들로서 산소가 기판에 도입된다. 이는 반응물질 및 플라즈마의 전달일 수도 있다. 예로서 일부 산소 라디칼들이 기판의 표면 상에 흡착된다. 304c에서, 산소 라디칼들은 챔버로부터 퍼지된다. 304d에서, 실리콘-함유 전구체 또는 실리콘 소스가 도입되고 실리콘 소스는 기판의 표면 상에 흡착된 산소 라디칼들과 반응한다. 304e에서, 챔버는 퍼지되고 SiO2 증착된 층을 남기면서 부산물들이 제거된다.
증착 재료로서 SiO2 의 선택에 대한 대안으로서, 일부 실시예들에서, 알루미늄 (Al) 및/또는 구리 (Cu) 와 같은 금속이 ALD를 통해 예를 들어, 동작 104a 동안 증착이 의도되는 제 1 기판 표면 상에 증착된다. 일부 실시예들에서, 제 1 기판 표면은 실질적으로 알루미늄 나이트라이드 (AlN) 를 포함할 수도 있다. 더욱이, 트리메틸알루미늄 (Al2(CH3)6) 이 예를 들어, 실질적으로 AlN을 포함하고, 후속하는 핵생성 지연이 관찰되는 기판 상에 증착하기 위해, 증착 재료로서 요구된 Al을 공급하기 적합한 전구체를 제공할 수도 있다. 구체적으로, Al2(CH3)6은 예를 들어, 250 ℃ 내지 350 ℃의 상대적으로 제어된 방식으로 증착될 수도 있고, 그 이상에서 분해가 관찰된다.
일부 실시예들에서, ALD에 의해 증착된 막들은 매우 컨포멀할 수도 있다. 막들의 컨포멀성은 단차 커버리지에 의해 측정될 수도 있다. 단차 커버리지는 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께를 피처의 하단부, 측벽 또는 상단부 상에 증착된 평균 두께와 비교함으로써 계산될 수도 있다. 예를 들어, 단차 커버리지는 측벽 상에 증착된 막의 평균 두께를 피처의 상단에 증착된 막의 평균 두께로 나누고 백분율을 얻도록 100을 곱함으로써 계산될 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하기 위해 표면 매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면은, 기판을 하우징하는 프로세스 챔버에 제공된 도즈 내의 실리콘 함유 전구체와 같은 제 1 전구체의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 기판 표면 상에 흡착되고, 제 1 전구체의 화학흡착 종 및/또는 물리흡착 분자들을 포함한다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것을 이해해야 한다. 예를 들어, 실리콘 함유 전구체의 흡착된 층은 실리콘 함유 전구체뿐만 아니라 실리콘 함유 전구체의 유도체들을 포함할 수도 있다. 특정한 실시예들에서, ALD 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 고르게 포화시키기 위해 전구체가 기판과 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이때 턴오프되거나 방향이 바뀌고, 퍼지 가스만 흐른다. 이 반-포화 (sub-saturation) 레짐에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간씩 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 전체가 본 명세서에 참조로서 인용된, 2013년 10월 23일 출원된 명칭이 "SUB-SATURATED ALD AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 14/061,587 호에 제공된다. 제 1 전구체 도즈 후에, 이어서 반응기는 흡착된 종만 남도록 가스 상으로 남아 있는 모든 제 1 전구체를 제거하도록 배기된다. 제 2 반응물질, 예컨대 산소 또는 질소-함유 가스가 반응기로 도입되어 제 2 반응물질의 분자들의 일부가 표면 상에 흡착된 제 1 전구체와 반응한다. 일부 프로세스들에서, 제 2 전구체는 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시예들에서, 제 2 전구체는 활성화 소스가 일시적으로 인가된 후에만 반응한다. 이어서 반응기는 결합되지 않은 제 2 전구체 분자들을 제거하기 위해 다시 배기될 수도 있다. 부가적인 ALD 사이클들은 막 두께를 구축하기 위해 사용될 수도 있다.
일부 구현예들에서, 제 2 반응물질이 챔버로 전달될 때와 같이, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은 CFD (conformal film deposition) 방법들일 수도 있고, 이는 전체가 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 대체적으로 기술된다. ALD 프로세스들의 부가적인 예들은 적합한 ALD 프로세스들에 대한 기술을 제공할 목적으로 본 명세서에 참조로서 인용된, Puurunen, "Surface chemistry of atomic layer deposition: for trimethylaluminum/water process", 97 J. Applied Physics 12301 (2005) 에 기술된다.
구체적으로, 일부 실시예들에서, 동작 104에서, 박막 및/또는 재료가 제 1 반도체 기판 재료 상에 증착되고, 예를 들어, ALD에 의해 증착이 시도된다. 다양한 실시예들에서, 실리콘-함유 재료 및/또는 막이 동작 104에서 증착된다. 예시적인 실리콘-함유 막들은 실리콘 옥사이드, 실리콘 옥시나이트라이드 및 실리콘 나이트라이드를 더 포함한다. 일부 실시예들에서, 금속 또는 금속-함유 막이 증착될 수도 있다. 더욱이, 일부 실시예들에서, 동작 104는 동작 106 전에 필요에 따라 동작들 102 내지 108을 통해 사이클로 수행될 수도 있다. 대안적으로, 일부 실시예들에서, 동작 106은 동작 104 전에 수행될 수도 있다.
또한, 동작 104에서, 일부 실시예들에서, 캐리어 가스, 예컨대 N2, Ar, Ne, He, 및 이들의 조합들이 연속적으로 흐를 수도 있다. 캐리어 가스는 퍼지 가스로서 사용될 수도 있다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 기화, 반응물질의 보다 신속한 전달을 보조하도록 그리고/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 제공될 수도 있다.
동작 104에서 수행될 수도 있는 흡착 및 제 2 반응물질 전달 동작들의 예가 본 명세서에 제공된다. ALD 사이클의 흡착 동작에서, 상부에 ALD 이 의도되는 기판이 기판 표면 상에 흡착할 막 전구체, 예컨대 실리콘 테트라클로라이드 (SiCl4) 에 노출될 수도 있다. 일부 실시예들에서, 막 전구체는 실리콘-함유 전구체일 수도 있다. 일부 실시예들에서, 막 전구체, 예컨대 SiCl4는 기판 표면의 약 60 %에 흡착될 수도 있다. 다양한 실시예들에서, 막 전구체가 챔버로 흐를 때, 막 전구체는 기판의 표면 상의 활성 사이트들 상에 흡착되고, 표면 상에 막 전구체의 박층이 형성된다. 다양한 실시예들에서, 이 층은 모노레이어보다 작을 수도 있다.
흡착 후에, 챔버는 기판의 표면 상에 흡착하지 않는 가스 상의 과잉 전구체를 제거하도록 선택가능하게 퍼지될 수도 있다. 퍼지는 다른 동작들에서 사용된 캐리어 가스 또는 상이한 가스일 수도 있는, 스윕핑 가스를 수반할 수도 있다. 일부 실시예들에서, 퍼지는 챔버를 배기하는 것을 수반할 수도 있다.
ALD 사이클의 제 2 반응물질 전달 동작에서, 기판이 제 2 반응물질 그리고, 선택가능하게 플라즈마에 노출될 수도 있다. 다양한 실시예들에서, 제 2 반응물질은 산소 (O2) 또는 질소 (N2) 또는 이들의 조합들이다. 일부 실시예들에서 실리콘 옥사이드 층이 증착되면, 산소가 제 2 반응물질로서 사용된다. 일부 실시예들에서, 제 2 반응물질 플로우 및 플라즈마는 둘다 온된다. 일부 실시예들에서, 제 2 반응물질 플로우는 예를 들어, 제 2 반응물질 플로우로 하여금 안정화되게 하도록 플라즈마를 턴온하기 전에 턴온될 수도 있다.
일부 실시예들에서, 선택가능한 플라즈마는, 플라즈마가 챔버 내에서 기판 표면 바로 위에 형성되도록, 인시츄 플라즈마이다. 다양한 실시예들에서, 플라즈마는 유도 결합 플라즈마 또는 용량 결합 플라즈마일 수도 있다. 유도 결합 플라즈마는 약 50 W 내지 약 2000 W의 플라즈마로 설정될 수도 있다. 일부 실시예들에서, 바이어스는 약 0 V 내지 약 500 V로 인가될 수도 있다. 제 2 반응물질의 전달 동안, 막 전구체, 예컨대 SiCl4는 턴오프된다. 기판은 제 2 반응물질 및 선택가능한 플라즈마에 플라즈마가 기판 표면 상에 흡착된 모든 전구체들과 상호작용하는 시간을 초과하는 지속기간 동안 노출될 수도 있고, 기판 표면 상단에 연속적인 막을 형성한다.
제 2 반응물질 전달 동작 후, 챔버는 캐리어 또는 불활성 가스를 도입함으로써와 같이 퍼지될 수도 있다. 이 동작에 대한 조건들은 퍼지 프로세스들에 대해 상기 기술된 임의의 조건일 수도 있다.
다양한 실시예들에서, ALD 사이클들은 반복될 수도 있다. 예를 들어, ALD를 위한 동작들은 재료의 증착된 막 및/또는 층의 실질적인 성장 전에 약 5 내지 약 70 사이클들, 300 사이클들 까지도 수행될 수도 있다. 따라서, 증착된 막의 목표된 막 두께를 증착하기 위해 임의의 적합한 수의 증착 사이클들이 포함될 수도 있고 그리고/또는 수행될 수도 있다. 일부 실시예들에서, ALD 사이클은 사이클당 약 1 Å을 증착할 수도 있다. 동작들의 노출 시간에 따라, 사이클 각각은 약 0.05 Å 내지 약 5 Å의 두께를 갖는, 실리콘 옥사이드 또는 실리콘 옥시나이트라이드 막과 같은 막을 증착할 수도 있다. 일부 실시예들에서, 분당 약 2 내지 약 3 사이클의 ALD가 수행될 수도 있다. 일부 실시예들에서, 분당 약 3보다 많은 사이클들이 기판에 보다 가깝게 위치된 유입부들을 갖는 챔버들에서와 같이 수행될 수도 있다.
일부 실시예들에서, ALD 동작들은 동일한 챔버에서 발생할 수도 있는, 후속하는 ALE 프로세스들에서 측방향 에칭으로부터 피처들을 보호할 수 있는 컨포멀한 막들을 생성한다. 일부 실시예들에서, ALD는 기판 상에 막들을 선택적으로 증착하도록, 예컨대 에칭 프로세스들 동안 부식으로부터 피처의 코너부들을 보호하기 위해, 코너부들 상에 막들을 증착하게 통합된다. 일부 실시예들에서, 동작 104 및 106 중 적어도 하나는 자기-제한 반응이다. 일부 실시예들에서, 동작 104 및 106 중 적어도 하나는 가능한 자기-제한 반응이다. 예를 들어, 일부 실시예들에서, 동작 106만이 자기-제한 프로세스이다. 일부 실시예들에서, 동작 104만이 자기-제한 프로세스이다. 일부 실시예들에서, 동작들 104 및 106 모두 자기-제한이다. 다양한 실시예들에서, 동작들 104 및 106은 순차적으로 수행될 수도 있다. 이들 동작들을 순차적으로 수행하는 예들은 도 3에 대해 이하에 더 기술된다.
도 6에 더 예시된 바와 같이, 핵생성 지연은 제 1 기판 재료와 연관될 때, 동작 104에서 관찰된다. 구체적으로, 도 6에 도시된 바와 같이, 알루미늄 나이트라이드 (AlN) 와 같은 다양한 물질들이 금속, 예컨대 텅스텐 (W) 또는 구리 (Cu), 실리콘 옥사이드 (SiO2), 유전체 예컨대 하프늄 (Hf), 지르코늄 (Zr), 또는 주석 옥사이드 (SnO2) 로 실질적으로 구성될 수도 있는, 반도체 기판 상에 증착된다. 일부 실시예들에서, 도 6에 도시되고 관찰된 바와 같이, 상기 기판 재료들 중 임의의 하나 이상 상에 트리메틸알루미늄 (Al2(CH3)6) 전구체로부터 알루미늄 (Al) 의 증착이 막 질량 성장을 발생시킬 수도 있다.
도 6에 도시된 바와 같이, 2 개의 금속들, W와 Cu 사이에서 관찰된 핵생성 지연은 예를 들어 금속들과 유전체 사이, 예컨대 W 또는 Cu와 SiO2 사이보다 실질적으로 작다. 또한, 동작 104에서 ALD의 관찰들은 금속 기판 표면이 일반적으로 유전체 표면보다 빠르게 전구체 분해를 촉진할 것이라는 것을 나타낼 수도 있다.
더욱이, 증착 챔버 내에서 증착 재료의 조심스러운 노출 및/또는 핸들링, 증착될 재료 및/또는 물질, 예컨대 트리메틸알루미늄 (Al2(CH3)6) 전구체로부터 유도된 알루미늄 (Al) 으로의 노출 및/또는 핸들링은 예를 들어, 증착이 시도되는 유전체 표면 상의 분해 전에 재료의 성공적인 분해를 허용할 수도 있다. 그럼에도 불구하고, 일부 실시예들에서, 반대되는 핵생성 거동이 완전히 환원되는 W 또는 Cu 표면 상에서 그리고/또는 저온들에서 관찰될 수도 있다. 또한, Al2(CH3)6는 하이드록실-풍부 표면들과 예측가능한 방식으로 또는 "깨끗하게" 반응하는 경향이 있는 것으로 관찰될 수도 있다. 또한, 일부 실시예들에서, 바람직한 핵생성 지연들은 공지의 방법들을 통해 획득될 수도 있고, 따라서 이러한 방법들의 추가 논의는 생략된다.
또한, 일부 실시예들에서, 동작 104에서, 상부에서 증착이 의도되지 않는, 반도체 기판의 제 2 기판 재료 상에서 실질적으로 앞서 기술된 바와 같이 제 1 기판 재료 상에서 증착이 일어나는 한, 동일한 챔버 내에서 증착이 선택적으로 회피될 수도 있다.
동작 104에 이어서, 기판 상에 증착된 재료의 일부분은 동작 106에서 상이한 기판 재료들 사이의 핵생성 지연 차를 재확립하도록 에칭된다. 구체적으로, 동작 106에서, 기판은 ALE에 의해 챔버 내에서 에칭된다. ALE는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 제거하는 기법이다. 일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. ALE 기법들의 예들은 예시적인 ALE 및 에칭 기법들을 기술할 목적들을 위해 참조로서 본 명세서에 인용된, 2014년 11월 11일 허여된 미국 특허 제 8,883,028 호; 및 2014년 8월 19일 허여된 미국 특허 제 8,808,561 호에 기술된다. 다양한 실시예들에서, ALE는 플라즈마를 사용하여 수행될 수도 있고, 또는 열적으로 수행될 수도 있다.
도 1에 도시된 바와 같이, 동작 106은 사이클들로 수행될 수도 있다. "ALE 사이클"의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 일반적으로 ALE 사이클은 모노레이어를 에칭하는 것과 같이 에칭 프로세스를 1회 수행하도록 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 막층의 적어도 일부가 에칭된다는 것이다. 통상적으로, ALE 사이클은 반응 층을 형성하기 위한 개질 동작, 이어서 이 개질된 층만을 제거 또는 에칭하기 위한 제거 동작을 포함한다. 사이클은 반응물질들 또는 부산물들 중 하나를 스윕핑하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 일 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 예로서, ALE 사이클은 다음의 동작들: (i) 반응물질 가스의 전달, (ii) 챔버로부터 반응물질 가스의 퍼지, (iii) 제거 가스 및 선택가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다. 일부 실시예들에서, 에칭은 컨포멀하지 않게 (nonconformally) 수행될 수도 있다.
에칭 완료 후 동작 106에서 필요에 따라, 재료는 동작 108에서 반도체 기판 상에 선택적으로 증착되고, 이러한 선택적인 증착은 통상적으로 동작들 104 및 106, 등의 성공적인 완료로부터 발생된 향상된 증착 선택도로부터 이점을 갖는다.
일부 실시예들에서, 동작들 102 및 104는 필요에 따라 선택가능하게 반복될 수도 있고, 동작들 106 및 108일 수도 있다. 또한, 동작 104는 ALD에 의해 완료될 수도 있는 한편, 동작 106은 예를 들어 ALE에 의해 완료될 수도 있다. 또한, 동작들 102 내지 108은 바람직한 반도체 피처 프로파일이 획득될 때까지 무제한적으로 반복될 수도 있다.
또한, 일부 실시예들에서, 상부에서 증착이 의도되는 제 1 기판 재료와 연관된 핵생성 지연은 상부에서 증착이 의도되지 않는 제 2 기판 재료와 연관된 핵생성 지연보다 작다. 그리고, 일부 실시예들에서, 핵생성 지연 차는 증착이 진행됨에 따라, 동작 104의 완료시 열화된다.
이제 도 2를 참조하면, 본 명세서에 개시된 바와 같은 방법의 동작들을 수행하는 또 다른 실시예에 대한 프로세스 흐름도가 도시된다. 일부 실시예들에서, 도 2에 예시된 프로세스 흐름은 도 1에 도시된 프로세스 흐름과 실질적으로 동일한 방식으로 발생할 수도 있고, 따라서 불필요한 동일한 기술이 생략된다.
도 2의 동작들 206a 및/또는 206b를 참조하면, 도 6에 더 예시되는 바와 같이, 핵생성 지연 ND1이 제 1 기판 재료와 연관된 것으로 동작 206a에서 관찰된다.
구체적으로, 그리고 도 6에 도시된 바와 같이, 2 개의 금속들, W와 Cu 사이에서 관찰된 핵생성 지연은 예를 들어 금속들과 유전체 사이, 예컨대 W 또는 Cu와 SiO2 사이보다 실질적으로 작다. 또한, 동작 204a에서 ALD의 관찰들은 금속 기판 표면이 일반적으로 유전체 표면보다 빠르게 전구체 분해를 촉진할 것이라는 것을 나타낼 수도 있다.
도 2를 다시 참조하면, 증착은 동작 204b에서, 상부에서 증착이 의도되지 않는, 반도체 기판의 제 2 기판 재료 상에서 실질적으로 앞서 기술된 바와 같이 제 1 기판 재료 상에서 증착이 일어나는 한, 동일한 챔버 내에서 증착이 선택적으로 회피될 수도 있다. 이에 따라, 동작 206b에서 핵생성 지연 ND2이 관찰될 수도 있다. 일부 실시예들에서, 제 1 기판 재료에 대한 ALD와 연관된 핵생성 지연 ND1은 동작 208에 도시된 바와 같이, 핵생성 지연 차, ΔND가 ND2와 ND1 사이에서 계산될 수도 있도록, 제 2 기판 재료와 연관된 핵생성 지연 ND2보다 작을 수도 있다. 또한, 일부 실시예들에서, ΔND는 예를 들어, 동작 204a에 도시된 바와 같이 ALD가 진행됨에 따라 0에 도달할 수도 있다.
동작 208에서, 핵생성 지연 차, ΔND의 계산에 이어서, ΔND를 리셋 및/또는 달리 재확립하도록, 동작 204a에서 제 1 기판 재료 상에 증착된 재료의 일부분 및/또는 동작 204b에서 제 2 기판 재료 상에 증착된 재료의 일부분이 예를 들어 ALE에 의해 에칭된다.
동작 210에서, 기판은 챔버 내에서 예를 들어, 1 이상의 사이클들의 ALE의 수행에 의해 에칭된다. 도 3은 ALE 사이클의 2 개의 예시적인 개략적인 예시들, 예컨대 도 1 및 도 2에 예시된 프로세스들을 구현하도록 사용될 수도 있다. 도면들 300a 내지 300e는 일반적인 ALE 사이클을 도시한다. 300a에서, 기판이 제공된다. 300b에서, 기판의 표면이 개질된다. 300c에서, 다음 단계가 준비된다. 300d에서, 개질된 층이 에칭된다. 300e에서, 개질된 층이 제거된다. 유사하게, 도면들 302a 내지 302e는 실리콘 막을 에칭하기 위한 ALE 사이클의 예를 도시한다. 302a에서, 많은 실리콘 원자들을 포함하는, 실리콘 기판이 제공된다. 302b에서, 반응물질 가스 염소가 기판으로 도입되고 기판의 표면을 개질한다. 302b의 개략도는 예로서 일부 염소가 기판의 표면 상에 흡착되는 것을 도시한다. 염소가 도 3에 도시되지만, 임의의 염소-함유 화합물 또는 적합한 반응물질이 사용될 수도 있다. 302c에서, 반응물질 가스 염소는 챔버로부터 퍼지된다. 302d에서, Ar+ 플라즈마 종 및 화살표들로 나타낸 바와 같이, 제거 가스 아르곤이 지향성 플라즈마와 함께 도입되고, 기판의 개질된 표면을 제거하기 위해 이온 충돌이 수행된다. 이 동작 동안, 기판을 향해 이온들을 끌어 당기기 위해 (attract) 바이어스가 기판에 인가된다. 302e에서, 챔버는 퍼지되고 부산물들이 제거된다.
일 사이클은 약 0.1 ㎚ 내지 약 50 ㎚의 재료, 또는 약 0.1 ㎚ 내지 약 5 ㎚의 재료, 또는 약 0.2 ㎚ 내지 약 50 ㎚의 재료, 또는 약 0.2 ㎚ 내지 약 5 ㎚의 재료를 부분적으로만 에칭할 수도 있다. 일 사이클에 에칭된 재료의 양은 ALD와 통합할 목적에 종속될 수도 있다. 예를 들어, ALD가 측벽들을 보호하도록 통합된다면, ALE 사이클들에서 에칭된 양은 ALD를 수행하는 것이 피처의 하단부의 노출된 측벽들을 보호하도록 가변될 수도 있다. 일부 실시예들에서, ALE의 일 사이클은 재료의 모노레이어보다 작게 제거할 수도 있다.
에칭 화학물질이 도 1의 동작 110에서 챔버 내로 도입된다. 본 명세서에 기술된 바와 같이, 재료들이 챔버 내로 도입되는 동작들에서, 플라즈마를 사용한 ALE를 수반하는 일부 실시예들에서, 반응기 또는 챔버는 기판 또는 웨이퍼를 프로세싱하기 전에 챔버 내로 화학물질을 도입함으로써 안정화될 수도 있다. 챔버를 안정화하는 것은 안정화에 이은 동작에 사용될 화학물질과 동일한 플로우 레이트들, 압력, 온도들, 및 다른 조건들을 사용할 수도 있다. 일부 실시예들에서, 챔버를 안정화하는 것은 상이한 파라미터들을 수반할 수도 있다. 일부 실시예들에서, 캐리어 가스, 예컨대 N2, Ar, Ne, He, 및 이들의 조합들이 동작 110 동안 계속해서 흐른다. 일부 실시예들에서, 캐리어 가스는 제거 동안에만 사용된다. 캐리어 가스는 이하에 기술된 바와 같이 일부 동작들에서 퍼지 가스로서 사용될 수도 있다. 일부 실시예들에서, 또 다른 반응물질 가스, 예컨대 산소가 동작 110 동안 개질된 층을 제거하도록 사용된다. 일부 실시예들에서, 캐리어 가스는 제거 동안 흐르지 않는다.
도 1에 도시된 동작들 106, 및 도 2에 도시된 210에서 수행될 수도 있는 개질 및 제거 동작들의 예가 본 명세서에 제공된다. 개질 동작은 후속하는 제거 동작에서 개질되지 않은 재료보다 쉽게 제거되는 두께를 갖는 얇은, 반응성 표면 층을 형성한다. 개질 동작에서, 기판은 챔버 내로 염소를 도입함으로써 염소화될 수도 있다. 염소는 개시된 실시예들에서 예시적인 에천트 종으로서 사용되지만, 일부 실시예들에서, 상이한 에칭 가스가 챔버 내로 도입된다는 것이 이해될 것이다. 에칭 가스는 에칭될 기판의 화학물질 및 타입에 따라 선택될 수도 있다. 일부 실시예들에서, 플라즈마가 점화될 수도 있고 염소는 에칭 프로세스 동안 기판과 반응한다. 일부 실시예들에서, 염소는 기판과 반응할 수도 있고 또는 기판의 표면 상에 흡착될 수도 있다. 다양한 실시예들에서, 염소는 가스 형태로 챔버 내로 도입되고 선택가능하게 상기 기술된 가스들 중 임의의 가스일 수도 있는 캐리어 가스와 동반될 수도 있다. 염소 플라즈마로부터 생성된 종은 기판을 하우징하는 프로세스 챔버 내에서 플라즈마를 형성함으로써 바로 생성될 수 있고 또는 기판을 하우징하지 않는 프로세스 챔버 내에서 리모트로 생성될 수 있고, 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다. 일부 실시예들에서, 플라즈마가 사용되지 않고 염소는 챔버 내로 열적으로 도입될 수도 있다.
다양한 실시예들에서, 플라즈마는 유도 결합 플라즈마 또는 용량 결합 플라즈마일 수도 있다. 유도 결합 플라즈마는 약 50 W 내지 약 2000 W의 플라즈마로 설정될 수도 있다. 일부 실시예들에서, 바이어스는 약 0 V 내지 약 500 V로 인가될 수도 있다.
일부 실시예들에서, 퍼지는 개질 동작 후에 수행될 수도 있다. 퍼지 동작에서, 비-표면-결합 활성 염소 종이 프로세스 챔버로부터 제거될 수도 있다. 이는 흡착된 층을 제거하지 않고, 활성 종을 제거하기 위해 프로세스 챔버를 퍼지 및/또는 배기함으로써 이루어질 수 있다. 염소 플라즈마로 생성된 종은 단순히 플라즈마를 중단하고 남아 있는 종으로 하여금 부식되게 함으로써 제거될 수 있고, 선택가능하게 챔버의 퍼지 및/또는 배기와 결합될 수 있다. 퍼지는 N2, Ar, Ne, He 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 이루어질 수 있다.
제거 동작에서, 기판은 지향성 스퍼터링에 의해 기판을 에칭하기 위해, 아르곤 또는 헬륨과 같은, 에너지 소스 (예를 들어 제거를 유도하는 활성화 또는 스퍼터링 가스 또는 화학적으로 반응성 종) 에 노출될 수도 있다. 일부 실시예들에서, 제거 동작은 이온 충돌에 의해 수행될 수도 있다. 제거 동안, 바이어스는 지향성 스퍼터링을 용이하게 하도록 선택가능하게 턴온될 수도 있다. 일부 실시예들에서, ALE는 등방성일 수도 있다.
스퍼터링 가스의 양은 목표된 양의 재료만을 에칭하도록 제어될 수도 있다. 다양한 실시예들에서, 챔버의 압력은 개질 동작과 제거 동작 사이에 가변할 수도 있다. 가스의 압력은 챔버의 사이즈, 가스의 플로우 레이트, 반응기의 온도, 기판의 타입, 및 에칭될 기판의 사이즈에 종속될 수도 있다. 제거 동안 바이어스가 턴온된다면, 바이어스는 비정질 실리콘과 같은 연성 재료에 피처들, 트렌치들, 또는 홀들을 에칭하기 위해 약 50 eV로 설정될 수도 있다. 일부 실시예들에서, 챔버는 제거 동작 후에 퍼지될 수도 있다. 퍼지 프로세스들은 개질 동작 후에 퍼지를 위해 사용된 프로세스들 중 임의의 프로세스일 수도 있다.
다양한 실시예들에서, 개질 및 제거 동작들은 약 1 내지 약 30 사이클들, 또는 약 1 내지 약 20 사이클들과 같은 사이클들로 반복될 수도 있다. 임의의 적합한 수의 ALE 사이클들은 목표된 양의 막을 에칭하기 위해 포함될 수도 있다. 일부 실시예들에서, ALE는 기판 상의 층들의 표면의 약 1 Å 내지 약 50 Å를 에칭하도록 사이클들로 수행된다. 일부 실시예들에서, ALE 사이클들은 기판 상의 층들의 표면의 약 2 Å 내지 약 50 Å를 에칭한다.
일부 실시예들에서, 기술된 ALD 및 본 명세서에 논의된 ALE 프로세스들은 진공을 파괴하지 않고 챔버들 사이에서 이송될 기판을 사용하여 상이한 챔버들에서 수행될 수도 있다. 다른 실시예들에서, 기술된 ALD 및 ALE 프로세스들은 증착 동작과 에칭 동작 사이에 진공 파괴 없이 동일한 챔버에서 수행될 수도 있다.
도 2를 다시 참조하면, 바이어스는 동작 212에서 선택가능하게 인가된다. 바이어스를 인가하는 것은 측벽들을 에칭할 수도 있고, 다양한 실시예들에서, 바이어스는 연속적으로 인가되지 않을 수도 있고 다양한 ALD 및 ALE의 사이클들 후에 인가될 수도 있다. 이 동작은 ALD에 의해 증착된 막을 브레이크스루하도록 수행될 수도 있다. 일부 실시예들에서, 바이어스는 ALD 사이클들에 의해 증착된 층을 브레이크스루하도록 약 80 eV로 설정된다.
동작 214는 ΔND의 충분한 리셋 및/또는 재확립이 수행되었는지 여부를 결정한다. ΔND가 충분히 리셋되지 않았다면, 동작들 204a 및/또는 204b 내지 214가 반복될 수도 있다. 또한, 일부 실시예들에서 동작들 204a 및/또는 204b 내지 214는 동일한 챔버 내에서 또는 최초 사이클에서와 같이 반복된 사이클들 동안 진공을 파괴하지 않고 수행될 수도 있다.
도 4는 단일 프로세스 플로우에서 ALE 및 선택적인 ALD 동작들을 결합하여, 개시된 실시예들을 구현하기 위한 프로세스들의 추가 예시를 제공한다. 동작 400에서, 챔버에 하우징된 기판은 기판의 표면을 개질하도록 에천트 또는 에칭 가스에 노출될 수도 있다. 이는 "표면 개질" 동작으로 지칭될 수도 있다. 이 동작 동안, 에천트가 챔버로 흐르는 동안, 캐리어 가스가 선택가능하게 흐를 수도 있다. 캐리어 가스는 도 1 내지 도 3에 대해 상기 기술된 캐리어 가스들 중 임의의 가스일 수도 있다. 일부 실시예들에서, 캐리어 가스는 표면 개질 동안 흐르지 않는다. 에천트는 기판의 상단 표면만을 개질하도록 흐를 수도 있다. 다양한 실시예들에서, 바이어스가 동작 400 동안 인가될 수도 있다. 바이어스는 약 0 V 내지 약 500 V의 전력으로 인가될 수도 있다.
도 4를 다시 참조하면, 동작 402에서, 기판의 개질된 층은 제거 동작에서 캐리어 가스와 동일하거나 상이할 수도 있는, 스퍼터링 가스에 기판을 노출함으로써 기판으로부터 제거될 수도 있다. 다양한 실시예들에서, 적어도 일부 기판 표면이 이 동작에서 에칭될 수도 있다. 도 2에 도시된, 동작 210에 대해 상기 제공된 예는 이들 동작들에 따라 사용될 수도 있다. 동작들 400 및 402를 수행하는 것은 일부 실시예들에서, ALE를 1 회 수행하는 것을 구성할 수도 있다. 일부 실시예들에서, 동작들 400 및 402는 선택가능하게 1 회 이상 반복될 수도 있다 (예를 들어, 하나 이상의 사이클들로).
동작 402는 제거 페이즈에 대응할 수도 있다. 일부 실시예들에서, 사이클은 보다 많은 동작들을 포함할 수도 있다. 제거 동안, 캐리어 가스는 어떠한 다른 화학물질도 흘리지 않고 흐를 수도 있다. 캐리어 가스는 개질된 층이 에칭될 때 퍼지를 용이하게 하도록 흐를 수도 있다.
동작 404에서, 기판은 적어도 일부 기판 표면 상에 제 1 반응물질을 흡착시키도록 제 1 반응물질에 노출된다. 이 동작은 동작들 116 및 118을 수행하는 것으로부터 진공을 파괴하지 않고 수행될 수도 있다. 일부 실시예들에서, 제 1 반응물질은 본 명세서에 기술된 바와 같이 막 전구체이다. 다양한 실시예들에서, 제 1 반응물질은 기판의 활성 사이트들 상으로 흡착할 수도 있다. 상기 기술된 ALD 사이클 동안 흡착 예들은 이 동작과 관련된다.
동작 404는 제 1 전구체 노출 페이즈에 대응할 수도 있다. 이 동작 동안, 제 1 전구체는 선택가능한 캐리어 가스와 함께 흐를 수도 있다. 이 동작 동안, 이하에 더 기술될 에천트 플로우 및 제 2 반응물질 플로우는 흐르지 않을 수도 있다는 것을 주의한다. 이 동작은 기판의 표면의 적어도 일부를 포화시키기 충분한 지속기간 동안 수행될 수도 있다. 일부 실시예들에서, 적어도 약 40 %, 또는 적어도 약 60 %, 또는 적어도 약 80 %, 또는 약 100 %의 표면이 포화될 수도 있다.
일부 실시예들에서, 제 1 전구체 노출 페이즈를 수행한 후, 기판을 하우징하는 챔버는 선택가능하게 퍼지 페이즈에서 퍼지될 수도 있다. 퍼지 페이즈 동안, 캐리어 가스가 기판의 표면 상에 흡착하지 않은 과잉 제 1 전구체를 제거하도록 흐른다. 일부 실시예들에서, 퍼지 페이즈가 수행되지 않는다.
도 1c를 다시 참조하면, 동작 406은 기판이 제 2 반응물질에 노출되도록 수행될 수도 있다. 다양한 실시예들에서, 제 2 반응물질은 환원제일 수도 있다. 제 2 반응물질은 기판 상에 박막을 증착하도록 흡착된 층과 반응한다. 일부 실시예들에서, 동작들 404 및 406은 제 1 반응물질을 기판의 표면 상에 흡착하기 전에 동작 406이 수행되도록 역순으로 수행될 수도 있다는 것을 주의한다.
동작 406은 제 2 반응물질 노출 페이즈에 대응할 수도 있다. 이 페이즈 동안, 제 2 반응물질이 흐르고, 캐리어 가스가 선택가능하게 흐른다. 일부 실시예들에서, 제 2 반응물질은 캐리어 가스를 사용하지 않고 흐른다. 에천트 및 제 1 전구체 플로우는 이 페이즈 동안 턴온되지 않는다. 다양한 실시예들에서, 제 2 반응물질 노출 페이즈는 또한 플라즈마를 점화하는 것을 포함할 수도 있다 (도면들에는 미도시). 일부 실시예들에서 막의 박층이 플라즈마를 사용하지 않고 증착될 수도 있다. 일부 실시예들에서, 챔버는 퍼지 페이즈 동안 제 2 반응물질 노출 페이즈 후에 선택가능하게 퍼지된다. 퍼지 페이즈는 가스 상의 부산물들 또는 과잉 제 2 반응물질을 챔버로부터 제거하도록 수행될 수도 있다. 일부 실시예들에서, 챔버는 퍼지되지 않는다.
도 4의 동작들 404 및 406은 선택가능하게 1 회 이상 반복될 수도 있다. 동작들 404 및 406을 수행하는 것은 도 1a에 대해 상기 기술된 바와 같이 ALD 사이클을 구성할 수도 있다. 다양한 실시예들에서, 동작들 400 내지 406은 1 회 이상 반복된다. 동작들 400 및 402의 반복된 사이클들, 및 동작들 404 및 400의 반복된 사이클들의 조합이 추가 사이클들에서 수행될 수도 있다. 예를 들어, 일부 실시예들에서, 사이클은 동작들 400 내지 402를 2 회 수행하는 것 및/또는 동작들 404 내지 406을 3 회 수행하는 것을 포함할 수도 있고, 또한 2 회 이상 반복될 수도 있다. 일부 실시예들에서, 동작들 400 내지 402 및 동작들 404 내지 406의 사이클들이 순차적으로 수행된다. 예를 들어, 동작들 400 내지 402를 수행한 후에, 동작들 404 내지 406이 수행되고, 그리고/또는 그 반대도 된다. 프로세스에 사용된 사이클들의 빈도 및 사이클들의 수는 개시된 실시예들의 타입에 종속할 수도 있다.
도 5를 참조하면, 도 2의 동작 204a에서 완료된 ALD와 같은 점진적으로 교번하는 증착 및 도 2의 동작 210에서 완료된 ALE와 같은 에칭 절차들에 대해 평가된 막 두께의 플롯이 도시된다. 구체적으로, 일부 실시예들에서, 알루미늄 나이트라이드 (AlN) 는 ALD를 통해 유전체, 예컨대 실리콘 다이옥사이드 (SiO2), 및/또는 금속, 예컨대 구리 (Cu) 상에 증착될 수도 있다. 대안적으로, 일부 실시예들에서, AlN, 또는 다른 재료들, 예컨대 SiO2 및/또는 Cu는 실질적으로 알루미늄 나이트라이드 (AlN) 로 이루어진 기판 상에 증착될 수도 있다.
도 5에 도시된 바와 같이, SiO2 또는 Cu를 포함하는 기판 상의 AlN의 증착은 예를 들어, 상부에 AlN이 증착되는 기판, 즉, SiO2 또는 Cu에 대해 분명한, AlN 막 두께 성장 패턴들을 발생시킨다. 증착된 재료들 및/또는 막의 상대적인 두께, "막 두께"는, 도시된 바와 같이 SiO2 기판 상에 증착될 때 AlN에 대해 관찰된 신속한 성장과 함께 상당히 진전될 수도 있다. 반대로, Cu 기판 상에 증착된 AlN의 막 두께 성장은, 예를 들어, 이러한 증착과 연관된 핵생성 지연들로 인해 최초 시간 기간에 대해 정체된 채로 남아 있을 수도 있다. 이어서 후속 에칭 동작은 SiO2 기판 및/또는 Cu 기판 상에 증착된 AlN의 막 두께를 감소시키고, Cu 기판 상에 증착된 AlN 막은 최초의 0 두께 조건으로 리턴 및/또는 리셋된다. 이러한 증착 및 에칭 동작들 및/또는 사이클들은 논의된 바와 같이 예를 들어, SiO2 기판 및/또는 Cu 기판 상에 AlN의 특정한 바람직한 막 두께를 달성하기 위해 목표될 때 반복될 수도 있다.
장치
도 7은 본 명세서에 기술된 바와 같이 선택적인 증착을 수행하기 적합한, 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (702) 를 갖는 ALD 프로세스 스테이션 (700) 의 실시예의 개략적 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (700) 은 공통 저압 프로세스 툴 분위기 내에 포함될 수도 있다. 예를 들어, 도 8은 멀티-스테이션 프로세싱 툴 (800) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 ALD 프로세스 스테이션 (700) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (700) 은 분배 샤워헤드 (706) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (701a) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (701a) 은 샤워헤드 (706) 로의 전달을 위해 프로세스 가스들, 예컨대 아미노실란 전구체 가스, 또는 산화제 가스 (예를 들어, 오존), 또는 암모니아 및/또는 질소 가스를 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (704) 을 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (720) 이 혼합 용기 (704) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 질소 플라즈마 및/또는 암모니아 플라즈마가 또한 샤워헤드 (706) 로 전달될 수도 있고 또는 ALD 프로세스 스테이션 (700) 에서 생성될 수도 있다.
예로서, 도 7의 실시예는 혼합 용기 (704) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (703) 을 포함한다. 일부 실시예들에서, 기화 지점 (703) 은 가열된 기화기일 수도 있다. 이러한 증발기들로부터 생산된 포화된 반응 물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (703) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (704) 가 또한 열 추적될 수도 있다. 일 비제한적 예에서, 기화 지점 (703) 의 파이프 다운스트림은 혼합 용기 (704) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질이 액체 주입기에서 기화될 수도 있다. 예를 들어, 이러한 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (703) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (704) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (706) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (703) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세싱 스테이션 (700) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (706) 는 프로세스 가스들을 기판 (712) 을 향해 분배한다. 도 7에 도시된 실시예에서, 기판 (712) 은 샤워헤드 (706) 밑에 위치되고 페데스탈 (708) 상에 놓인 것으로 도시된다. 샤워헤드 (706) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (712) 으로 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (708) 은 기판 (712) 을 기판 (712) 과 샤워헤드 (706) 사이의 볼륨에 노출하도록 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (708) 의 높이를 조정하는 것은 플라즈마가 점화되는 실시예들의 프로세스에서 플라즈마 밀도로 하여금 플라즈마 활성화 사이클들 동안 가변하게 할 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (708) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (708) 로부터 기판 (712) 의 제거를 허용하도록 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (708) 은 히터 (710) 를 통해 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (708) 은 개시된 실시예들에 기술된 바와 같이 실리콘 나이트라이드 막들의 증착 동안 적어도 약 250 ℃ 또는 일부 실시예들에서, 약 300 ℃ 미만, 예컨대 약 250 ℃의 온도로 가열될 수도 있다. 일부 실시예들에서, 페데스탈은 약 50 ℃ 내지 약 300 ℃의 온도, 예컨대 약 200 ℃ 내지 약 275 ℃의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 50 ℃ 내지 약 300 ℃의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 200 ℃ 내지 약 275 ℃의 온도로 설정된다.
또한, 일부 실시예들에서, 프로세싱 스테이션 (700) 을 위한 압력 제어가 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 도 7의 실시예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (도면에 미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세싱 스테이션 (700) 의 압력 제어는 또한 프로세싱 스테이션 (700) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다.
일부 실시예들에서, 샤워헤드 (706) 의 위치는 기판 (712) 과 샤워헤드 (706) 사이의 체적을 변화시키도록 페데스탈 (708) 에 상대적으로 조정될 수도 있다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (708) 은 기판 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
플라즈마가 상기 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디칼 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 약 150 W 내지 약 6000 W이다. 플라즈마는 실리콘 나이트라이드에 상대적으로 실리콘 옥사이드 상의 실리콘 옥사이드의 선택적인 증착 전에 실리콘 나이트라이드 표면의 처리 동안 사용될 수도 있다. RF 전력 공급부 (714) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (714) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 큰, 또는 27 ㎒보다 큰, 또는 40 ㎒보다 큰, 또는 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (750) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들이 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 암모니아 및/또는 질소 반응 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마를 점화하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 레시피 페이즈는 불활성 및/또는 아미노실란 실리콘 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 오존과 같은 산화제 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 5 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 개시된 실시예들의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제어기 (750) 는 도 8의 시스템 제어기 (850) 대해 이하에 기술된 임의의 특징들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 도 8에 도시된 바와 같은 멀티스테이션 프로세싱 툴 (800) 에 포함될 수도 있다. 멀티스테이션 프로세싱 툴은 일부 실시예들에서, CA, Fremont 소재의 Lam Research로부터 입수가능한 VECTOR ® 툴들 및/또는 제품군들일 수도 있고, 도 1 내지 도 3과 함께 기술되고 제시된 ALD를 수행하거나 달리 보조할 수도 있다. 도 8은 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 중 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 을 갖는, 멀티스테이션 프로세싱 툴 (800) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (806) 은, 카세트로부터 포드 (808) 를 통해 인바운드 로드록 (802) 으로 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (802) 내의 페데스탈 (812) 상에 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (802) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (814) 내로 도입되기 전에 로드록 내에서 실리콘 나이트라이드 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (802) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 8에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (814) 는 도 8에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 818로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD 프로세스 모드 사이에서 스위칭할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (814) 는 ALD 프로세스 스테이션 및 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (814) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
일부 실시예들에서, 도 8에 도시된 것들은 프로세싱 챔버 (814) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템과 상호작용할 수도 있고 그리고/또는 달리 인게이지할 수도 있다. 일부 실시예들에서, 웨이퍼 핸들링 시스템은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 실시예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서들 (852) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 에 저장되고 메모리 디바이스 (856) 내로 로딩되어 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 대안적으로, 제어 로직은 제어기 (850) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (800) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (818) 상에 기판을 로딩하고 기판과 프로세스 툴 (800) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 아미노실란 가스들, 및 산화제 가스들, 암모니아, 질소, 캐리어 가스들 및/또는 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 및 디지털 출력 접속부들에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, 압력 센서들 (예컨대 압력계들), 써모커플들, 등을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이들 센서들로부터 데이터를 사용할 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (850) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (850) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (850) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (850) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (850) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (850) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (850) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (850) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (850) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (850) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (850) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (850) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 적절한 장치는, 각각 전체가 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (7) 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 단계, (7) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (8) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다.
일반적으로 도 9를 참조하면, 특정한 실시예들에서, ALE (atomic layer etching) 동작들 및/또는 ALD (atomic layer deposition) 동작들에 적합할 수도 있는 ICP (inductively coupled plasma) 반응기들이 이제 기술된다. 이러한 ICP 반응기들은 또한 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 2013년 12월 10일 출원된 미국 특허 출원 공개 번호 제 2014/0170853 호에 기술되었다. ICP 반응기들이 본 명세서에 기술되지만, 일부 실시예들에서, CCP (capacitively coupled plasma) 반응기들이 또한 사용될 수도 있다는 것이 이해될 것이다.
도 9는 본 명세서의 특정한 실시예들을 구현하기에 적절한 유도 결합 플라즈마 통합된 에칭 및 증착 장치 (900) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo TM 반응기이다. 일부 실시예들에서, 도 1 내지 도 4와 함께 기술되고 제시된 바와 같이 ALE는 Kiyo TM 반응기 상에서 주로 수행될 수도 있다. 유도 결합 플라즈마 장치 (900) 는 챔버 벽들 (901) 및 그 사이에서 길이방향으로 연장하는 윈도우 (911) 에 의해서 구조적으로 구획된 전체 프로세스 챔버를 포함한다. 챔버 벽들 (901) 은 스테인레스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (911) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택가능한 내부 플라즈마 그리드 (950) 는 상부 서브-챔버 (902) 및 그 밑에 위치된 하부 서브-챔버 (903) 로 전체 프로세싱 챔버를 분할한다. 또한 일부 실시예들에서, 플라즈마 그리드 (950) 는 제거될 수도 있고, 따라서, 서브-챔버들 (902 및 903) 둘다로 이루어진 챔버 공간을 생성하고 그리고/또는 활용한다. 척 (917) 은 하단 내측 표면 근방에서 하부 프로세싱 챔버 (903) 내에 위치된다. 척 (917) 은 에칭 및 증착 프로세스가 수행되는 반도체 웨이퍼 (919) 를 수용 및 홀딩하도록 구성된다. 척 (917) 은 웨이퍼 (919) 가 존재하는 경우에 웨이퍼 (919) 를 지지하는 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 이 척 (917) 을 둘러싸며 척 (917) 위에 존재할 경우에 웨이퍼 (919) 의 상단 표면과 대략 동일 평면에 있는 상부 표면을 갖는다. 일부 실시예들에서, 척 (917) 은 또한 웨이퍼 (919) 를 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (도면들에 미도시) 가 이 목적을 위해서 제공될 수도 있다. 척 (917) 으로부터 웨이퍼 (919) 를 리프팅 (lifting) 하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (917) 은 RF 전력 공급부 (923) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (923) 는 연결부 (927) 를 통해 매칭 회로 (921) 에 연결된다. 매칭 회로 (921) 는 연결부 (925) 을 통해 척 (917) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (923) 는 척 (917) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (911) 위에 위치된 코일 (933) 을 포함한다. 일부 실시예들에서, 코일은 개시된 실시예들에서 사용되지 않는다. 코일 (933) 은 전기적으로 도전성 재료로 제조되고, 적어도 1 회의 완전한 턴 (turn) 을 포함한다. 도 8에 도시된 코일 (933) 의 예는 3 회의 턴들을 포함한다. 코일 (933) 의 단면도들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"을 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (933) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (941) 를 포함한다. 일반적으로, RF 전력 공급부 (941) 는 연결부 (945) 를 통해 매칭 회로 (939) 에 연결된다. 매칭 회로 (939) 는 연결부 (943) 를 통해 코일 (933) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (941) 는 코일 (933) 에 연결된다. 선택가능한 Faraday 차폐부 (949) 는 코일 (933) 과 윈도우 (911) 사이에 위치된다. Faraday 차폐부 (949) 는 코일 (933) 에 대해 이격된 관계로 유지될 수도 있다. Faraday 차폐부 (949) 는 윈도우 (911) 바로 위에 배치된다. 코일 (933), Faraday 차폐부 (949), 및 윈도우 (911) 는 예를 들어, 도 8에 도시된 구성에서, 각각 서로 실질적으로 평행하도록 구성된다. Faraday 차폐부는 금속 또는 다른 종이 플라즈마 챔버의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어, 염소, 아르곤, 실리콘 테트라클로라이드, 산소, 질소, 등) 이 상부 챔버 내에 위치된 하나 이상의 주 가스 플로우 유입부들 (960) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입부들 (970) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 프로세스 가스들을 CCP (capacitively coupled plasma) 프로세싱 챔버로 공급하도록 유사한 가스 플로우 유입부들이 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프 (940) 가 프로세스 챔버 (924) 로부터 프로세스 가스들을 유출하도록 그리고 프로세스 챔버 (924) 내에서 압력을 유지하도록 사용될 수도 있다. 예를 들어, 펌프는 ALD의 퍼지 동작 동안 챔버 (901) 를 배기하도록 사용될 수도 있다. 진공 펌프에 의해 제공된 진공 환경의 적용을 선택적으로 제어하도록 프로세스 챔버로 진공 펌프를 유체적으로 연결하도록 밸브-제어된 도관이 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안, 쓰로틀 밸브 (도 9에 미도시) 또는 펜둘럼 밸브 (도 8에 미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스를 채용함으로써 이루어질 수도 있다. 유사하게, CCP 프로세싱 챔버 로의 진공 펌프 및/또는 밸브 제어된 유체 연결이 채용될 수도 있다.
ICP 장치 (900) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입부들 (960 및/또는 970) 를 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스들은 주 가스 플로우 유입부 (960) 를 통해서만, 또는 측면 가스 플로우 유입부 (970) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입부들은 예를 들어 보다 복잡한 가스 플로우 유입부들, 및/또는 하나 이상의 샤워헤드들로 대체될 수도 있다. Faraday 차폐부 (949) 및/또는 선택가능한 그리드 (950) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. Faraday 차폐부 (949) 및 선택가능한 그리드 (950) 중 하나 또는 양자는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할을 할 수도 있다. 일부 실시예들에서, 액체 반응물질 또는 전구체가 일단 기화되면, 기화된 반응물질 또는 전구체가 가스 플로우 유입부 (960 및/또는 970) 를 통해 챔버 내로 도입되도록, 액체 기화 및 전달 시스템이 챔버 (901) 의 업스트림에 위치될 수도 있다. 예시적인 액체 전구체들은 SiCl4 및 실리콘 아미드들을 포함한다.
RF 전류로 하여금 코일 (933) 을 통해 그리고/또는 코일 (933) 내로 흐르게 하도록 RF 전력 공급부 (941) 로부터 코일 (933) 로 RF 전력이 공급된다. 코일 (933) 을 통한 RF 전류는 코일 (933) 을 중심으로, 상부 서브-챔버 (902) 내에 유도 전류를 생성하는 전자기장을 생성한다. 웨이퍼 (919) 와 다양한 생성된 이온들 및 라디칼들의 물리적 및 화학적 상호작용들은, 예를 들어, 도 1a에 도시된 ALE 및/또는 ALD 프로세스들에 대해 논의되고 기술된 바와 같이, 웨이퍼의 피처들을 선택적으로 에칭하고 웨이퍼 상에 층들을 증착한다.
상부 서브-챔버 (902) 및 하부 서브-챔버 (903) 양자가 있도록 플라즈마 그리드가 사용되면, 상부 서브-챔버 (902) 내에서 전자-이온 플라즈마를 생성하도록 유도 전류는 상부 서브-챔버 (902) 내에 존재하는 가스에 작용한다. 선택가능한 내부 플라즈마 그리드 (950) 는 하부 서브-챔버 (903) 내 핫 (hot) 전자들의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (903) 내에 존재하는 플라즈마가 "이온-이온" 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자가 양이온 및 음이온을 포함할 수도 있지만, 이온-이온 플라즈마가 양이온들에 대한 음이온들의 보다 큰 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (922) 를 통해 하부 서브-챔버 (903) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (917) 은 약 10 ℃ 내지 약 250 ℃의 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 구체적인 레시피에 종속될 것이다.
챔버 (901) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (도 9에 미도시) 에 커플링될 수도 있다. 이러한 설비들은 프로세싱 가스들, 진공, 온도 제어, 및/또는 환경 입자 제어를 제공하는 플럼빙을 포함할 수도 있다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 챔버 (901) 에 커플링된다. 부가적으로, 챔버 (901) 는 로보틱스들로 하여금 예를 들어, 통상적인 자동화를 사용하여 반도체 웨이퍼들을 장치 챔버 (901) 내외로 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (930) 가 프로세싱 챔버의 동작들 중 일부 또는 모두를 제어한다. 시스템 제어기 (930) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시예들에서, 장치는 개시된 실시예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 장치는 약 500 ms까지, 또는 약 750 ms까지의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 예를 들어, 플로우 화학물질, 선택된 레시피, 반응기 아키텍처, 및 다양한 다른 인자들에 종속할 수도 있다.
일부 구현예들에서, 시스템 제어기, 또는 제어기 (930) 는 상술한 예들의 일부일 수도 있는 그리고/또는 달리 통합될 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 집합적으로 "제어기"로서 지칭될 수도 있다. 제어기 (930) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (930) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 다이옥사이드 (SiO2), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (930) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 적어도 일부이거나 "클라우드" 및/또는 "클라우드" 타입 컴퓨터 네트워크 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (930) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (930) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 10은 VTM (vacuum transfer module) (1038) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들 및 프로세스 모듈들의 장치는 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 또한 로드록 또는 이송 모듈로 공지된 에어록 (1030) 이 4 개의 프로세싱 모듈들 (1020a 내지 1020d) 을 갖는 VTM (1038) 내에 도시되고, 프로세싱 모듈들은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있다. 예로서, 프로세싱 모듈들 (1020a 내지 1020d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체-관련 프로세스들을 수행하도록 구현될 수도 있다. 일부 실시예들에서, ALD 및 ALE가 동일한 모듈에서 수행된다. 일부 실시예들에서, ALD 및 ALE는 동일한 툴의 상이한 모듈들에서 수행된다. 하나 이상의 기판 에칭 프로세싱 모듈들 (1020a 내지 1020d 중 어느 하나) 이 본 명세서에 개시된 바와 같이, 즉, 컨포멀한 막들을 증착하기 위해, ALD에 의해 막들을 선택적으로 증착하기 위해, 패턴들을 에칭하기 위해, 그리고 개시된 실시예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 (1030) 및 프로세스 모듈 (1020) 은 "스테이션들"로 지칭될 수도 있다. 스테이션 각각은 스테이션을 VTM (1038) 에 인터페이싱하는 패싯 (1036) 을 갖는다. 패싯 각각 내부에서, 센서들 (1 내지 18) 은 웨이퍼가 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (1026) 의 통과를 검출하도록 사용된다.
로봇 (1022) 이 스테이션들 사이에서 웨이퍼 (1026) 를 이송한다. 일 실시예에서, 로봇 (1022) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (1022) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (1026) 와 같은 웨이퍼들을 피킹하기 위해 엔드 이펙터 (1024) 를 갖는다. ATM (atmospheric transfer module) (1040) 의 프론트엔드 로봇 (1032) 이 LPM (Load Port Module) (1042) 의 FOUP (Front Opening Unified Pod) (1034) 또는 카세트로부터 에어록 (1030) 으로 웨이퍼들 (1026) 을 이송하도록 사용된다. 프로세스 모듈 (1020a 및/또는 1020b) 내부의 모듈 중심 (1028) 은 웨이퍼 (1026) 를 배치하기 위한 적합한 위치이다. ATM (1040) 내 얼라이너 (1044) 가 웨이퍼들을 정렬하기 위해 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (1042) 내 FOUP들 (1034) 중 하나에 배치된다. 프론트엔드 로봇 (1032) 은 FOUP (1034) 로부터 웨이퍼 (1026) 로 하여금 에칭되거나 프로세싱되기 전에 적절히 중심에 위치되게 하는, 얼라이너 (1044) 로 웨이퍼를 이송한다. 정렬된 후, 웨이퍼 (1026) 는 프론트엔드 로봇 (1032) 에 의해 에어록 (1030) 내로 이동된다. 에어록 모듈들이 ATM와 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (1026) 는 손상되지 않고 두 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (1030) 로부터, 웨이퍼 (1026) 는 로봇 (1022) 에 의해 VTM (1038) 을 통해 프로세싱 모듈들 (1020a 내지 1020d) 중 하나 내로 이동된다. 구체적으로, 예를 들어, 기술된 바와 같이 즉, 프로세싱 모듈들 (1020a 내지 1020d) 중 하나 내로 VTM (1038) 을 통해, 웨이퍼를 이동시키기 위해 웨이퍼 (1026) 를 파지하도록, 로봇 (1022) 은 암들 각각에 위치된 엔드 이펙터들 (1024) 을 사용한다. 일단 웨이퍼 (1026) 가 프로세싱되면, 웨이퍼는 로봇 (1022) 에 의해 프로세싱 모듈들 (1020a 내지 1020d) 로부터 에어록 모듈 (1030) 로 이동된다. 여기서부터, 웨이퍼 (1026) 는 프론트엔드 로봇 (1032) 에 의해 FOUP들 (1034) 중 하나 또는 얼라이너 (1044) 로 이동될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 로컬일 수 있고 또는 제조 플로어의 클러스터 아키텍처 외부에 위치될 수 있고, 또는 리모트 위치이고 네트워크를 통해 클러스터 아키텍처에 연결된 것을 주의해야 한다. 또한, 일부 실시예들에서, 도 7 내지 도 9에 대해 상기 기술된 바와 같은 제어기는 도 10의 툴을 사용하여 구현될 수도 있다.
또한, 도 1 및/또는 도 2와 함께 기술되고 도시된, 일부 실시예들에서, 즉 동작들 104 및/또는 204a에서 ALD에 의한 선택적인 증착, 및 동작들 106 및/또는 210에서 ALE에 의한 상이한 기판 재료들 간 핵생성 지연 차의 후속하는 리셋이 도 7 내지 도 10에 도시되고 논의된 임의의 하나 이상의 장치들에서 실시될 수도 있고 그리고/또는 달리 수행될 수도 있다.
바람직하게, 일부 실시예들에서, 동작들 104 및/또는 204a의 ALD는 CA, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 VECTOR® 제품군과 같은 PECVD (plasma-enhanced chemical vapor deposition) 제품에 의해 실행된다. 또한, Lam Research에 의해 개발된 부가적인 하이브리드 툴은 공통 플랫폼 상에 VECTOR® 및 Kiyo™ 제품들 및/또는 툴들을 포함할 수도 있다. 구체적으로, 이러한 플랫폼은 VECTOR® 제품들 상의 ALD 절차들 및 Kiyo™ 제품들 상의 ALE 절차들의 완료를 지향하는 구성들을 포함할 수도 있고, VECTOR® 및 Kiyo™ 둘다는 선택가능하게 동일한 클러스터에 통합된다. 이러한 공통 클러스터는 본 명세서에 기술된 ALD 및/또는 ALE 절차들로 하여금 진공 파괴 없이, 또는 진공을 파괴하지 않고, 완료되게 할 수도 있고, 따라서 진공 증착 및/또는 에칭 챔버들 사이 및/또는 내에서 전통적인 프로세스 사이클링에 대한 유용한 대안을 나타낸다.
또한, 도 1 내지 도 4에 도시되고 논의된 ALD 및/또는 ALE 절차들 중 하나 이상 (또는 모두) 의 임의의 조합은 기판 표면 무결성을 달리 포함하지 않고 완료될 수도 있다. 또한, VECTOR® 및 Kiyo™ 제품들 및/또는 툴들의 통합을 수반하는 실시예들은 예를 들어, Kiyo™ 제품들에서만, 논의된 ALD 및/또는 ALE 절차들을 완료하기 위한 시도들 대신, 최적의 쓰루풋을 발생시킬 수도 있다. 더욱이, 일부 실시예들에서, ALD가 VECTOR® 제품들 상에서 수행되고 ALE는 Kiyo™ 상에서 수행되는 동일한 클러스터에서 VECTOR® 및 Kiyo™ 제품들 및/또는 툴들의 배치는 타깃을 벗어난 증착을 기준으로 디펙트들 성장을 제거하거나 실질적으로 감소시킬 수도 있다.
다른 반도체-관련 프로세스들과 함께 기술된 ALD 및/또는 ALE 절차들의 부가적인 통합이 가능하다. 구체적으로, 도 1 내지 도 3과 함께 도시되고 기술된 바는 갭 충진 애플리케이션들, 패터닝, 최초 층으로부터 후속하는 층들의 선택적인 층 성장, 및/또는 ALE와 같은, 에칭 절차들 동안 층의 선택적인 보호와 함께 구현될 수도 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (19)

  1. 반도체 기판 상에서 증착을 수행하기 위한 방법에 있어서,
    반도체 기판 상에 재료를 선택적으로 증착하는 단계로서, 상기 기판은, 핵생성 지연 차에 따라 상기 기판 상에 증착된 재료에 대응하는 상이한 핵생성 지연들을 갖는 복수의 기판 재료들을 포함하는, 상기 재료를 선택적으로 증착하는 단계;
    상기 기판 재료들 사이의 상기 핵생성 지연 차를 재확립하도록 상기 기판 상에 증착된 상기 재료의 일부를 에칭하는 단계; 및
    상기 기판 상에 상기 재료를 더 선택적으로 증착하는 단계를 포함하는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  2. 제 1 항에 있어서,
    상부에 증착이 의도되는 제 1 기판 재료와 연관된 핵생성 지연은 상부에 증착이 의도되지 않는 제 2 기판 재료와 연관된 핵생성 지연보다 짧은, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 핵생성 지연 차는 상기 증착이 진행됨에 따라 열화하는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 에칭은 사이클들로 수행되고,
    일 사이클은 상기 기판의 표면을 개질하도록 상기 기판을 에칭 가스에 노출하는 단계; 및 상기 개질된 표면 중 적어도 일부를 제거하도록 상기 기판을 제거 가스에 노출하는 단계를 포함하는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 증착은 사이클들로 수행되고,
    일 사이클은 상기 기판의 표면을 개질하도록 상기 기판을 증착 전구체에 노출하는 단계; 및 상기 재료를 증착하도록 상기 기판을 환원제에 노출하는 단계를 포함하는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 기판 상에 상기 재료를 선택적으로 증착하는 단계 및 상기 증착된 재료의 일부를 에칭하는 단계를 반복하는 것은 증착 선택도의 대응하는 브레이크다운을 발생시키지 않고 상기 증착된 재료를 두껍게 하는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 기판 상에 상기 재료를 선택적으로 증착하는 단계 및 상기 증착된 재료의 일부를 에칭하는 단계를 반복하는 것은 타깃을 벗어난 (off-target) 증착을 방지하는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 증착될 재료는 알루미늄 나이트라이드 (AlN) 인, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 복수의 기판 재료들은 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (Si3N4), 실리콘 카바이드 (SiC), 알루미늄 옥사이드 (Al2O3), 및 알루미늄 나이트라이드 (AlN) 로 구성된 그룹으로부터 선택되는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 복수의 기판 재료들은 하프늄 (Hf), 지르코늄 (Zr) 및 주석 옥사이드 (SnO2) 로 구성된 그룹으로부터 선택되는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 복수의 기판 재료들은 텅스텐 (W), 구리 (Cu), 코발트 (Co), 알루미늄 (Al), 티타늄 (Ti), 실리콘 (Si) 및 탄소 (C) 로 구성된 도전성 막들의 그룹으로부터 선택되는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  12. 제 8 항에 있어서,
    트리메틸알루미늄이 상기 증착될 알루미늄 나이트라이드를 위한 알루미늄을 제공하는, 반도체 기판 상에서 증착을 수행하기 위한 방법.
  13. (a) 챔버 내에 하우징된 기판 위에 막을 증착하도록 상기 기판을 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 노출하는 단계로서, 상기 기판은 상부에 상기 막의 증착이 의도되는 제 1 기판 재료 및 상부에 상기 막의 증착이 의도되지 않는 제 2 기판 재료를 갖고, 상기 제 2 기판 재료는 상기 제 1 기판 재료와 상이하고, 그리고 상기 증착과 함께 진행시 열화하는, 핵생성 지연 차에 따라 상기 제 1 기판 재료에 대한 핵생성 지연이 상기 제 2 기판 재료에 대한 핵생성 지연보다 작은, 상기 기판을 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 노출하는 단계;
    (b) 상기 제 1 기판 재료와 상기 제 2 기판 재료 간의 상기 핵생성 지연 차를 리셋하도록 상기 증착된 재료의 일부를 에칭하기 위해 에칭 가스 및 제거 가스의 교번하는 펄스들에 챔버에 하우징된 기판을 노출하는 단계를 포함하는, 방법.
  14. 제 13 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 를 동일한 챔버 내에서 반복하는 단계를 더 포함하는, 방법.
  15. 기판들을 프로세싱하기 위한 장치에 있어서,
    하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 척을 갖는, 상기 하나 이상의 프로세스 챔버들;
    상기 프로세스 챔버들로의 하나 이상의 가스 유입부들 및 연관된 플로우-제어 하드웨어; 및
    프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 프로세서 및 상기 메모리는 서로 통신가능하게 연결되고,
    적어도 하나의 상기 프로세서는 적어도 상기 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고
    상기 메모리는:
    반도체 기판 상에 재료를 선택적으로 증착하는 단계로서, 상기 기판은, 핵생성 지연 차에 따라 상기 기판 상에 증착된 재료에 대응하는 상이한 핵생성 지연들을 갖는 복수의 기판 재료들을 포함하는, 상기 재료를 선택적으로 증착하는 단계;
    상기 기판 재료들 사이의 상기 핵생성 지연 차를 재확립하도록 상기 기판 상에 증착된 상기 재료의 일부를 에칭하는 단계; 및
    상기 기판 상에 상기 재료를 더 선택적으로 증착하는 단계에 의해 상기 플로우-제어 하드웨어를 적어도 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하는, 기판들을 프로세싱하기 위한 장치.
  16. 제 15 항에 있어서,
    상기 반도체 기판 상에 재료를 선택적으로 증착하는 단계 및 상기 기판 상에 증착된 상기 재료의 일부를 에칭하는 단계는 진공을 파괴하지 않고 수행되는, 기판들을 프로세싱하기 위한 장치.
  17. 제 15 항에 있어서,
    상기 메모리는:
    (a) 챔버 내에 하우징된 기판 위에 막을 증착하도록 상기 기판을 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 노출하는 단계로서, 상기 기판은 상부에 상기 막의 증착이 의도되는 제 1 기판 재료 및 상부에 상기 막의 증착이 의도되지 않는 제 2 기판 재료를 갖고, 상기 제 2 기판 재료는 상기 제 1 기판 재료와 상이하고, 그리고 상기 증착과 함께 진행시 열화하는, 핵생성 지연 차에 따라 상기 제 1 기판 재료에 대한 핵생성 지연이 상기 제 2 기판 재료에 대한 핵생성 지연보다 작은, 상기 기판을 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 노출하는 단계;
    (b) 상기 제 1 기판 재료와 상기 제 2 기판 재료 간의 상기 핵생성 지연 차를 리셋하도록 상기 증착된 재료의 일부를 에칭하기 위해 에칭 가스 및 제거 가스의 교번하는 펄스들에 챔버에 하우징된 기판을 노출하는 단계에 의해 상기 플로우-제어 하드웨어를 적어도 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하는, 기판들을 프로세싱하기 위한 장치.
  18. 제 17 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 를 동일한 챔버에서 반복하는 것을 더 포함하는, 기판들을 프로세싱하기 위한 장치.
  19. 제 17 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 는 진공을 파괴하지 않고 수행되는, 기판들을 프로세싱하기 위한 장치.
KR1020180008079A 2017-04-19 2018-01-23 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착 KR102608585B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230167513A KR20230166993A (ko) 2017-04-19 2023-11-28 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762487411P 2017-04-19 2017-04-19
US62/487,411 2017-04-19
US15/581,951 2017-04-28
US15/581,951 US10559461B2 (en) 2017-04-19 2017-04-28 Selective deposition with atomic layer etch reset

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230167513A Division KR20230166993A (ko) 2017-04-19 2023-11-28 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착

Publications (2)

Publication Number Publication Date
KR20180117525A true KR20180117525A (ko) 2018-10-29
KR102608585B1 KR102608585B1 (ko) 2023-11-30

Family

ID=63854060

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180008079A KR102608585B1 (ko) 2017-04-19 2018-01-23 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
KR1020230167513A KR20230166993A (ko) 2017-04-19 2023-11-28 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230167513A KR20230166993A (ko) 2017-04-19 2023-11-28 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착

Country Status (6)

Country Link
US (2) US10559461B2 (ko)
JP (1) JP2018182322A (ko)
KR (2) KR102608585B1 (ko)
CN (1) CN108735675B (ko)
SG (1) SG10201800863VA (ko)
TW (1) TW201903833A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200102352A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11101163B2 (en) * 2018-01-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated robotic arm sensing
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US20220235463A1 (en) * 2019-05-20 2022-07-28 Lam Research Corporation SixNy AS A NUCLEATION LAYER FOR SiCxOy
KR102643106B1 (ko) * 2019-06-27 2024-02-29 램 리써치 코포레이션 교번하는 에칭 및 패시베이션 프로세스
US20210375600A1 (en) * 2020-06-02 2021-12-02 Applied Materials, Inc. Self-assembled monolayer deposition from low vapor pressure organic molecules
KR20220107635A (ko) * 2021-01-25 2022-08-02 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090037424A (ko) * 2006-06-07 2009-04-15 에이에스엠 아메리카, 인코포레이티드 반도체 박막의 선택적 에피택셜 형성
KR20120024544A (ko) * 2009-12-15 2012-03-14 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
KR20150097410A (ko) * 2014-02-18 2015-08-26 램 리써치 코포레이션 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스
KR20160024351A (ko) * 2014-08-21 2016-03-04 램 리써치 코포레이션 보이드-프리 코발트 갭 충진을 위한 방법
KR20160030856A (ko) * 2014-09-11 2016-03-21 램 리써치 코포레이션 최신식 메모리 디바이스들에 대한 유전체 보수
KR20160087348A (ko) * 2015-01-12 2016-07-21 램 리써치 코포레이션 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합
KR20170017779A (ko) * 2015-08-05 2017-02-15 에이에스엠 아이피 홀딩 비.브이. 알루미늄 및 질소 함유 물질의 선택적 퇴적

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (ko) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
JPH03133128A (ja) 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6083413A (en) 1995-10-19 2000-07-04 Massachusetts Institute Of Technology Metals removal process
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
EP0895282A3 (en) 1997-07-30 2000-01-26 Canon Kabushiki Kaisha Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
EP1384257A2 (en) 2001-05-04 2004-01-28 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP4492783B2 (ja) 2001-09-12 2010-06-30 日本電気株式会社 半導体装置及びその製造方法
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
TWI314762B (en) 2002-08-13 2009-09-11 Lam Res Corp Method for controlling a recess etch process
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
CN101959897A (zh) 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2011013255A1 (ja) 2009-07-31 2011-02-03 株式会社 東芝 不揮発性記憶装置
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR20120103719A (ko) 2009-12-22 2012-09-19 어플라이드 머티어리얼스, 인코포레이티드 연속 플라즈마에 의한 pecvd 다단계 공정
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
KR101626954B1 (ko) 2010-03-29 2016-06-03 삼성전자주식회사 반도체 장치의 캐패시터 제조 방법 및 이에 따라 제조된 반도체 장치의 캐패시터
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
EP2675560B1 (en) 2011-02-14 2019-08-28 Dionex Corporation Liquid chromatographic column including a ligand comprising a polyhedral oligomeric silsequioxane moiety, and liquid chromatografic method using the column
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US20140178568A1 (en) 2011-04-29 2014-06-26 Applied Materials, Inc. Devices and methods for passivating a flexible substrate in a coating process
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
WO2013134653A1 (en) 2012-03-09 2013-09-12 Air Products And Chemicals, Inc. Methods for making silicon containing films on thin film transistor devices
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9371338B2 (en) 2012-07-20 2016-06-21 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
BR112015029548B1 (pt) 2013-06-27 2021-06-01 Intel Corporation Método de fabricação e substrato de circuito integrado
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9076651B1 (en) 2013-12-20 2015-07-07 Intermolecular, Inc. Gate stacks and ohmic contacts for SiC devices
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
WO2015131160A1 (en) 2014-02-28 2015-09-03 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US10396176B2 (en) 2014-09-26 2019-08-27 Intel Corporation Selective gate spacers for semiconductor devices
EP3209813B1 (en) 2014-10-24 2019-03-13 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing film
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US10082187B2 (en) 2014-12-22 2018-09-25 Ford Global Technologies, Llc Mechanically roughened brake rotors
CN107406977A (zh) 2015-02-26 2017-11-28 应用材料公司 使用自组装单层的选择性电介质沉积的方法
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
WO2016178978A1 (en) 2015-05-01 2016-11-10 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
JP6920219B2 (ja) 2015-06-26 2021-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素膜の選択的堆積
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090037424A (ko) * 2006-06-07 2009-04-15 에이에스엠 아메리카, 인코포레이티드 반도체 박막의 선택적 에피택셜 형성
KR20120024544A (ko) * 2009-12-15 2012-03-14 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
KR20150097410A (ko) * 2014-02-18 2015-08-26 램 리써치 코포레이션 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스
KR20160024351A (ko) * 2014-08-21 2016-03-04 램 리써치 코포레이션 보이드-프리 코발트 갭 충진을 위한 방법
KR20160030856A (ko) * 2014-09-11 2016-03-21 램 리써치 코포레이션 최신식 메모리 디바이스들에 대한 유전체 보수
KR20160087348A (ko) * 2015-01-12 2016-07-21 램 리써치 코포레이션 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합
KR20170017779A (ko) * 2015-08-05 2017-02-15 에이에스엠 아이피 홀딩 비.브이. 알루미늄 및 질소 함유 물질의 선택적 퇴적

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200102352A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치

Also Published As

Publication number Publication date
SG10201800863VA (en) 2018-11-29
CN108735675A (zh) 2018-11-02
CN108735675B (zh) 2024-03-15
US20180308680A1 (en) 2018-10-25
JP2018182322A (ja) 2018-11-15
KR20230166993A (ko) 2023-12-07
TW201903833A (zh) 2019-01-16
KR102608585B1 (ko) 2023-11-30
US10998187B2 (en) 2021-05-04
US20200118809A1 (en) 2020-04-16
US10559461B2 (en) 2020-02-11

Similar Documents

Publication Publication Date Title
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
KR102637938B1 (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
US9478411B2 (en) Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
KR20180093826A (ko) 실리콘 옥사이드의 선택적인 증착
KR20160061890A (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
US11987876B2 (en) Chamfer-less via integration scheme
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
KR20170044014A (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant